blob: 0ce03be45b63a078efc70a8bab6ff3a2dfd0efc8 [file] [log] [blame]
*SPEF "ieee 1481-1999"
*DESIGN "Flash"
*DATE "11:11:11 Fri 11 11, 1111"
*VENDOR "OpenRCX"
*PROGRAM "Parallel Extraction"
*VERSION "1.0"
*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE"
*DIVIDER /
*DELIMITER :
*BUS_DELIMITER []
*T_UNIT 1 NS
*C_UNIT 1 PF
*R_UNIT 1 OHM
*L_UNIT 1 HENRY
*NAME_MAP
*1 flash_csb
*2 flash_io0_read
*3 net183
*4 flash_io0_write
*5 flash_io1_read
*6 net179
*7 net180
*8 flash_sck
*9 sram_addr0[0]
*10 sram_addr0[1]
*11 sram_addr0[2]
*12 sram_addr0[3]
*13 sram_addr0[4]
*14 sram_addr0[5]
*15 sram_addr0[6]
*16 sram_addr0[7]
*17 sram_addr0[8]
*18 sram_addr1[0]
*19 sram_addr1[1]
*20 sram_addr1[2]
*21 sram_addr1[3]
*22 sram_addr1[4]
*23 sram_addr1[5]
*24 sram_addr1[6]
*25 sram_addr1[7]
*26 sram_addr1[8]
*27 sram_clk0
*28 sram_clk1
*29 sram_csb0
*30 sram_csb1
*31 sram_din0[0]
*32 sram_din0[10]
*33 sram_din0[11]
*34 sram_din0[12]
*35 sram_din0[13]
*36 sram_din0[14]
*37 sram_din0[15]
*38 sram_din0[16]
*39 sram_din0[17]
*40 sram_din0[18]
*41 sram_din0[19]
*42 sram_din0[1]
*43 sram_din0[20]
*44 sram_din0[21]
*45 sram_din0[22]
*46 sram_din0[23]
*47 sram_din0[24]
*48 sram_din0[25]
*49 sram_din0[26]
*50 sram_din0[27]
*51 sram_din0[28]
*52 sram_din0[29]
*53 sram_din0[2]
*54 sram_din0[30]
*55 sram_din0[31]
*56 sram_din0[3]
*57 sram_din0[4]
*58 sram_din0[5]
*59 sram_din0[6]
*60 sram_din0[7]
*61 sram_din0[8]
*62 sram_din0[9]
*63 sram_dout0[0]
*64 sram_dout0[10]
*65 sram_dout0[11]
*66 sram_dout0[12]
*67 sram_dout0[13]
*68 sram_dout0[14]
*69 sram_dout0[15]
*70 sram_dout0[16]
*71 sram_dout0[17]
*72 sram_dout0[18]
*73 sram_dout0[19]
*74 sram_dout0[1]
*75 sram_dout0[20]
*76 sram_dout0[21]
*77 sram_dout0[22]
*78 sram_dout0[23]
*79 sram_dout0[24]
*80 sram_dout0[25]
*81 sram_dout0[26]
*82 sram_dout0[27]
*83 sram_dout0[28]
*84 sram_dout0[29]
*85 sram_dout0[2]
*86 sram_dout0[30]
*87 sram_dout0[31]
*88 sram_dout0[3]
*89 sram_dout0[4]
*90 sram_dout0[5]
*91 sram_dout0[6]
*92 sram_dout0[7]
*93 sram_dout0[8]
*94 sram_dout0[9]
*95 sram_dout1[0]
*96 sram_dout1[10]
*97 sram_dout1[11]
*98 sram_dout1[12]
*99 sram_dout1[13]
*100 sram_dout1[14]
*101 sram_dout1[15]
*102 sram_dout1[16]
*103 sram_dout1[17]
*104 sram_dout1[18]
*105 sram_dout1[19]
*106 sram_dout1[1]
*107 sram_dout1[20]
*108 sram_dout1[21]
*109 sram_dout1[22]
*110 sram_dout1[23]
*111 sram_dout1[24]
*112 sram_dout1[25]
*113 sram_dout1[26]
*114 sram_dout1[27]
*115 sram_dout1[28]
*116 sram_dout1[29]
*117 sram_dout1[2]
*118 sram_dout1[30]
*119 sram_dout1[31]
*120 sram_dout1[3]
*121 sram_dout1[4]
*122 sram_dout1[5]
*123 sram_dout1[6]
*124 sram_dout1[7]
*125 sram_dout1[8]
*126 sram_dout1[9]
*127 net181
*128 net184
*129 net185
*130 net186
*131 net187
*134 wb_ack_o
*135 wb_adr_i[0]
*136 wb_adr_i[10]
*137 wb_adr_i[11]
*138 wb_adr_i[12]
*139 wb_adr_i[13]
*140 wb_adr_i[14]
*141 wb_adr_i[15]
*142 wb_adr_i[16]
*143 wb_adr_i[17]
*144 wb_adr_i[18]
*145 wb_adr_i[19]
*146 wb_adr_i[1]
*147 wb_adr_i[20]
*148 wb_adr_i[21]
*149 wb_adr_i[22]
*150 wb_adr_i[23]
*151 wb_adr_i[2]
*152 wb_adr_i[3]
*153 wb_adr_i[4]
*154 wb_adr_i[5]
*155 wb_adr_i[6]
*156 wb_adr_i[7]
*157 wb_adr_i[8]
*158 wb_adr_i[9]
*159 wb_clk_i
*160 wb_cyc_i
*161 wb_data_i[0]
*162 wb_data_i[10]
*163 wb_data_i[11]
*164 wb_data_i[12]
*165 wb_data_i[13]
*166 wb_data_i[14]
*167 wb_data_i[15]
*168 wb_data_i[16]
*169 wb_data_i[17]
*170 wb_data_i[18]
*171 wb_data_i[19]
*172 wb_data_i[1]
*173 wb_data_i[20]
*174 wb_data_i[21]
*175 wb_data_i[22]
*176 wb_data_i[23]
*177 wb_data_i[24]
*178 wb_data_i[25]
*179 wb_data_i[26]
*180 wb_data_i[27]
*181 wb_data_i[28]
*182 wb_data_i[29]
*183 wb_data_i[2]
*184 wb_data_i[30]
*185 wb_data_i[31]
*186 wb_data_i[3]
*187 wb_data_i[4]
*188 wb_data_i[5]
*189 wb_data_i[6]
*190 wb_data_i[7]
*191 wb_data_i[8]
*192 wb_data_i[9]
*193 wb_data_o[0]
*194 wb_data_o[10]
*195 wb_data_o[11]
*196 wb_data_o[12]
*197 wb_data_o[13]
*198 wb_data_o[14]
*199 wb_data_o[15]
*200 wb_data_o[16]
*201 wb_data_o[17]
*202 wb_data_o[18]
*203 wb_data_o[19]
*204 wb_data_o[1]
*205 wb_data_o[20]
*206 wb_data_o[21]
*207 wb_data_o[22]
*208 wb_data_o[23]
*209 wb_data_o[24]
*210 wb_data_o[25]
*211 wb_data_o[26]
*212 wb_data_o[27]
*213 wb_data_o[28]
*214 wb_data_o[29]
*215 wb_data_o[2]
*216 wb_data_o[30]
*217 wb_data_o[31]
*218 wb_data_o[3]
*219 wb_data_o[4]
*220 wb_data_o[5]
*221 wb_data_o[6]
*222 wb_data_o[7]
*223 wb_data_o[8]
*224 wb_data_o[9]
*225 net182
*226 wb_rst_i
*227 wb_sel_i[0]
*228 wb_sel_i[1]
*229 wb_sel_i[2]
*230 wb_sel_i[3]
*231 wb_stall_o
*232 wb_stb_i
*233 wb_we_i
*234 _0000_
*235 _0001_
*236 _0002_
*237 _0003_
*238 _0004_
*239 _0005_
*240 _0006_
*241 _0007_
*242 _0008_
*243 _0009_
*244 _0010_
*245 _0011_
*246 _0012_
*247 _0013_
*248 _0014_
*249 _0015_
*250 _0016_
*251 _0017_
*252 _0018_
*253 _0019_
*254 _0020_
*255 _0021_
*256 _0022_
*257 _0023_
*258 _0024_
*259 _0025_
*260 _0026_
*261 _0027_
*262 _0028_
*263 _0029_
*264 _0030_
*265 _0031_
*266 _0032_
*267 _0033_
*268 _0034_
*269 _0035_
*270 _0036_
*271 _0037_
*272 _0038_
*273 _0039_
*274 _0040_
*275 _0041_
*276 _0042_
*277 _0043_
*278 _0044_
*279 _0045_
*280 _0046_
*281 _0047_
*282 _0048_
*283 _0049_
*284 _0050_
*285 _0051_
*286 _0052_
*287 _0053_
*288 _0054_
*289 _0055_
*290 _0056_
*291 _0057_
*292 _0058_
*293 _0059_
*294 _0060_
*295 _0061_
*296 _0062_
*297 _0063_
*298 _0064_
*299 _0065_
*300 _0066_
*301 _0067_
*302 _0068_
*303 _0069_
*304 _0070_
*305 _0071_
*306 _0072_
*307 _0073_
*308 _0074_
*309 _0075_
*310 _0076_
*311 _0077_
*312 _0078_
*313 _0079_
*314 _0080_
*315 _0081_
*316 _0082_
*317 _0083_
*318 _0084_
*319 _0085_
*320 _0086_
*321 _0087_
*322 _0088_
*323 _0089_
*324 _0090_
*325 _0091_
*326 _0092_
*327 _0093_
*328 _0094_
*329 _0095_
*330 _0096_
*331 _0097_
*332 _0098_
*333 _0099_
*334 _0100_
*335 _0101_
*336 _0102_
*337 _0103_
*338 _0104_
*339 _0105_
*340 _0106_
*341 _0107_
*342 _0108_
*343 _0109_
*344 _0110_
*345 _0111_
*346 _0112_
*347 _0113_
*348 _0114_
*349 _0115_
*350 _0116_
*351 _0117_
*352 _0118_
*353 _0119_
*354 _0120_
*355 _0121_
*356 _0122_
*357 _0123_
*358 _0124_
*359 _0125_
*360 _0126_
*361 _0127_
*362 _0128_
*363 _0129_
*364 _0130_
*365 _0131_
*366 _0132_
*367 _0133_
*368 _0134_
*369 _0135_
*370 _0136_
*371 _0137_
*372 _0138_
*373 _0139_
*374 _0140_
*375 _0141_
*376 _0142_
*377 _0143_
*378 _0144_
*379 _0145_
*380 _0146_
*381 _0147_
*382 _0148_
*383 _0149_
*384 _0150_
*385 _0151_
*386 _0152_
*387 _0153_
*388 _0154_
*389 _0155_
*390 _0156_
*391 _0157_
*392 _0158_
*393 _0159_
*394 _0160_
*395 _0161_
*396 _0162_
*397 _0163_
*398 _0164_
*399 _0165_
*400 _0166_
*401 _0167_
*402 _0168_
*403 _0169_
*404 _0170_
*405 _0171_
*406 _0172_
*407 _0173_
*408 _0174_
*409 _0175_
*410 _0176_
*411 _0177_
*412 _0178_
*413 _0179_
*414 _0180_
*415 _0181_
*416 _0182_
*417 _0183_
*418 _0184_
*419 _0185_
*420 _0186_
*421 _0187_
*422 _0188_
*423 _0189_
*424 _0190_
*425 _0191_
*426 _0192_
*427 _0193_
*428 _0194_
*429 _0195_
*430 _0196_
*431 _0197_
*432 _0198_
*433 _0199_
*434 _0200_
*435 _0201_
*436 _0202_
*437 _0203_
*438 _0204_
*439 _0205_
*440 _0206_
*441 _0207_
*442 _0208_
*443 _0209_
*444 _0210_
*445 _0211_
*446 _0212_
*447 _0213_
*448 _0214_
*449 _0215_
*450 _0216_
*451 _0217_
*452 _0218_
*453 _0219_
*454 _0220_
*455 _0221_
*456 _0222_
*457 _0223_
*458 _0224_
*459 _0225_
*460 _0226_
*461 _0227_
*462 _0228_
*463 _0229_
*464 _0230_
*465 _0231_
*466 _0232_
*467 _0233_
*468 _0234_
*469 _0235_
*470 _0236_
*471 _0237_
*472 _0238_
*473 _0239_
*474 _0240_
*475 _0241_
*476 _0242_
*477 _0243_
*478 _0244_
*479 _0245_
*480 _0246_
*481 _0247_
*482 _0248_
*483 _0249_
*484 _0250_
*485 _0251_
*486 _0252_
*487 _0253_
*488 _0254_
*489 _0255_
*490 _0256_
*491 _0257_
*492 _0258_
*493 _0259_
*494 _0260_
*495 _0261_
*496 _0262_
*497 _0263_
*498 _0264_
*499 _0265_
*500 _0266_
*501 _0267_
*502 _0268_
*503 _0269_
*504 _0270_
*505 _0271_
*506 _0272_
*507 _0273_
*508 _0274_
*509 _0275_
*510 _0276_
*511 _0277_
*512 _0278_
*513 _0279_
*514 _0280_
*515 _0281_
*516 _0282_
*517 _0283_
*518 _0284_
*519 _0285_
*520 _0286_
*521 _0287_
*522 _0288_
*523 _0289_
*524 _0290_
*525 _0291_
*526 _0292_
*527 _0293_
*528 _0294_
*529 _0295_
*530 _0296_
*531 _0297_
*532 _0298_
*533 _0299_
*534 _0300_
*535 _0301_
*536 _0302_
*537 _0303_
*538 _0304_
*539 _0305_
*540 _0306_
*541 _0307_
*542 _0308_
*543 _0309_
*544 _0310_
*545 _0311_
*546 _0312_
*547 _0313_
*548 _0314_
*549 _0315_
*550 _0316_
*551 _0317_
*552 _0318_
*553 _0319_
*554 _0320_
*555 _0321_
*556 _0322_
*557 _0323_
*558 _0324_
*559 _0325_
*560 _0326_
*561 _0327_
*562 _0328_
*563 _0329_
*564 _0330_
*565 _0331_
*566 _0332_
*567 _0333_
*568 _0334_
*569 _0335_
*570 _0336_
*571 _0337_
*572 _0338_
*573 _0339_
*574 _0340_
*575 _0341_
*576 _0342_
*577 _0343_
*578 _0344_
*579 _0345_
*580 _0346_
*581 _0347_
*582 _0348_
*583 _0349_
*584 _0350_
*585 _0351_
*586 _0352_
*587 _0353_
*588 _0354_
*589 _0355_
*590 _0356_
*591 _0357_
*592 _0358_
*593 _0359_
*594 _0360_
*595 _0361_
*596 _0362_
*597 _0363_
*598 _0364_
*599 _0365_
*600 _0366_
*601 _0367_
*602 _0368_
*603 _0369_
*604 _0370_
*605 _0371_
*606 _0372_
*607 _0373_
*608 _0374_
*609 _0375_
*610 _0376_
*611 _0377_
*612 _0378_
*613 _0379_
*614 _0380_
*615 _0381_
*616 _0382_
*617 _0383_
*618 _0384_
*619 _0385_
*620 _0386_
*621 _0387_
*622 _0388_
*623 _0389_
*624 _0390_
*625 _0391_
*626 _0392_
*627 _0393_
*628 _0394_
*629 _0395_
*630 _0396_
*631 _0397_
*632 _0398_
*633 _0399_
*634 _0400_
*635 _0401_
*636 _0402_
*637 _0403_
*638 _0404_
*639 _0405_
*640 _0406_
*641 _0407_
*642 _0408_
*643 _0409_
*644 _0410_
*645 _0411_
*646 _0412_
*647 _0413_
*648 _0414_
*649 _0415_
*650 _0416_
*651 _0417_
*652 _0418_
*653 _0419_
*654 _0420_
*655 _0421_
*656 _0422_
*657 _0423_
*658 _0424_
*659 _0425_
*660 _0426_
*661 _0427_
*662 _0428_
*663 _0429_
*664 _0430_
*665 _0431_
*666 _0432_
*667 _0433_
*668 _0434_
*669 _0435_
*670 _0436_
*671 _0437_
*672 _0438_
*673 _0439_
*674 _0440_
*675 _0441_
*676 _0442_
*677 _0443_
*678 _0444_
*679 _0445_
*680 _0446_
*681 _0447_
*682 _0448_
*683 _0449_
*684 _0450_
*685 _0451_
*686 _0452_
*687 _0453_
*688 _0454_
*689 _0455_
*690 _0456_
*691 _0457_
*692 _0458_
*693 _0459_
*694 _0460_
*695 _0461_
*696 _0462_
*697 _0463_
*698 _0464_
*699 _0465_
*700 _0466_
*701 _0467_
*702 _0468_
*703 _0469_
*704 _0470_
*705 _0471_
*706 _0472_
*707 _0473_
*708 _0474_
*709 _0475_
*710 _0476_
*711 _0477_
*712 _0478_
*713 _0479_
*714 _0480_
*715 _0481_
*716 _0482_
*717 _0483_
*718 _0484_
*719 _0485_
*720 _0486_
*721 _0487_
*722 _0488_
*723 _0489_
*724 _0490_
*725 _0491_
*726 _0492_
*727 _0493_
*728 _0494_
*729 _0495_
*730 _0496_
*731 _0497_
*732 _0498_
*733 _0499_
*734 _0500_
*735 _0501_
*736 _0502_
*737 _0503_
*738 _0504_
*739 _0505_
*740 _0506_
*741 _0507_
*742 _0508_
*743 _0509_
*744 _0510_
*745 _0511_
*746 _0512_
*747 _0513_
*748 _0514_
*749 _0515_
*750 _0516_
*751 _0517_
*752 _0518_
*753 _0519_
*754 _0520_
*755 _0521_
*756 _0522_
*757 _0523_
*758 _0524_
*759 _0525_
*760 _0526_
*761 _0527_
*762 _0528_
*763 _0529_
*764 _0530_
*765 _0531_
*766 _0532_
*767 _0533_
*768 _0534_
*769 _0535_
*770 _0536_
*771 _0537_
*772 _0538_
*773 _0539_
*774 _0540_
*775 _0541_
*776 _0542_
*777 _0543_
*778 _0544_
*779 _0545_
*780 _0546_
*781 _0547_
*782 _0548_
*783 _0549_
*784 _0550_
*785 _0551_
*786 _0552_
*787 _0553_
*788 _0554_
*789 _0555_
*790 _0556_
*791 _0557_
*792 _0558_
*793 _0559_
*794 _0560_
*795 _0561_
*796 _0562_
*797 _0563_
*798 _0564_
*799 _0565_
*800 _0566_
*801 _0567_
*802 _0568_
*803 _0569_
*804 _0570_
*805 _0571_
*806 _0572_
*807 _0573_
*808 _0574_
*809 _0575_
*810 _0576_
*811 _0577_
*812 _0578_
*813 _0579_
*814 _0580_
*815 _0581_
*816 _0582_
*817 _0583_
*818 _0584_
*819 _0585_
*820 _0586_
*821 _0587_
*822 _0588_
*823 _0589_
*824 _0590_
*825 _0591_
*826 _0592_
*827 _0593_
*828 _0594_
*829 _0595_
*830 _0596_
*831 _0597_
*832 _0598_
*833 _0599_
*834 _0600_
*835 _0601_
*836 _0602_
*837 _0603_
*838 _0604_
*839 _0605_
*840 _0606_
*841 _0607_
*842 _0608_
*843 _0609_
*844 _0610_
*845 _0611_
*846 _0612_
*847 _0613_
*848 _0614_
*849 _0615_
*850 _0616_
*851 _0617_
*852 _0618_
*853 _0619_
*854 _0620_
*855 _0621_
*856 _0622_
*857 _0623_
*858 _0624_
*859 _0625_
*860 _0626_
*861 _0627_
*862 _0628_
*863 _0629_
*864 _0630_
*865 _0631_
*866 _0632_
*867 _0633_
*868 _0634_
*869 _0635_
*870 _0636_
*871 _0637_
*872 _0638_
*873 _0639_
*874 _0640_
*875 _0641_
*876 _0642_
*877 _0643_
*878 _0644_
*879 _0645_
*880 _0646_
*881 _0647_
*882 _0648_
*883 _0649_
*884 _0650_
*885 _0651_
*886 _0652_
*887 _0653_
*888 _0654_
*889 _0655_
*890 _0656_
*891 _0657_
*892 _0658_
*893 _0659_
*894 _0660_
*895 _0661_
*896 _0662_
*897 _0663_
*898 _0664_
*899 _0665_
*900 _0666_
*901 _0667_
*902 _0668_
*903 _0669_
*904 _0670_
*905 _0671_
*906 _0672_
*907 _0673_
*908 _0674_
*909 _0675_
*910 _0676_
*911 _0677_
*912 _0678_
*913 _0679_
*914 _0680_
*915 flashBuffer\.baseAddress\[0\]
*916 flashBuffer\.baseAddress\[10\]
*917 flashBuffer\.baseAddress\[11\]
*918 flashBuffer\.baseAddress\[12\]
*919 flashBuffer\.baseAddress\[13\]
*920 flashBuffer\.baseAddress\[14\]
*921 flashBuffer\.baseAddress\[15\]
*922 flashBuffer\.baseAddress\[16\]
*923 flashBuffer\.baseAddress\[17\]
*924 flashBuffer\.baseAddress\[18\]
*925 flashBuffer\.baseAddress\[19\]
*926 flashBuffer\.baseAddress\[1\]
*927 flashBuffer\.baseAddress\[20\]
*928 flashBuffer\.baseAddress\[21\]
*929 flashBuffer\.baseAddress\[22\]
*930 flashBuffer\.baseAddress\[23\]
*931 flashBuffer\.baseAddress\[2\]
*932 flashBuffer\.baseAddress\[3\]
*933 flashBuffer\.baseAddress\[4\]
*934 flashBuffer\.baseAddress\[5\]
*935 flashBuffer\.baseAddress\[6\]
*936 flashBuffer\.baseAddress\[7\]
*937 flashBuffer\.baseAddress\[8\]
*938 flashBuffer\.baseAddress\[9\]
*939 flashBuffer\.cachedAddress\[11\]
*940 flashBuffer\.cachedAddress\[12\]
*941 flashBuffer\.cachedAddress\[13\]
*942 flashBuffer\.cachedAddress\[14\]
*943 flashBuffer\.cachedAddress\[15\]
*944 flashBuffer\.cachedAddress\[16\]
*945 flashBuffer\.cachedAddress\[17\]
*946 flashBuffer\.cachedAddress\[18\]
*947 flashBuffer\.cachedAddress\[19\]
*948 flashBuffer\.cachedAddress\[20\]
*949 flashBuffer\.cachedAddress\[21\]
*950 flashBuffer\.cachedAddress\[22\]
*951 flashBuffer\.cachedAddress\[23\]
*952 flashBuffer\.cachedCount\[0\]
*953 flashBuffer\.cachedCount\[1\]
*954 flashBuffer\.cachedCount\[2\]
*955 flashBuffer\.cachedCount\[3\]
*956 flashBuffer\.cachedCount\[4\]
*957 flashBuffer\.cachedCount\[5\]
*958 flashBuffer\.cachedCount\[6\]
*959 flashBuffer\.cachedCount\[7\]
*960 flashBuffer\.cachedCount\[8\]
*961 flashBuffer\.cachedCount\[9\]
*962 flashBuffer\.configuration
*963 flashBuffer\.flashCacheReadReady
*964 flashBuffer\.qspi_readDataValid
*965 flashBuffer\.qspi_requestData
*966 net1
*967 net10
*968 net100
*969 net101
*970 net102
*971 net103
*972 net104
*973 net105
*974 net106
*975 net107
*976 net108
*977 net109
*978 net11
*979 net110
*980 net111
*981 net112
*982 net113
*983 net114
*984 net115
*985 net116
*986 net117
*987 net118
*988 net119
*989 net12
*990 net120
*991 net121
*992 net122
*993 net123
*994 net124
*995 net125
*996 net126
*997 net127
*998 net128
*999 net129
*1000 net13
*1001 net130
*1002 net131
*1003 net132
*1004 net133
*1005 net134
*1006 net135
*1007 net136
*1008 net137
*1009 net138
*1010 net139
*1011 net14
*1012 net140
*1013 net141
*1014 net142
*1015 net143
*1016 net144
*1017 net145
*1018 net146
*1019 net147
*1020 net148
*1021 net149
*1022 net15
*1023 net150
*1024 net151
*1025 net152
*1026 net153
*1027 net154
*1028 net155
*1029 net156
*1030 net157
*1031 net158
*1032 net159
*1033 net16
*1034 net160
*1035 net161
*1036 net162
*1037 net163
*1038 net164
*1039 net165
*1040 net166
*1041 net167
*1042 net168
*1043 net169
*1044 net17
*1045 net170
*1046 net171
*1047 net172
*1048 net173
*1049 net174
*1050 net175
*1051 net176
*1052 net177
*1053 net178
*1054 net18
*1055 net19
*1056 net2
*1057 net20
*1058 net21
*1059 net22
*1060 net23
*1061 net24
*1062 net25
*1063 net26
*1064 net27
*1065 net28
*1066 net29
*1067 net3
*1068 net30
*1069 net31
*1070 net32
*1071 net33
*1072 net34
*1073 net35
*1074 net36
*1075 net37
*1076 net38
*1077 net39
*1078 net4
*1079 net40
*1080 net41
*1081 net42
*1082 net43
*1083 net44
*1084 net45
*1085 net46
*1086 net47
*1087 net48
*1088 net49
*1089 net5
*1090 net50
*1091 net51
*1092 net52
*1093 net53
*1094 net54
*1095 net55
*1096 net56
*1097 net57
*1098 net58
*1099 net59
*1100 net6
*1101 net60
*1102 net61
*1103 net62
*1104 net63
*1105 net64
*1106 net65
*1107 net66
*1108 net67
*1109 net68
*1110 net69
*1111 net7
*1112 net70
*1113 net71
*1114 net72
*1115 net73
*1116 net74
*1117 net75
*1118 net76
*1119 net77
*1120 net78
*1121 net79
*1122 net8
*1123 net80
*1124 net81
*1125 net82
*1126 net83
*1127 net84
*1128 net85
*1129 net86
*1130 net87
*1131 net88
*1132 net89
*1133 net9
*1134 net90
*1135 net91
*1136 net92
*1137 net93
*1138 net94
*1139 net95
*1140 net96
*1141 net97
*1142 net98
*1143 net99
*1144 qspiDevice\.bitCounter\[0\]
*1145 qspiDevice\.bitCounter\[1\]
*1146 qspiDevice\.bitCounter\[2\]
*1147 qspiDevice\.bitCounter\[3\]
*1148 qspiDevice\.bitCounter\[4\]
*1149 qspiDevice\.outputClock
*1150 qspiDevice\.register\.outputBit
*1151 qspiDevice\.resetState\[0\]
*1152 qspiDevice\.resetState\[1\]
*1153 qspiDevice\.settingAddress
*1154 qspiDevice\.state\[0\]
*1155 qspiDevice\.state\[1\]
*1156 wbPeripheralBusInterface\.currentAddress\[10\]
*1157 wbPeripheralBusInterface\.currentAddress\[11\]
*1158 wbPeripheralBusInterface\.currentAddress\[12\]
*1159 wbPeripheralBusInterface\.currentAddress\[13\]
*1160 wbPeripheralBusInterface\.currentAddress\[14\]
*1161 wbPeripheralBusInterface\.currentAddress\[15\]
*1162 wbPeripheralBusInterface\.currentAddress\[16\]
*1163 wbPeripheralBusInterface\.currentAddress\[17\]
*1164 wbPeripheralBusInterface\.currentAddress\[18\]
*1165 wbPeripheralBusInterface\.currentAddress\[19\]
*1166 wbPeripheralBusInterface\.currentAddress\[20\]
*1167 wbPeripheralBusInterface\.currentAddress\[21\]
*1168 wbPeripheralBusInterface\.currentAddress\[22\]
*1169 wbPeripheralBusInterface\.currentAddress\[23\]
*1170 wbPeripheralBusInterface\.currentAddress\[2\]
*1171 wbPeripheralBusInterface\.currentAddress\[3\]
*1172 wbPeripheralBusInterface\.currentAddress\[4\]
*1173 wbPeripheralBusInterface\.currentAddress\[5\]
*1174 wbPeripheralBusInterface\.currentAddress\[6\]
*1175 wbPeripheralBusInterface\.currentAddress\[7\]
*1176 wbPeripheralBusInterface\.currentAddress\[8\]
*1177 wbPeripheralBusInterface\.currentAddress\[9\]
*1178 wbPeripheralBusInterface\.currentByteSelect\[0\]
*1179 wbPeripheralBusInterface\.currentByteSelect\[1\]
*1180 wbPeripheralBusInterface\.currentByteSelect\[2\]
*1181 wbPeripheralBusInterface\.state\[0\]
*1182 wbPeripheralBusInterface\.state\[1\]
*1183 FILLER_0_105
*1184 FILLER_0_111
*1185 FILLER_0_117
*1186 FILLER_0_125
*1187 FILLER_0_133
*1188 FILLER_0_139
*1189 FILLER_0_141
*1190 FILLER_0_148
*1191 FILLER_0_156
*1192 FILLER_0_164
*1193 FILLER_0_169
*1194 FILLER_0_191
*1195 FILLER_0_195
*1196 FILLER_0_201
*1197 FILLER_0_209
*1198 FILLER_0_217
*1199 FILLER_0_223
*1200 FILLER_0_225
*1201 FILLER_0_236
*1202 FILLER_0_24
*1203 FILLER_0_244
*1204 FILLER_0_257
*1205 FILLER_0_265
*1206 FILLER_0_273
*1207 FILLER_0_279
*1208 FILLER_0_285
*1209 FILLER_0_297
*1210 FILLER_0_3
*1211 FILLER_0_304
*1212 FILLER_0_313
*1213 FILLER_0_320
*1214 FILLER_0_328
*1215 FILLER_0_33
*1216 FILLER_0_347
*1217 FILLER_0_355
*1218 FILLER_0_360
*1219 FILLER_0_375
*1220 FILLER_0_383
*1221 FILLER_0_391
*1222 FILLER_0_403
*1223 FILLER_0_41
*1224 FILLER_0_411
*1225 FILLER_0_419
*1226 FILLER_0_421
*1227 FILLER_0_429
*1228 FILLER_0_437
*1229 FILLER_0_445
*1230 FILLER_0_449
*1231 FILLER_0_457
*1232 FILLER_0_461
*1233 FILLER_0_466
*1234 FILLER_0_47
*1235 FILLER_0_474
*1236 FILLER_0_477
*1237 FILLER_0_481
*1238 FILLER_0_489
*1239 FILLER_0_497
*1240 FILLER_0_503
*1241 FILLER_0_505
*1242 FILLER_0_513
*1243 FILLER_0_52
*1244 FILLER_0_521
*1245 FILLER_0_529
*1246 FILLER_0_539
*1247 FILLER_0_549
*1248 FILLER_0_557
*1249 FILLER_0_567
*1250 FILLER_0_573
*1251 FILLER_0_580
*1252 FILLER_0_595
*1253 FILLER_0_603
*1254 FILLER_0_61
*1255 FILLER_0_611
*1256 FILLER_0_615
*1257 FILLER_0_621
*1258 FILLER_0_69
*1259 FILLER_0_78
*1260 FILLER_0_89
*1261 FILLER_0_97
*1262 FILLER_10_100
*1263 FILLER_10_110
*1264 FILLER_10_119
*1265 FILLER_10_127
*1266 FILLER_10_136
*1267 FILLER_10_151
*1268 FILLER_10_163
*1269 FILLER_10_174
*1270 FILLER_10_185
*1271 FILLER_10_193
*1272 FILLER_10_197
*1273 FILLER_10_217
*1274 FILLER_10_226
*1275 FILLER_10_238
*1276 FILLER_10_24
*1277 FILLER_10_250
*1278 FILLER_10_258
*1279 FILLER_10_270
*1280 FILLER_10_274
*1281 FILLER_10_284
*1282 FILLER_10_295
*1283 FILLER_10_3
*1284 FILLER_10_302
*1285 FILLER_10_309
*1286 FILLER_10_321
*1287 FILLER_10_333
*1288 FILLER_10_345
*1289 FILLER_10_35
*1290 FILLER_10_356
*1291 FILLER_10_365
*1292 FILLER_10_377
*1293 FILLER_10_381
*1294 FILLER_10_386
*1295 FILLER_10_398
*1296 FILLER_10_410
*1297 FILLER_10_418
*1298 FILLER_10_421
*1299 FILLER_10_433
*1300 FILLER_10_445
*1301 FILLER_10_456
*1302 FILLER_10_468
*1303 FILLER_10_47
*1304 FILLER_10_477
*1305 FILLER_10_489
*1306 FILLER_10_501
*1307 FILLER_10_513
*1308 FILLER_10_525
*1309 FILLER_10_531
*1310 FILLER_10_539
*1311 FILLER_10_551
*1312 FILLER_10_559
*1313 FILLER_10_576
*1314 FILLER_10_589
*1315 FILLER_10_601
*1316 FILLER_10_613
*1317 FILLER_10_65
*1318 FILLER_10_7
*1319 FILLER_10_77
*1320 FILLER_10_83
*1321 FILLER_10_85
*1322 FILLER_10_93
*1323 FILLER_11_102
*1324 FILLER_11_110
*1325 FILLER_11_113
*1326 FILLER_11_12
*1327 FILLER_11_125
*1328 FILLER_11_138
*1329 FILLER_11_149
*1330 FILLER_11_164
*1331 FILLER_11_176
*1332 FILLER_11_188
*1333 FILLER_11_200
*1334 FILLER_11_212
*1335 FILLER_11_225
*1336 FILLER_11_237
*1337 FILLER_11_243
*1338 FILLER_11_248
*1339 FILLER_11_260
*1340 FILLER_11_272
*1341 FILLER_11_281
*1342 FILLER_11_285
*1343 FILLER_11_289
*1344 FILLER_11_298
*1345 FILLER_11_3
*1346 FILLER_11_310
*1347 FILLER_11_32
*1348 FILLER_11_322
*1349 FILLER_11_327
*1350 FILLER_11_335
*1351 FILLER_11_337
*1352 FILLER_11_349
*1353 FILLER_11_355
*1354 FILLER_11_372
*1355 FILLER_11_384
*1356 FILLER_11_396
*1357 FILLER_11_404
*1358 FILLER_11_416
*1359 FILLER_11_430
*1360 FILLER_11_44
*1361 FILLER_11_442
*1362 FILLER_11_458
*1363 FILLER_11_470
*1364 FILLER_11_498
*1365 FILLER_11_505
*1366 FILLER_11_517
*1367 FILLER_11_523
*1368 FILLER_11_540
*1369 FILLER_11_552
*1370 FILLER_11_561
*1371 FILLER_11_570
*1372 FILLER_11_582
*1373 FILLER_11_594
*1374 FILLER_11_606
*1375 FILLER_11_614
*1376 FILLER_11_617
*1377 FILLER_11_73
*1378 FILLER_11_85
*1379 FILLER_12_108
*1380 FILLER_12_120
*1381 FILLER_12_125
*1382 FILLER_12_13
*1383 FILLER_12_137
*1384 FILLER_12_148
*1385 FILLER_12_160
*1386 FILLER_12_175
*1387 FILLER_12_186
*1388 FILLER_12_194
*1389 FILLER_12_197
*1390 FILLER_12_20
*1391 FILLER_12_205
*1392 FILLER_12_214
*1393 FILLER_12_221
*1394 FILLER_12_241
*1395 FILLER_12_248
*1396 FILLER_12_253
*1397 FILLER_12_261
*1398 FILLER_12_270
*1399 FILLER_12_282
*1400 FILLER_12_294
*1401 FILLER_12_306
*1402 FILLER_12_309
*1403 FILLER_12_313
*1404 FILLER_12_330
*1405 FILLER_12_343
*1406 FILLER_12_35
*1407 FILLER_12_355
*1408 FILLER_12_360
*1409 FILLER_12_365
*1410 FILLER_12_375
*1411 FILLER_12_385
*1412 FILLER_12_397
*1413 FILLER_12_416
*1414 FILLER_12_421
*1415 FILLER_12_433
*1416 FILLER_12_441
*1417 FILLER_12_451
*1418 FILLER_12_463
*1419 FILLER_12_47
*1420 FILLER_12_475
*1421 FILLER_12_480
*1422 FILLER_12_492
*1423 FILLER_12_504
*1424 FILLER_12_516
*1425 FILLER_12_528
*1426 FILLER_12_537
*1427 FILLER_12_549
*1428 FILLER_12_558
*1429 FILLER_12_570
*1430 FILLER_12_576
*1431 FILLER_12_589
*1432 FILLER_12_59
*1433 FILLER_12_601
*1434 FILLER_12_613
*1435 FILLER_12_63
*1436 FILLER_12_70
*1437 FILLER_12_82
*1438 FILLER_12_85
*1439 FILLER_12_91
*1440 FILLER_13_108
*1441 FILLER_13_113
*1442 FILLER_13_121
*1443 FILLER_13_129
*1444 FILLER_13_139
*1445 FILLER_13_153
*1446 FILLER_13_165
*1447 FILLER_13_179
*1448 FILLER_13_19
*1449 FILLER_13_190
*1450 FILLER_13_196
*1451 FILLER_13_213
*1452 FILLER_13_221
*1453 FILLER_13_225
*1454 FILLER_13_244
*1455 FILLER_13_256
*1456 FILLER_13_276
*1457 FILLER_13_281
*1458 FILLER_13_293
*1459 FILLER_13_31
*1460 FILLER_13_310
*1461 FILLER_13_318
*1462 FILLER_13_326
*1463 FILLER_13_332
*1464 FILLER_13_337
*1465 FILLER_13_343
*1466 FILLER_13_346
*1467 FILLER_13_355
*1468 FILLER_13_364
*1469 FILLER_13_372
*1470 FILLER_13_379
*1471 FILLER_13_391
*1472 FILLER_13_393
*1473 FILLER_13_399
*1474 FILLER_13_407
*1475 FILLER_13_416
*1476 FILLER_13_428
*1477 FILLER_13_43
*1478 FILLER_13_432
*1479 FILLER_13_440
*1480 FILLER_13_454
*1481 FILLER_13_466
*1482 FILLER_13_478
*1483 FILLER_13_486
*1484 FILLER_13_498
*1485 FILLER_13_505
*1486 FILLER_13_513
*1487 FILLER_13_520
*1488 FILLER_13_530
*1489 FILLER_13_542
*1490 FILLER_13_55
*1491 FILLER_13_551
*1492 FILLER_13_559
*1493 FILLER_13_564
*1494 FILLER_13_573
*1495 FILLER_13_577
*1496 FILLER_13_581
*1497 FILLER_13_601
*1498 FILLER_13_613
*1499 FILLER_13_617
*1500 FILLER_13_63
*1501 FILLER_13_7
*1502 FILLER_13_79
*1503 FILLER_13_83
*1504 FILLER_13_87
*1505 FILLER_13_96
*1506 FILLER_14_109
*1507 FILLER_14_11
*1508 FILLER_14_120
*1509 FILLER_14_132
*1510 FILLER_14_141
*1511 FILLER_14_154
*1512 FILLER_14_166
*1513 FILLER_14_174
*1514 FILLER_14_179
*1515 FILLER_14_187
*1516 FILLER_14_195
*1517 FILLER_14_197
*1518 FILLER_14_209
*1519 FILLER_14_21
*1520 FILLER_14_221
*1521 FILLER_14_233
*1522 FILLER_14_245
*1523 FILLER_14_251
*1524 FILLER_14_253
*1525 FILLER_14_265
*1526 FILLER_14_27
*1527 FILLER_14_275
*1528 FILLER_14_287
*1529 FILLER_14_29
*1530 FILLER_14_299
*1531 FILLER_14_3
*1532 FILLER_14_307
*1533 FILLER_14_309
*1534 FILLER_14_321
*1535 FILLER_14_333
*1536 FILLER_14_344
*1537 FILLER_14_356
*1538 FILLER_14_369
*1539 FILLER_14_37
*1540 FILLER_14_375
*1541 FILLER_14_383
*1542 FILLER_14_395
*1543 FILLER_14_403
*1544 FILLER_14_408
*1545 FILLER_14_421
*1546 FILLER_14_440
*1547 FILLER_14_449
*1548 FILLER_14_471
*1549 FILLER_14_475
*1550 FILLER_14_477
*1551 FILLER_14_483
*1552 FILLER_14_501
*1553 FILLER_14_508
*1554 FILLER_14_520
*1555 FILLER_14_533
*1556 FILLER_14_545
*1557 FILLER_14_557
*1558 FILLER_14_56
*1559 FILLER_14_569
*1560 FILLER_14_581
*1561 FILLER_14_587
*1562 FILLER_14_589
*1563 FILLER_14_601
*1564 FILLER_14_613
*1565 FILLER_14_67
*1566 FILLER_14_79
*1567 FILLER_14_83
*1568 FILLER_14_85
*1569 FILLER_14_97
*1570 FILLER_15_103
*1571 FILLER_15_111
*1572 FILLER_15_123
*1573 FILLER_15_135
*1574 FILLER_15_159
*1575 FILLER_15_167
*1576 FILLER_15_169
*1577 FILLER_15_177
*1578 FILLER_15_189
*1579 FILLER_15_195
*1580 FILLER_15_202
*1581 FILLER_15_214
*1582 FILLER_15_222
*1583 FILLER_15_225
*1584 FILLER_15_237
*1585 FILLER_15_249
*1586 FILLER_15_26
*1587 FILLER_15_261
*1588 FILLER_15_273
*1589 FILLER_15_279
*1590 FILLER_15_281
*1591 FILLER_15_293
*1592 FILLER_15_305
*1593 FILLER_15_317
*1594 FILLER_15_323
*1595 FILLER_15_331
*1596 FILLER_15_335
*1597 FILLER_15_337
*1598 FILLER_15_349
*1599 FILLER_15_361
*1600 FILLER_15_373
*1601 FILLER_15_377
*1602 FILLER_15_38
*1603 FILLER_15_386
*1604 FILLER_15_393
*1605 FILLER_15_405
*1606 FILLER_15_417
*1607 FILLER_15_429
*1608 FILLER_15_441
*1609 FILLER_15_447
*1610 FILLER_15_449
*1611 FILLER_15_455
*1612 FILLER_15_467
*1613 FILLER_15_479
*1614 FILLER_15_491
*1615 FILLER_15_50
*1616 FILLER_15_503
*1617 FILLER_15_505
*1618 FILLER_15_517
*1619 FILLER_15_526
*1620 FILLER_15_535
*1621 FILLER_15_547
*1622 FILLER_15_559
*1623 FILLER_15_561
*1624 FILLER_15_57
*1625 FILLER_15_580
*1626 FILLER_15_592
*1627 FILLER_15_6
*1628 FILLER_15_604
*1629 FILLER_15_617
*1630 FILLER_15_71
*1631 FILLER_15_79
*1632 FILLER_15_91
*1633 FILLER_16_109
*1634 FILLER_16_11
*1635 FILLER_16_123
*1636 FILLER_16_135
*1637 FILLER_16_139
*1638 FILLER_16_141
*1639 FILLER_16_149
*1640 FILLER_16_15
*1641 FILLER_16_158
*1642 FILLER_16_167
*1643 FILLER_16_179
*1644 FILLER_16_183
*1645 FILLER_16_191
*1646 FILLER_16_195
*1647 FILLER_16_213
*1648 FILLER_16_22
*1649 FILLER_16_225
*1650 FILLER_16_234
*1651 FILLER_16_248
*1652 FILLER_16_258
*1653 FILLER_16_267
*1654 FILLER_16_273
*1655 FILLER_16_29
*1656 FILLER_16_291
*1657 FILLER_16_303
*1658 FILLER_16_307
*1659 FILLER_16_309
*1660 FILLER_16_321
*1661 FILLER_16_325
*1662 FILLER_16_342
*1663 FILLER_16_353
*1664 FILLER_16_361
*1665 FILLER_16_365
*1666 FILLER_16_369
*1667 FILLER_16_376
*1668 FILLER_16_387
*1669 FILLER_16_399
*1670 FILLER_16_41
*1671 FILLER_16_411
*1672 FILLER_16_419
*1673 FILLER_16_421
*1674 FILLER_16_438
*1675 FILLER_16_450
*1676 FILLER_16_462
*1677 FILLER_16_474
*1678 FILLER_16_477
*1679 FILLER_16_489
*1680 FILLER_16_501
*1681 FILLER_16_508
*1682 FILLER_16_528
*1683 FILLER_16_533
*1684 FILLER_16_544
*1685 FILLER_16_556
*1686 FILLER_16_568
*1687 FILLER_16_572
*1688 FILLER_16_584
*1689 FILLER_16_589
*1690 FILLER_16_601
*1691 FILLER_16_61
*1692 FILLER_16_613
*1693 FILLER_16_7
*1694 FILLER_16_75
*1695 FILLER_16_83
*1696 FILLER_16_85
*1697 FILLER_16_97
*1698 FILLER_17_101
*1699 FILLER_17_109
*1700 FILLER_17_121
*1701 FILLER_17_129
*1702 FILLER_17_14
*1703 FILLER_17_141
*1704 FILLER_17_149
*1705 FILLER_17_159
*1706 FILLER_17_167
*1707 FILLER_17_169
*1708 FILLER_17_182
*1709 FILLER_17_196
*1710 FILLER_17_208
*1711 FILLER_17_220
*1712 FILLER_17_241
*1713 FILLER_17_253
*1714 FILLER_17_261
*1715 FILLER_17_271
*1716 FILLER_17_279
*1717 FILLER_17_288
*1718 FILLER_17_296
*1719 FILLER_17_308
*1720 FILLER_17_318
*1721 FILLER_17_32
*1722 FILLER_17_330
*1723 FILLER_17_341
*1724 FILLER_17_353
*1725 FILLER_17_362
*1726 FILLER_17_382
*1727 FILLER_17_390
*1728 FILLER_17_398
*1729 FILLER_17_409
*1730 FILLER_17_418
*1731 FILLER_17_424
*1732 FILLER_17_431
*1733 FILLER_17_44
*1734 FILLER_17_443
*1735 FILLER_17_447
*1736 FILLER_17_465
*1737 FILLER_17_473
*1738 FILLER_17_479
*1739 FILLER_17_491
*1740 FILLER_17_503
*1741 FILLER_17_505
*1742 FILLER_17_518
*1743 FILLER_17_530
*1744 FILLER_17_542
*1745 FILLER_17_546
*1746 FILLER_17_552
*1747 FILLER_17_561
*1748 FILLER_17_567
*1749 FILLER_17_57
*1750 FILLER_17_570
*1751 FILLER_17_577
*1752 FILLER_17_585
*1753 FILLER_17_591
*1754 FILLER_17_6
*1755 FILLER_17_611
*1756 FILLER_17_615
*1757 FILLER_17_617
*1758 FILLER_17_63
*1759 FILLER_17_72
*1760 FILLER_17_76
*1761 FILLER_17_85
*1762 FILLER_17_97
*1763 FILLER_18_103
*1764 FILLER_18_109
*1765 FILLER_18_12
*1766 FILLER_18_121
*1767 FILLER_18_133
*1768 FILLER_18_139
*1769 FILLER_18_141
*1770 FILLER_18_161
*1771 FILLER_18_173
*1772 FILLER_18_181
*1773 FILLER_18_192
*1774 FILLER_18_197
*1775 FILLER_18_209
*1776 FILLER_18_22
*1777 FILLER_18_221
*1778 FILLER_18_225
*1779 FILLER_18_231
*1780 FILLER_18_239
*1781 FILLER_18_251
*1782 FILLER_18_253
*1783 FILLER_18_265
*1784 FILLER_18_269
*1785 FILLER_18_278
*1786 FILLER_18_286
*1787 FILLER_18_29
*1788 FILLER_18_291
*1789 FILLER_18_303
*1790 FILLER_18_307
*1791 FILLER_18_309
*1792 FILLER_18_321
*1793 FILLER_18_329
*1794 FILLER_18_334
*1795 FILLER_18_344
*1796 FILLER_18_348
*1797 FILLER_18_356
*1798 FILLER_18_365
*1799 FILLER_18_37
*1800 FILLER_18_380
*1801 FILLER_18_391
*1802 FILLER_18_401
*1803 FILLER_18_416
*1804 FILLER_18_425
*1805 FILLER_18_433
*1806 FILLER_18_445
*1807 FILLER_18_45
*1808 FILLER_18_457
*1809 FILLER_18_464
*1810 FILLER_18_472
*1811 FILLER_18_477
*1812 FILLER_18_496
*1813 FILLER_18_508
*1814 FILLER_18_516
*1815 FILLER_18_522
*1816 FILLER_18_530
*1817 FILLER_18_533
*1818 FILLER_18_539
*1819 FILLER_18_554
*1820 FILLER_18_56
*1821 FILLER_18_566
*1822 FILLER_18_577
*1823 FILLER_18_585
*1824 FILLER_18_593
*1825 FILLER_18_6
*1826 FILLER_18_605
*1827 FILLER_18_617
*1828 FILLER_18_64
*1829 FILLER_18_70
*1830 FILLER_18_80
*1831 FILLER_18_91
*1832 FILLER_18_99
*1833 FILLER_19_108
*1834 FILLER_19_113
*1835 FILLER_19_118
*1836 FILLER_19_126
*1837 FILLER_19_139
*1838 FILLER_19_147
*1839 FILLER_19_152
*1840 FILLER_19_159
*1841 FILLER_19_167
*1842 FILLER_19_169
*1843 FILLER_19_18
*1844 FILLER_19_181
*1845 FILLER_19_189
*1846 FILLER_19_201
*1847 FILLER_19_208
*1848 FILLER_19_212
*1849 FILLER_19_220
*1850 FILLER_19_225
*1851 FILLER_19_237
*1852 FILLER_19_249
*1853 FILLER_19_25
*1854 FILLER_19_254
*1855 FILLER_19_266
*1856 FILLER_19_278
*1857 FILLER_19_281
*1858 FILLER_19_301
*1859 FILLER_19_313
*1860 FILLER_19_325
*1861 FILLER_19_333
*1862 FILLER_19_337
*1863 FILLER_19_349
*1864 FILLER_19_361
*1865 FILLER_19_37
*1866 FILLER_19_373
*1867 FILLER_19_385
*1868 FILLER_19_391
*1869 FILLER_19_393
*1870 FILLER_19_405
*1871 FILLER_19_412
*1872 FILLER_19_425
*1873 FILLER_19_443
*1874 FILLER_19_447
*1875 FILLER_19_449
*1876 FILLER_19_461
*1877 FILLER_19_474
*1878 FILLER_19_480
*1879 FILLER_19_484
*1880 FILLER_19_49
*1881 FILLER_19_496
*1882 FILLER_19_505
*1883 FILLER_19_514
*1884 FILLER_19_534
*1885 FILLER_19_543
*1886 FILLER_19_55
*1887 FILLER_19_555
*1888 FILLER_19_559
*1889 FILLER_19_561
*1890 FILLER_19_569
*1891 FILLER_19_57
*1892 FILLER_19_575
*1893 FILLER_19_581
*1894 FILLER_19_586
*1895 FILLER_19_594
*1896 FILLER_19_611
*1897 FILLER_19_615
*1898 FILLER_19_617
*1899 FILLER_19_7
*1900 FILLER_19_74
*1901 FILLER_19_88
*1902 FILLER_19_94
*1903 FILLER_19_98
*1904 FILLER_1_103
*1905 FILLER_1_111
*1906 FILLER_1_116
*1907 FILLER_1_129
*1908 FILLER_1_13
*1909 FILLER_1_142
*1910 FILLER_1_149
*1911 FILLER_1_156
*1912 FILLER_1_163
*1913 FILLER_1_167
*1914 FILLER_1_169
*1915 FILLER_1_175
*1916 FILLER_1_183
*1917 FILLER_1_204
*1918 FILLER_1_21
*1919 FILLER_1_213
*1920 FILLER_1_220
*1921 FILLER_1_229
*1922 FILLER_1_233
*1923 FILLER_1_242
*1924 FILLER_1_250
*1925 FILLER_1_274
*1926 FILLER_1_284
*1927 FILLER_1_29
*1928 FILLER_1_291
*1929 FILLER_1_3
*1930 FILLER_1_303
*1931 FILLER_1_320
*1932 FILLER_1_331
*1933 FILLER_1_335
*1934 FILLER_1_337
*1935 FILLER_1_344
*1936 FILLER_1_35
*1937 FILLER_1_350
*1938 FILLER_1_367
*1939 FILLER_1_388
*1940 FILLER_1_397
*1941 FILLER_1_419
*1942 FILLER_1_427
*1943 FILLER_1_438
*1944 FILLER_1_446
*1945 FILLER_1_465
*1946 FILLER_1_473
*1947 FILLER_1_481
*1948 FILLER_1_500
*1949 FILLER_1_509
*1950 FILLER_1_52
*1951 FILLER_1_521
*1952 FILLER_1_538
*1953 FILLER_1_546
*1954 FILLER_1_554
*1955 FILLER_1_561
*1956 FILLER_1_567
*1957 FILLER_1_583
*1958 FILLER_1_599
*1959 FILLER_1_605
*1960 FILLER_1_612
*1961 FILLER_1_621
*1962 FILLER_1_63
*1963 FILLER_1_70
*1964 FILLER_1_78
*1965 FILLER_1_83
*1966 FILLER_20_103
*1967 FILLER_20_110
*1968 FILLER_20_122
*1969 FILLER_20_13
*1970 FILLER_20_134
*1971 FILLER_20_145
*1972 FILLER_20_157
*1973 FILLER_20_169
*1974 FILLER_20_175
*1975 FILLER_20_192
*1976 FILLER_20_197
*1977 FILLER_20_212
*1978 FILLER_20_218
*1979 FILLER_20_22
*1980 FILLER_20_229
*1981 FILLER_20_236
*1982 FILLER_20_248
*1983 FILLER_20_253
*1984 FILLER_20_261
*1985 FILLER_20_273
*1986 FILLER_20_285
*1987 FILLER_20_291
*1988 FILLER_20_296
*1989 FILLER_20_3
*1990 FILLER_20_303
*1991 FILLER_20_307
*1992 FILLER_20_309
*1993 FILLER_20_317
*1994 FILLER_20_325
*1995 FILLER_20_341
*1996 FILLER_20_353
*1997 FILLER_20_361
*1998 FILLER_20_365
*1999 FILLER_20_375
*2000 FILLER_20_388
*2001 FILLER_20_400
*2002 FILLER_20_412
*2003 FILLER_20_421
*2004 FILLER_20_428
*2005 FILLER_20_434
*2006 FILLER_20_45
*2007 FILLER_20_451
*2008 FILLER_20_463
*2009 FILLER_20_472
*2010 FILLER_20_477
*2011 FILLER_20_489
*2012 FILLER_20_493
*2013 FILLER_20_505
*2014 FILLER_20_517
*2015 FILLER_20_521
*2016 FILLER_20_525
*2017 FILLER_20_531
*2018 FILLER_20_533
*2019 FILLER_20_538
*2020 FILLER_20_550
*2021 FILLER_20_562
*2022 FILLER_20_57
*2023 FILLER_20_575
*2024 FILLER_20_583
*2025 FILLER_20_587
*2026 FILLER_20_589
*2027 FILLER_20_601
*2028 FILLER_20_613
*2029 FILLER_20_64
*2030 FILLER_20_78
*2031 FILLER_20_85
*2032 FILLER_21_100
*2033 FILLER_21_108
*2034 FILLER_21_113
*2035 FILLER_21_117
*2036 FILLER_21_128
*2037 FILLER_21_14
*2038 FILLER_21_142
*2039 FILLER_21_156
*2040 FILLER_21_169
*2041 FILLER_21_181
*2042 FILLER_21_193
*2043 FILLER_21_205
*2044 FILLER_21_217
*2045 FILLER_21_223
*2046 FILLER_21_241
*2047 FILLER_21_269
*2048 FILLER_21_27
*2049 FILLER_21_277
*2050 FILLER_21_281
*2051 FILLER_21_293
*2052 FILLER_21_305
*2053 FILLER_21_313
*2054 FILLER_21_332
*2055 FILLER_21_341
*2056 FILLER_21_359
*2057 FILLER_21_379
*2058 FILLER_21_388
*2059 FILLER_21_39
*2060 FILLER_21_393
*2061 FILLER_21_405
*2062 FILLER_21_417
*2063 FILLER_21_427
*2064 FILLER_21_435
*2065 FILLER_21_447
*2066 FILLER_21_449
*2067 FILLER_21_461
*2068 FILLER_21_473
*2069 FILLER_21_477
*2070 FILLER_21_483
*2071 FILLER_21_489
*2072 FILLER_21_499
*2073 FILLER_21_503
*2074 FILLER_21_505
*2075 FILLER_21_51
*2076 FILLER_21_517
*2077 FILLER_21_529
*2078 FILLER_21_540
*2079 FILLER_21_55
*2080 FILLER_21_552
*2081 FILLER_21_561
*2082 FILLER_21_565
*2083 FILLER_21_57
*2084 FILLER_21_582
*2085 FILLER_21_594
*2086 FILLER_21_606
*2087 FILLER_21_614
*2088 FILLER_21_617
*2089 FILLER_21_69
*2090 FILLER_21_7
*2091 FILLER_21_88
*2092 FILLER_22_101
*2093 FILLER_22_117
*2094 FILLER_22_13
*2095 FILLER_22_130
*2096 FILLER_22_138
*2097 FILLER_22_141
*2098 FILLER_22_160
*2099 FILLER_22_169
*2100 FILLER_22_181
*2101 FILLER_22_192
*2102 FILLER_22_197
*2103 FILLER_22_20
*2104 FILLER_22_209
*2105 FILLER_22_229
*2106 FILLER_22_241
*2107 FILLER_22_249
*2108 FILLER_22_253
*2109 FILLER_22_263
*2110 FILLER_22_275
*2111 FILLER_22_280
*2112 FILLER_22_29
*2113 FILLER_22_301
*2114 FILLER_22_307
*2115 FILLER_22_309
*2116 FILLER_22_317
*2117 FILLER_22_327
*2118 FILLER_22_336
*2119 FILLER_22_348
*2120 FILLER_22_356
*2121 FILLER_22_365
*2122 FILLER_22_377
*2123 FILLER_22_386
*2124 FILLER_22_397
*2125 FILLER_22_409
*2126 FILLER_22_41
*2127 FILLER_22_417
*2128 FILLER_22_421
*2129 FILLER_22_430
*2130 FILLER_22_442
*2131 FILLER_22_454
*2132 FILLER_22_466
*2133 FILLER_22_474
*2134 FILLER_22_477
*2135 FILLER_22_489
*2136 FILLER_22_493
*2137 FILLER_22_50
*2138 FILLER_22_510
*2139 FILLER_22_522
*2140 FILLER_22_530
*2141 FILLER_22_549
*2142 FILLER_22_561
*2143 FILLER_22_569
*2144 FILLER_22_574
*2145 FILLER_22_586
*2146 FILLER_22_589
*2147 FILLER_22_601
*2148 FILLER_22_613
*2149 FILLER_22_62
*2150 FILLER_22_75
*2151 FILLER_22_83
*2152 FILLER_22_85
*2153 FILLER_22_97
*2154 FILLER_23_110
*2155 FILLER_23_113
*2156 FILLER_23_121
*2157 FILLER_23_128
*2158 FILLER_23_140
*2159 FILLER_23_146
*2160 FILLER_23_149
*2161 FILLER_23_158
*2162 FILLER_23_166
*2163 FILLER_23_169
*2164 FILLER_23_181
*2165 FILLER_23_191
*2166 FILLER_23_203
*2167 FILLER_23_215
*2168 FILLER_23_220
*2169 FILLER_23_234
*2170 FILLER_23_238
*2171 FILLER_23_25
*2172 FILLER_23_250
*2173 FILLER_23_262
*2174 FILLER_23_274
*2175 FILLER_23_289
*2176 FILLER_23_296
*2177 FILLER_23_3
*2178 FILLER_23_308
*2179 FILLER_23_320
*2180 FILLER_23_325
*2181 FILLER_23_33
*2182 FILLER_23_332
*2183 FILLER_23_337
*2184 FILLER_23_349
*2185 FILLER_23_361
*2186 FILLER_23_366
*2187 FILLER_23_378
*2188 FILLER_23_390
*2189 FILLER_23_393
*2190 FILLER_23_410
*2191 FILLER_23_422
*2192 FILLER_23_430
*2193 FILLER_23_435
*2194 FILLER_23_447
*2195 FILLER_23_449
*2196 FILLER_23_457
*2197 FILLER_23_463
*2198 FILLER_23_471
*2199 FILLER_23_483
*2200 FILLER_23_495
*2201 FILLER_23_50
*2202 FILLER_23_503
*2203 FILLER_23_508
*2204 FILLER_23_520
*2205 FILLER_23_532
*2206 FILLER_23_544
*2207 FILLER_23_556
*2208 FILLER_23_561
*2209 FILLER_23_567
*2210 FILLER_23_57
*2211 FILLER_23_579
*2212 FILLER_23_591
*2213 FILLER_23_603
*2214 FILLER_23_615
*2215 FILLER_23_617
*2216 FILLER_23_65
*2217 FILLER_23_71
*2218 FILLER_23_75
*2219 FILLER_23_86
*2220 FILLER_23_98
*2221 FILLER_24_101
*2222 FILLER_24_11
*2223 FILLER_24_111
*2224 FILLER_24_123
*2225 FILLER_24_135
*2226 FILLER_24_139
*2227 FILLER_24_141
*2228 FILLER_24_149
*2229 FILLER_24_157
*2230 FILLER_24_166
*2231 FILLER_24_178
*2232 FILLER_24_184
*2233 FILLER_24_192
*2234 FILLER_24_204
*2235 FILLER_24_21
*2236 FILLER_24_216
*2237 FILLER_24_224
*2238 FILLER_24_231
*2239 FILLER_24_243
*2240 FILLER_24_251
*2241 FILLER_24_253
*2242 FILLER_24_261
*2243 FILLER_24_269
*2244 FILLER_24_27
*2245 FILLER_24_272
*2246 FILLER_24_279
*2247 FILLER_24_288
*2248 FILLER_24_300
*2249 FILLER_24_309
*2250 FILLER_24_319
*2251 FILLER_24_32
*2252 FILLER_24_331
*2253 FILLER_24_343
*2254 FILLER_24_351
*2255 FILLER_24_356
*2256 FILLER_24_365
*2257 FILLER_24_371
*2258 FILLER_24_375
*2259 FILLER_24_386
*2260 FILLER_24_394
*2261 FILLER_24_401
*2262 FILLER_24_413
*2263 FILLER_24_419
*2264 FILLER_24_421
*2265 FILLER_24_430
*2266 FILLER_24_44
*2267 FILLER_24_449
*2268 FILLER_24_464
*2269 FILLER_24_477
*2270 FILLER_24_489
*2271 FILLER_24_501
*2272 FILLER_24_513
*2273 FILLER_24_525
*2274 FILLER_24_531
*2275 FILLER_24_533
*2276 FILLER_24_545
*2277 FILLER_24_557
*2278 FILLER_24_565
*2279 FILLER_24_584
*2280 FILLER_24_589
*2281 FILLER_24_601
*2282 FILLER_24_613
*2283 FILLER_24_62
*2284 FILLER_24_7
*2285 FILLER_24_74
*2286 FILLER_24_82
*2287 FILLER_24_85
*2288 FILLER_24_97
*2289 FILLER_25_10
*2290 FILLER_25_108
*2291 FILLER_25_113
*2292 FILLER_25_125
*2293 FILLER_25_131
*2294 FILLER_25_136
*2295 FILLER_25_160
*2296 FILLER_25_169
*2297 FILLER_25_180
*2298 FILLER_25_191
*2299 FILLER_25_202
*2300 FILLER_25_208
*2301 FILLER_25_211
*2302 FILLER_25_219
*2303 FILLER_25_223
*2304 FILLER_25_23
*2305 FILLER_25_241
*2306 FILLER_25_247
*2307 FILLER_25_264
*2308 FILLER_25_276
*2309 FILLER_25_281
*2310 FILLER_25_293
*2311 FILLER_25_3
*2312 FILLER_25_302
*2313 FILLER_25_322
*2314 FILLER_25_329
*2315 FILLER_25_335
*2316 FILLER_25_344
*2317 FILLER_25_35
*2318 FILLER_25_355
*2319 FILLER_25_375
*2320 FILLER_25_387
*2321 FILLER_25_391
*2322 FILLER_25_393
*2323 FILLER_25_405
*2324 FILLER_25_417
*2325 FILLER_25_425
*2326 FILLER_25_442
*2327 FILLER_25_449
*2328 FILLER_25_461
*2329 FILLER_25_468
*2330 FILLER_25_47
*2331 FILLER_25_477
*2332 FILLER_25_489
*2333 FILLER_25_493
*2334 FILLER_25_500
*2335 FILLER_25_508
*2336 FILLER_25_512
*2337 FILLER_25_529
*2338 FILLER_25_541
*2339 FILLER_25_55
*2340 FILLER_25_553
*2341 FILLER_25_559
*2342 FILLER_25_561
*2343 FILLER_25_573
*2344 FILLER_25_585
*2345 FILLER_25_597
*2346 FILLER_25_609
*2347 FILLER_25_615
*2348 FILLER_25_617
*2349 FILLER_25_73
*2350 FILLER_25_85
*2351 FILLER_25_97
*2352 FILLER_26_103
*2353 FILLER_26_122
*2354 FILLER_26_134
*2355 FILLER_26_141
*2356 FILLER_26_149
*2357 FILLER_26_161
*2358 FILLER_26_173
*2359 FILLER_26_192
*2360 FILLER_26_197
*2361 FILLER_26_209
*2362 FILLER_26_221
*2363 FILLER_26_233
*2364 FILLER_26_24
*2365 FILLER_26_245
*2366 FILLER_26_251
*2367 FILLER_26_253
*2368 FILLER_26_265
*2369 FILLER_26_277
*2370 FILLER_26_289
*2371 FILLER_26_3
*2372 FILLER_26_301
*2373 FILLER_26_307
*2374 FILLER_26_314
*2375 FILLER_26_32
*2376 FILLER_26_325
*2377 FILLER_26_337
*2378 FILLER_26_349
*2379 FILLER_26_358
*2380 FILLER_26_365
*2381 FILLER_26_375
*2382 FILLER_26_379
*2383 FILLER_26_394
*2384 FILLER_26_406
*2385 FILLER_26_418
*2386 FILLER_26_421
*2387 FILLER_26_429
*2388 FILLER_26_436
*2389 FILLER_26_44
*2390 FILLER_26_448
*2391 FILLER_26_457
*2392 FILLER_26_468
*2393 FILLER_26_484
*2394 FILLER_26_492
*2395 FILLER_26_502
*2396 FILLER_26_508
*2397 FILLER_26_512
*2398 FILLER_26_524
*2399 FILLER_26_533
*2400 FILLER_26_545
*2401 FILLER_26_557
*2402 FILLER_26_56
*2403 FILLER_26_569
*2404 FILLER_26_581
*2405 FILLER_26_587
*2406 FILLER_26_589
*2407 FILLER_26_601
*2408 FILLER_26_613
*2409 FILLER_26_68
*2410 FILLER_26_7
*2411 FILLER_26_80
*2412 FILLER_26_91
*2413 FILLER_27_100
*2414 FILLER_27_113
*2415 FILLER_27_124
*2416 FILLER_27_139
*2417 FILLER_27_147
*2418 FILLER_27_153
*2419 FILLER_27_160
*2420 FILLER_27_169
*2421 FILLER_27_18
*2422 FILLER_27_187
*2423 FILLER_27_201
*2424 FILLER_27_213
*2425 FILLER_27_221
*2426 FILLER_27_225
*2427 FILLER_27_233
*2428 FILLER_27_245
*2429 FILLER_27_25
*2430 FILLER_27_253
*2431 FILLER_27_264
*2432 FILLER_27_270
*2433 FILLER_27_274
*2434 FILLER_27_281
*2435 FILLER_27_289
*2436 FILLER_27_301
*2437 FILLER_27_313
*2438 FILLER_27_321
*2439 FILLER_27_332
*2440 FILLER_27_345
*2441 FILLER_27_357
*2442 FILLER_27_369
*2443 FILLER_27_37
*2444 FILLER_27_381
*2445 FILLER_27_389
*2446 FILLER_27_393
*2447 FILLER_27_412
*2448 FILLER_27_424
*2449 FILLER_27_436
*2450 FILLER_27_449
*2451 FILLER_27_453
*2452 FILLER_27_457
*2453 FILLER_27_469
*2454 FILLER_27_477
*2455 FILLER_27_483
*2456 FILLER_27_49
*2457 FILLER_27_495
*2458 FILLER_27_503
*2459 FILLER_27_505
*2460 FILLER_27_517
*2461 FILLER_27_529
*2462 FILLER_27_541
*2463 FILLER_27_55
*2464 FILLER_27_553
*2465 FILLER_27_559
*2466 FILLER_27_561
*2467 FILLER_27_57
*2468 FILLER_27_573
*2469 FILLER_27_585
*2470 FILLER_27_597
*2471 FILLER_27_609
*2472 FILLER_27_615
*2473 FILLER_27_617
*2474 FILLER_27_69
*2475 FILLER_27_7
*2476 FILLER_27_88
*2477 FILLER_28_103
*2478 FILLER_28_115
*2479 FILLER_28_119
*2480 FILLER_28_123
*2481 FILLER_28_13
*2482 FILLER_28_136
*2483 FILLER_28_141
*2484 FILLER_28_145
*2485 FILLER_28_162
*2486 FILLER_28_174
*2487 FILLER_28_182
*2488 FILLER_28_192
*2489 FILLER_28_207
*2490 FILLER_28_21
*2491 FILLER_28_219
*2492 FILLER_28_239
*2493 FILLER_28_251
*2494 FILLER_28_269
*2495 FILLER_28_27
*2496 FILLER_28_285
*2497 FILLER_28_297
*2498 FILLER_28_3
*2499 FILLER_28_305
*2500 FILLER_28_314
*2501 FILLER_28_32
*2502 FILLER_28_326
*2503 FILLER_28_334
*2504 FILLER_28_346
*2505 FILLER_28_358
*2506 FILLER_28_369
*2507 FILLER_28_381
*2508 FILLER_28_397
*2509 FILLER_28_409
*2510 FILLER_28_417
*2511 FILLER_28_427
*2512 FILLER_28_439
*2513 FILLER_28_467
*2514 FILLER_28_475
*2515 FILLER_28_477
*2516 FILLER_28_481
*2517 FILLER_28_498
*2518 FILLER_28_510
*2519 FILLER_28_517
*2520 FILLER_28_529
*2521 FILLER_28_533
*2522 FILLER_28_545
*2523 FILLER_28_557
*2524 FILLER_28_56
*2525 FILLER_28_569
*2526 FILLER_28_581
*2527 FILLER_28_587
*2528 FILLER_28_589
*2529 FILLER_28_601
*2530 FILLER_28_613
*2531 FILLER_28_66
*2532 FILLER_28_78
*2533 FILLER_28_91
*2534 FILLER_29_106
*2535 FILLER_29_11
*2536 FILLER_29_119
*2537 FILLER_29_131
*2538 FILLER_29_143
*2539 FILLER_29_159
*2540 FILLER_29_167
*2541 FILLER_29_169
*2542 FILLER_29_178
*2543 FILLER_29_189
*2544 FILLER_29_193
*2545 FILLER_29_201
*2546 FILLER_29_21
*2547 FILLER_29_212
*2548 FILLER_29_225
*2549 FILLER_29_237
*2550 FILLER_29_249
*2551 FILLER_29_261
*2552 FILLER_29_27
*2553 FILLER_29_273
*2554 FILLER_29_279
*2555 FILLER_29_281
*2556 FILLER_29_298
*2557 FILLER_29_302
*2558 FILLER_29_307
*2559 FILLER_29_319
*2560 FILLER_29_32
*2561 FILLER_29_323
*2562 FILLER_29_332
*2563 FILLER_29_337
*2564 FILLER_29_349
*2565 FILLER_29_363
*2566 FILLER_29_377
*2567 FILLER_29_389
*2568 FILLER_29_396
*2569 FILLER_29_408
*2570 FILLER_29_413
*2571 FILLER_29_424
*2572 FILLER_29_44
*2573 FILLER_29_444
*2574 FILLER_29_449
*2575 FILLER_29_461
*2576 FILLER_29_473
*2577 FILLER_29_485
*2578 FILLER_29_497
*2579 FILLER_29_503
*2580 FILLER_29_505
*2581 FILLER_29_517
*2582 FILLER_29_529
*2583 FILLER_29_541
*2584 FILLER_29_553
*2585 FILLER_29_559
*2586 FILLER_29_561
*2587 FILLER_29_57
*2588 FILLER_29_573
*2589 FILLER_29_585
*2590 FILLER_29_597
*2591 FILLER_29_609
*2592 FILLER_29_615
*2593 FILLER_29_617
*2594 FILLER_29_67
*2595 FILLER_29_7
*2596 FILLER_29_75
*2597 FILLER_29_94
*2598 FILLER_2_108
*2599 FILLER_2_115
*2600 FILLER_2_122
*2601 FILLER_2_13
*2602 FILLER_2_136
*2603 FILLER_2_146
*2604 FILLER_2_154
*2605 FILLER_2_172
*2606 FILLER_2_180
*2607 FILLER_2_185
*2608 FILLER_2_192
*2609 FILLER_2_200
*2610 FILLER_2_208
*2611 FILLER_2_21
*2612 FILLER_2_226
*2613 FILLER_2_242
*2614 FILLER_2_250
*2615 FILLER_2_253
*2616 FILLER_2_265
*2617 FILLER_2_27
*2618 FILLER_2_285
*2619 FILLER_2_297
*2620 FILLER_2_3
*2621 FILLER_2_305
*2622 FILLER_2_309
*2623 FILLER_2_321
*2624 FILLER_2_33
*2625 FILLER_2_332
*2626 FILLER_2_340
*2627 FILLER_2_348
*2628 FILLER_2_355
*2629 FILLER_2_363
*2630 FILLER_2_365
*2631 FILLER_2_377
*2632 FILLER_2_390
*2633 FILLER_2_40
*2634 FILLER_2_402
*2635 FILLER_2_410
*2636 FILLER_2_418
*2637 FILLER_2_421
*2638 FILLER_2_427
*2639 FILLER_2_432
*2640 FILLER_2_443
*2641 FILLER_2_454
*2642 FILLER_2_462
*2643 FILLER_2_47
*2644 FILLER_2_474
*2645 FILLER_2_477
*2646 FILLER_2_483
*2647 FILLER_2_494
*2648 FILLER_2_505
*2649 FILLER_2_517
*2650 FILLER_2_525
*2651 FILLER_2_531
*2652 FILLER_2_54
*2653 FILLER_2_549
*2654 FILLER_2_561
*2655 FILLER_2_573
*2656 FILLER_2_585
*2657 FILLER_2_589
*2658 FILLER_2_601
*2659 FILLER_2_61
*2660 FILLER_2_613
*2661 FILLER_2_621
*2662 FILLER_2_65
*2663 FILLER_2_69
*2664 FILLER_2_78
*2665 FILLER_2_85
*2666 FILLER_2_95
*2667 FILLER_30_103
*2668 FILLER_30_115
*2669 FILLER_30_120
*2670 FILLER_30_128
*2671 FILLER_30_145
*2672 FILLER_30_153
*2673 FILLER_30_162
*2674 FILLER_30_174
*2675 FILLER_30_187
*2676 FILLER_30_195
*2677 FILLER_30_197
*2678 FILLER_30_207
*2679 FILLER_30_218
*2680 FILLER_30_226
*2681 FILLER_30_235
*2682 FILLER_30_24
*2683 FILLER_30_247
*2684 FILLER_30_251
*2685 FILLER_30_253
*2686 FILLER_30_265
*2687 FILLER_30_277
*2688 FILLER_30_289
*2689 FILLER_30_29
*2690 FILLER_30_297
*2691 FILLER_30_3
*2692 FILLER_30_304
*2693 FILLER_30_317
*2694 FILLER_30_329
*2695 FILLER_30_335
*2696 FILLER_30_344
*2697 FILLER_30_356
*2698 FILLER_30_375
*2699 FILLER_30_388
*2700 FILLER_30_401
*2701 FILLER_30_41
*2702 FILLER_30_413
*2703 FILLER_30_419
*2704 FILLER_30_428
*2705 FILLER_30_440
*2706 FILLER_30_452
*2707 FILLER_30_464
*2708 FILLER_30_477
*2709 FILLER_30_489
*2710 FILLER_30_501
*2711 FILLER_30_513
*2712 FILLER_30_525
*2713 FILLER_30_53
*2714 FILLER_30_531
*2715 FILLER_30_533
*2716 FILLER_30_545
*2717 FILLER_30_557
*2718 FILLER_30_569
*2719 FILLER_30_581
*2720 FILLER_30_587
*2721 FILLER_30_589
*2722 FILLER_30_601
*2723 FILLER_30_61
*2724 FILLER_30_613
*2725 FILLER_30_69
*2726 FILLER_30_78
*2727 FILLER_30_88
*2728 FILLER_31_101
*2729 FILLER_31_109
*2730 FILLER_31_128
*2731 FILLER_31_13
*2732 FILLER_31_141
*2733 FILLER_31_149
*2734 FILLER_31_159
*2735 FILLER_31_167
*2736 FILLER_31_169
*2737 FILLER_31_177
*2738 FILLER_31_195
*2739 FILLER_31_206
*2740 FILLER_31_21
*2741 FILLER_31_214
*2742 FILLER_31_222
*2743 FILLER_31_241
*2744 FILLER_31_253
*2745 FILLER_31_262
*2746 FILLER_31_266
*2747 FILLER_31_269
*2748 FILLER_31_276
*2749 FILLER_31_286
*2750 FILLER_31_290
*2751 FILLER_31_302
*2752 FILLER_31_311
*2753 FILLER_31_315
*2754 FILLER_31_321
*2755 FILLER_31_33
*2756 FILLER_31_332
*2757 FILLER_31_347
*2758 FILLER_31_359
*2759 FILLER_31_381
*2760 FILLER_31_389
*2761 FILLER_31_393
*2762 FILLER_31_405
*2763 FILLER_31_415
*2764 FILLER_31_427
*2765 FILLER_31_439
*2766 FILLER_31_447
*2767 FILLER_31_449
*2768 FILLER_31_45
*2769 FILLER_31_461
*2770 FILLER_31_473
*2771 FILLER_31_485
*2772 FILLER_31_497
*2773 FILLER_31_503
*2774 FILLER_31_505
*2775 FILLER_31_517
*2776 FILLER_31_529
*2777 FILLER_31_53
*2778 FILLER_31_541
*2779 FILLER_31_553
*2780 FILLER_31_559
*2781 FILLER_31_561
*2782 FILLER_31_57
*2783 FILLER_31_573
*2784 FILLER_31_585
*2785 FILLER_31_597
*2786 FILLER_31_6
*2787 FILLER_31_609
*2788 FILLER_31_615
*2789 FILLER_31_617
*2790 FILLER_31_67
*2791 FILLER_31_77
*2792 FILLER_31_89
*2793 FILLER_32_11
*2794 FILLER_32_117
*2795 FILLER_32_125
*2796 FILLER_32_136
*2797 FILLER_32_141
*2798 FILLER_32_150
*2799 FILLER_32_161
*2800 FILLER_32_173
*2801 FILLER_32_18
*2802 FILLER_32_187
*2803 FILLER_32_195
*2804 FILLER_32_197
*2805 FILLER_32_201
*2806 FILLER_32_209
*2807 FILLER_32_221
*2808 FILLER_32_233
*2809 FILLER_32_245
*2810 FILLER_32_251
*2811 FILLER_32_26
*2812 FILLER_32_269
*2813 FILLER_32_281
*2814 FILLER_32_289
*2815 FILLER_32_297
*2816 FILLER_32_3
*2817 FILLER_32_302
*2818 FILLER_32_313
*2819 FILLER_32_319
*2820 FILLER_32_323
*2821 FILLER_32_343
*2822 FILLER_32_355
*2823 FILLER_32_363
*2824 FILLER_32_365
*2825 FILLER_32_386
*2826 FILLER_32_398
*2827 FILLER_32_405
*2828 FILLER_32_416
*2829 FILLER_32_421
*2830 FILLER_32_433
*2831 FILLER_32_445
*2832 FILLER_32_45
*2833 FILLER_32_457
*2834 FILLER_32_469
*2835 FILLER_32_475
*2836 FILLER_32_477
*2837 FILLER_32_489
*2838 FILLER_32_501
*2839 FILLER_32_513
*2840 FILLER_32_525
*2841 FILLER_32_531
*2842 FILLER_32_533
*2843 FILLER_32_545
*2844 FILLER_32_557
*2845 FILLER_32_569
*2846 FILLER_32_57
*2847 FILLER_32_581
*2848 FILLER_32_587
*2849 FILLER_32_589
*2850 FILLER_32_601
*2851 FILLER_32_613
*2852 FILLER_32_71
*2853 FILLER_32_80
*2854 FILLER_32_85
*2855 FILLER_32_97
*2856 FILLER_33_108
*2857 FILLER_33_113
*2858 FILLER_33_119
*2859 FILLER_33_124
*2860 FILLER_33_134
*2861 FILLER_33_146
*2862 FILLER_33_164
*2863 FILLER_33_179
*2864 FILLER_33_190
*2865 FILLER_33_198
*2866 FILLER_33_20
*2867 FILLER_33_207
*2868 FILLER_33_219
*2869 FILLER_33_223
*2870 FILLER_33_225
*2871 FILLER_33_233
*2872 FILLER_33_245
*2873 FILLER_33_257
*2874 FILLER_33_26
*2875 FILLER_33_265
*2876 FILLER_33_270
*2877 FILLER_33_278
*2878 FILLER_33_281
*2879 FILLER_33_288
*2880 FILLER_33_30
*2881 FILLER_33_308
*2882 FILLER_33_320
*2883 FILLER_33_332
*2884 FILLER_33_337
*2885 FILLER_33_349
*2886 FILLER_33_361
*2887 FILLER_33_373
*2888 FILLER_33_385
*2889 FILLER_33_391
*2890 FILLER_33_393
*2891 FILLER_33_405
*2892 FILLER_33_42
*2893 FILLER_33_429
*2894 FILLER_33_441
*2895 FILLER_33_447
*2896 FILLER_33_449
*2897 FILLER_33_461
*2898 FILLER_33_473
*2899 FILLER_33_485
*2900 FILLER_33_497
*2901 FILLER_33_503
*2902 FILLER_33_505
*2903 FILLER_33_517
*2904 FILLER_33_529
*2905 FILLER_33_54
*2906 FILLER_33_541
*2907 FILLER_33_553
*2908 FILLER_33_559
*2909 FILLER_33_561
*2910 FILLER_33_57
*2911 FILLER_33_573
*2912 FILLER_33_585
*2913 FILLER_33_597
*2914 FILLER_33_609
*2915 FILLER_33_61
*2916 FILLER_33_615
*2917 FILLER_33_617
*2918 FILLER_33_67
*2919 FILLER_33_7
*2920 FILLER_33_78
*2921 FILLER_33_90
*2922 FILLER_33_98
*2923 FILLER_34_103
*2924 FILLER_34_115
*2925 FILLER_34_127
*2926 FILLER_34_134
*2927 FILLER_34_141
*2928 FILLER_34_153
*2929 FILLER_34_157
*2930 FILLER_34_165
*2931 FILLER_34_173
*2932 FILLER_34_182
*2933 FILLER_34_194
*2934 FILLER_34_204
*2935 FILLER_34_216
*2936 FILLER_34_22
*2937 FILLER_34_238
*2938 FILLER_34_250
*2939 FILLER_34_253
*2940 FILLER_34_261
*2941 FILLER_34_268
*2942 FILLER_34_280
*2943 FILLER_34_287
*2944 FILLER_34_29
*2945 FILLER_34_291
*2946 FILLER_34_295
*2947 FILLER_34_3
*2948 FILLER_34_307
*2949 FILLER_34_309
*2950 FILLER_34_321
*2951 FILLER_34_333
*2952 FILLER_34_349
*2953 FILLER_34_361
*2954 FILLER_34_365
*2955 FILLER_34_377
*2956 FILLER_34_389
*2957 FILLER_34_401
*2958 FILLER_34_41
*2959 FILLER_34_410
*2960 FILLER_34_418
*2961 FILLER_34_421
*2962 FILLER_34_433
*2963 FILLER_34_445
*2964 FILLER_34_457
*2965 FILLER_34_469
*2966 FILLER_34_475
*2967 FILLER_34_477
*2968 FILLER_34_489
*2969 FILLER_34_501
*2970 FILLER_34_513
*2971 FILLER_34_525
*2972 FILLER_34_53
*2973 FILLER_34_531
*2974 FILLER_34_533
*2975 FILLER_34_545
*2976 FILLER_34_557
*2977 FILLER_34_569
*2978 FILLER_34_581
*2979 FILLER_34_587
*2980 FILLER_34_589
*2981 FILLER_34_601
*2982 FILLER_34_613
*2983 FILLER_34_65
*2984 FILLER_34_76
*2985 FILLER_34_85
*2986 FILLER_34_97
*2987 FILLER_35_109
*2988 FILLER_35_11
*2989 FILLER_35_113
*2990 FILLER_35_125
*2991 FILLER_35_137
*2992 FILLER_35_144
*2993 FILLER_35_15
*2994 FILLER_35_152
*2995 FILLER_35_164
*2996 FILLER_35_176
*2997 FILLER_35_187
*2998 FILLER_35_202
*2999 FILLER_35_214
*3000 FILLER_35_22
*3001 FILLER_35_222
*3002 FILLER_35_225
*3003 FILLER_35_237
*3004 FILLER_35_249
*3005 FILLER_35_255
*3006 FILLER_35_272
*3007 FILLER_35_281
*3008 FILLER_35_293
*3009 FILLER_35_301
*3010 FILLER_35_306
*3011 FILLER_35_318
*3012 FILLER_35_326
*3013 FILLER_35_33
*3014 FILLER_35_332
*3015 FILLER_35_337
*3016 FILLER_35_350
*3017 FILLER_35_357
*3018 FILLER_35_377
*3019 FILLER_35_385
*3020 FILLER_35_391
*3021 FILLER_35_393
*3022 FILLER_35_405
*3023 FILLER_35_414
*3024 FILLER_35_426
*3025 FILLER_35_438
*3026 FILLER_35_446
*3027 FILLER_35_449
*3028 FILLER_35_45
*3029 FILLER_35_461
*3030 FILLER_35_473
*3031 FILLER_35_485
*3032 FILLER_35_497
*3033 FILLER_35_503
*3034 FILLER_35_505
*3035 FILLER_35_517
*3036 FILLER_35_529
*3037 FILLER_35_53
*3038 FILLER_35_541
*3039 FILLER_35_553
*3040 FILLER_35_559
*3041 FILLER_35_561
*3042 FILLER_35_57
*3043 FILLER_35_573
*3044 FILLER_35_585
*3045 FILLER_35_597
*3046 FILLER_35_609
*3047 FILLER_35_615
*3048 FILLER_35_617
*3049 FILLER_35_69
*3050 FILLER_35_7
*3051 FILLER_35_78
*3052 FILLER_35_85
*3053 FILLER_35_97
*3054 FILLER_36_119
*3055 FILLER_36_129
*3056 FILLER_36_13
*3057 FILLER_36_137
*3058 FILLER_36_157
*3059 FILLER_36_169
*3060 FILLER_36_181
*3061 FILLER_36_192
*3062 FILLER_36_197
*3063 FILLER_36_20
*3064 FILLER_36_205
*3065 FILLER_36_216
*3066 FILLER_36_234
*3067 FILLER_36_244
*3068 FILLER_36_253
*3069 FILLER_36_265
*3070 FILLER_36_277
*3071 FILLER_36_289
*3072 FILLER_36_29
*3073 FILLER_36_295
*3074 FILLER_36_3
*3075 FILLER_36_304
*3076 FILLER_36_309
*3077 FILLER_36_315
*3078 FILLER_36_326
*3079 FILLER_36_336
*3080 FILLER_36_344
*3081 FILLER_36_354
*3082 FILLER_36_362
*3083 FILLER_36_368
*3084 FILLER_36_380
*3085 FILLER_36_39
*3086 FILLER_36_392
*3087 FILLER_36_416
*3088 FILLER_36_421
*3089 FILLER_36_433
*3090 FILLER_36_445
*3091 FILLER_36_457
*3092 FILLER_36_469
*3093 FILLER_36_475
*3094 FILLER_36_477
*3095 FILLER_36_489
*3096 FILLER_36_501
*3097 FILLER_36_51
*3098 FILLER_36_513
*3099 FILLER_36_525
*3100 FILLER_36_531
*3101 FILLER_36_533
*3102 FILLER_36_545
*3103 FILLER_36_557
*3104 FILLER_36_569
*3105 FILLER_36_581
*3106 FILLER_36_587
*3107 FILLER_36_589
*3108 FILLER_36_601
*3109 FILLER_36_613
*3110 FILLER_36_63
*3111 FILLER_36_70
*3112 FILLER_36_78
*3113 FILLER_36_85
*3114 FILLER_36_97
*3115 FILLER_37_106
*3116 FILLER_37_11
*3117 FILLER_37_117
*3118 FILLER_37_129
*3119 FILLER_37_141
*3120 FILLER_37_153
*3121 FILLER_37_165
*3122 FILLER_37_169
*3123 FILLER_37_176
*3124 FILLER_37_184
*3125 FILLER_37_193
*3126 FILLER_37_204
*3127 FILLER_37_21
*3128 FILLER_37_216
*3129 FILLER_37_225
*3130 FILLER_37_242
*3131 FILLER_37_254
*3132 FILLER_37_266
*3133 FILLER_37_27
*3134 FILLER_37_274
*3135 FILLER_37_281
*3136 FILLER_37_287
*3137 FILLER_37_293
*3138 FILLER_37_313
*3139 FILLER_37_325
*3140 FILLER_37_332
*3141 FILLER_37_337
*3142 FILLER_37_343
*3143 FILLER_37_351
*3144 FILLER_37_358
*3145 FILLER_37_380
*3146 FILLER_37_393
*3147 FILLER_37_405
*3148 FILLER_37_417
*3149 FILLER_37_429
*3150 FILLER_37_44
*3151 FILLER_37_441
*3152 FILLER_37_447
*3153 FILLER_37_449
*3154 FILLER_37_461
*3155 FILLER_37_473
*3156 FILLER_37_485
*3157 FILLER_37_497
*3158 FILLER_37_503
*3159 FILLER_37_505
*3160 FILLER_37_517
*3161 FILLER_37_529
*3162 FILLER_37_541
*3163 FILLER_37_553
*3164 FILLER_37_559
*3165 FILLER_37_561
*3166 FILLER_37_57
*3167 FILLER_37_573
*3168 FILLER_37_585
*3169 FILLER_37_597
*3170 FILLER_37_609
*3171 FILLER_37_615
*3172 FILLER_37_617
*3173 FILLER_37_7
*3174 FILLER_37_70
*3175 FILLER_37_82
*3176 FILLER_37_94
*3177 FILLER_38_102
*3178 FILLER_38_114
*3179 FILLER_38_119
*3180 FILLER_38_127
*3181 FILLER_38_13
*3182 FILLER_38_135
*3183 FILLER_38_139
*3184 FILLER_38_141
*3185 FILLER_38_151
*3186 FILLER_38_159
*3187 FILLER_38_178
*3188 FILLER_38_190
*3189 FILLER_38_197
*3190 FILLER_38_20
*3191 FILLER_38_209
*3192 FILLER_38_221
*3193 FILLER_38_240
*3194 FILLER_38_253
*3195 FILLER_38_261
*3196 FILLER_38_280
*3197 FILLER_38_292
*3198 FILLER_38_296
*3199 FILLER_38_300
*3200 FILLER_38_309
*3201 FILLER_38_32
*3202 FILLER_38_321
*3203 FILLER_38_343
*3204 FILLER_38_355
*3205 FILLER_38_363
*3206 FILLER_38_365
*3207 FILLER_38_377
*3208 FILLER_38_389
*3209 FILLER_38_401
*3210 FILLER_38_413
*3211 FILLER_38_419
*3212 FILLER_38_421
*3213 FILLER_38_433
*3214 FILLER_38_44
*3215 FILLER_38_445
*3216 FILLER_38_457
*3217 FILLER_38_469
*3218 FILLER_38_475
*3219 FILLER_38_477
*3220 FILLER_38_489
*3221 FILLER_38_501
*3222 FILLER_38_513
*3223 FILLER_38_525
*3224 FILLER_38_531
*3225 FILLER_38_533
*3226 FILLER_38_54
*3227 FILLER_38_545
*3228 FILLER_38_557
*3229 FILLER_38_569
*3230 FILLER_38_581
*3231 FILLER_38_587
*3232 FILLER_38_589
*3233 FILLER_38_601
*3234 FILLER_38_613
*3235 FILLER_38_64
*3236 FILLER_38_76
*3237 FILLER_38_85
*3238 FILLER_38_93
*3239 FILLER_39_100
*3240 FILLER_39_113
*3241 FILLER_39_121
*3242 FILLER_39_144
*3243 FILLER_39_152
*3244 FILLER_39_161
*3245 FILLER_39_167
*3246 FILLER_39_169
*3247 FILLER_39_181
*3248 FILLER_39_193
*3249 FILLER_39_201
*3250 FILLER_39_213
*3251 FILLER_39_221
*3252 FILLER_39_225
*3253 FILLER_39_237
*3254 FILLER_39_249
*3255 FILLER_39_261
*3256 FILLER_39_27
*3257 FILLER_39_273
*3258 FILLER_39_279
*3259 FILLER_39_281
*3260 FILLER_39_293
*3261 FILLER_39_305
*3262 FILLER_39_317
*3263 FILLER_39_329
*3264 FILLER_39_335
*3265 FILLER_39_337
*3266 FILLER_39_349
*3267 FILLER_39_361
*3268 FILLER_39_373
*3269 FILLER_39_385
*3270 FILLER_39_39
*3271 FILLER_39_391
*3272 FILLER_39_393
*3273 FILLER_39_405
*3274 FILLER_39_417
*3275 FILLER_39_429
*3276 FILLER_39_441
*3277 FILLER_39_447
*3278 FILLER_39_449
*3279 FILLER_39_461
*3280 FILLER_39_473
*3281 FILLER_39_485
*3282 FILLER_39_497
*3283 FILLER_39_503
*3284 FILLER_39_505
*3285 FILLER_39_51
*3286 FILLER_39_517
*3287 FILLER_39_529
*3288 FILLER_39_541
*3289 FILLER_39_55
*3290 FILLER_39_553
*3291 FILLER_39_559
*3292 FILLER_39_561
*3293 FILLER_39_573
*3294 FILLER_39_585
*3295 FILLER_39_597
*3296 FILLER_39_609
*3297 FILLER_39_615
*3298 FILLER_39_617
*3299 FILLER_39_63
*3300 FILLER_39_7
*3301 FILLER_39_75
*3302 FILLER_39_83
*3303 FILLER_3_101
*3304 FILLER_3_105
*3305 FILLER_3_111
*3306 FILLER_3_113
*3307 FILLER_3_121
*3308 FILLER_3_129
*3309 FILLER_3_137
*3310 FILLER_3_142
*3311 FILLER_3_153
*3312 FILLER_3_165
*3313 FILLER_3_172
*3314 FILLER_3_184
*3315 FILLER_3_192
*3316 FILLER_3_197
*3317 FILLER_3_209
*3318 FILLER_3_221
*3319 FILLER_3_225
*3320 FILLER_3_231
*3321 FILLER_3_237
*3322 FILLER_3_248
*3323 FILLER_3_25
*3324 FILLER_3_260
*3325 FILLER_3_273
*3326 FILLER_3_279
*3327 FILLER_3_281
*3328 FILLER_3_293
*3329 FILLER_3_3
*3330 FILLER_3_311
*3331 FILLER_3_33
*3332 FILLER_3_330
*3333 FILLER_3_337
*3334 FILLER_3_343
*3335 FILLER_3_355
*3336 FILLER_3_367
*3337 FILLER_3_379
*3338 FILLER_3_391
*3339 FILLER_3_398
*3340 FILLER_3_40
*3341 FILLER_3_411
*3342 FILLER_3_423
*3343 FILLER_3_431
*3344 FILLER_3_442
*3345 FILLER_3_465
*3346 FILLER_3_47
*3347 FILLER_3_477
*3348 FILLER_3_481
*3349 FILLER_3_489
*3350 FILLER_3_500
*3351 FILLER_3_512
*3352 FILLER_3_525
*3353 FILLER_3_537
*3354 FILLER_3_549
*3355 FILLER_3_55
*3356 FILLER_3_557
*3357 FILLER_3_561
*3358 FILLER_3_573
*3359 FILLER_3_585
*3360 FILLER_3_597
*3361 FILLER_3_609
*3362 FILLER_3_615
*3363 FILLER_3_617
*3364 FILLER_3_63
*3365 FILLER_3_75
*3366 FILLER_3_82
*3367 FILLER_3_90
*3368 FILLER_3_95
*3369 FILLER_40_108
*3370 FILLER_40_120
*3371 FILLER_40_136
*3372 FILLER_40_141
*3373 FILLER_40_153
*3374 FILLER_40_174
*3375 FILLER_40_192
*3376 FILLER_40_213
*3377 FILLER_40_22
*3378 FILLER_40_225
*3379 FILLER_40_237
*3380 FILLER_40_249
*3381 FILLER_40_253
*3382 FILLER_40_265
*3383 FILLER_40_277
*3384 FILLER_40_289
*3385 FILLER_40_29
*3386 FILLER_40_301
*3387 FILLER_40_307
*3388 FILLER_40_309
*3389 FILLER_40_321
*3390 FILLER_40_333
*3391 FILLER_40_345
*3392 FILLER_40_357
*3393 FILLER_40_363
*3394 FILLER_40_365
*3395 FILLER_40_377
*3396 FILLER_40_389
*3397 FILLER_40_401
*3398 FILLER_40_413
*3399 FILLER_40_419
*3400 FILLER_40_421
*3401 FILLER_40_433
*3402 FILLER_40_445
*3403 FILLER_40_45
*3404 FILLER_40_457
*3405 FILLER_40_469
*3406 FILLER_40_475
*3407 FILLER_40_477
*3408 FILLER_40_489
*3409 FILLER_40_501
*3410 FILLER_40_513
*3411 FILLER_40_525
*3412 FILLER_40_531
*3413 FILLER_40_533
*3414 FILLER_40_545
*3415 FILLER_40_557
*3416 FILLER_40_569
*3417 FILLER_40_57
*3418 FILLER_40_581
*3419 FILLER_40_587
*3420 FILLER_40_589
*3421 FILLER_40_601
*3422 FILLER_40_613
*3423 FILLER_40_69
*3424 FILLER_40_81
*3425 FILLER_40_85
*3426 FILLER_40_9
*3427 FILLER_40_97
*3428 FILLER_41_10
*3429 FILLER_41_101
*3430 FILLER_41_109
*3431 FILLER_41_113
*3432 FILLER_41_125
*3433 FILLER_41_137
*3434 FILLER_41_149
*3435 FILLER_41_161
*3436 FILLER_41_167
*3437 FILLER_41_169
*3438 FILLER_41_181
*3439 FILLER_41_209
*3440 FILLER_41_221
*3441 FILLER_41_225
*3442 FILLER_41_237
*3443 FILLER_41_249
*3444 FILLER_41_261
*3445 FILLER_41_27
*3446 FILLER_41_273
*3447 FILLER_41_279
*3448 FILLER_41_281
*3449 FILLER_41_293
*3450 FILLER_41_305
*3451 FILLER_41_317
*3452 FILLER_41_329
*3453 FILLER_41_335
*3454 FILLER_41_337
*3455 FILLER_41_349
*3456 FILLER_41_361
*3457 FILLER_41_373
*3458 FILLER_41_385
*3459 FILLER_41_39
*3460 FILLER_41_391
*3461 FILLER_41_393
*3462 FILLER_41_405
*3463 FILLER_41_417
*3464 FILLER_41_429
*3465 FILLER_41_441
*3466 FILLER_41_447
*3467 FILLER_41_449
*3468 FILLER_41_461
*3469 FILLER_41_473
*3470 FILLER_41_485
*3471 FILLER_41_497
*3472 FILLER_41_503
*3473 FILLER_41_505
*3474 FILLER_41_517
*3475 FILLER_41_52
*3476 FILLER_41_529
*3477 FILLER_41_541
*3478 FILLER_41_553
*3479 FILLER_41_559
*3480 FILLER_41_561
*3481 FILLER_41_573
*3482 FILLER_41_585
*3483 FILLER_41_597
*3484 FILLER_41_6
*3485 FILLER_41_609
*3486 FILLER_41_615
*3487 FILLER_41_617
*3488 FILLER_41_73
*3489 FILLER_41_85
*3490 FILLER_42_101
*3491 FILLER_42_121
*3492 FILLER_42_133
*3493 FILLER_42_139
*3494 FILLER_42_149
*3495 FILLER_42_153
*3496 FILLER_42_16
*3497 FILLER_42_165
*3498 FILLER_42_177
*3499 FILLER_42_189
*3500 FILLER_42_195
*3501 FILLER_42_197
*3502 FILLER_42_209
*3503 FILLER_42_221
*3504 FILLER_42_233
*3505 FILLER_42_245
*3506 FILLER_42_251
*3507 FILLER_42_253
*3508 FILLER_42_265
*3509 FILLER_42_277
*3510 FILLER_42_289
*3511 FILLER_42_29
*3512 FILLER_42_301
*3513 FILLER_42_307
*3514 FILLER_42_309
*3515 FILLER_42_321
*3516 FILLER_42_333
*3517 FILLER_42_345
*3518 FILLER_42_357
*3519 FILLER_42_363
*3520 FILLER_42_365
*3521 FILLER_42_377
*3522 FILLER_42_389
*3523 FILLER_42_401
*3524 FILLER_42_41
*3525 FILLER_42_413
*3526 FILLER_42_419
*3527 FILLER_42_421
*3528 FILLER_42_433
*3529 FILLER_42_445
*3530 FILLER_42_457
*3531 FILLER_42_469
*3532 FILLER_42_475
*3533 FILLER_42_477
*3534 FILLER_42_489
*3535 FILLER_42_50
*3536 FILLER_42_501
*3537 FILLER_42_513
*3538 FILLER_42_525
*3539 FILLER_42_531
*3540 FILLER_42_533
*3541 FILLER_42_545
*3542 FILLER_42_557
*3543 FILLER_42_569
*3544 FILLER_42_581
*3545 FILLER_42_587
*3546 FILLER_42_589
*3547 FILLER_42_601
*3548 FILLER_42_613
*3549 FILLER_42_62
*3550 FILLER_42_7
*3551 FILLER_42_74
*3552 FILLER_42_82
*3553 FILLER_43_105
*3554 FILLER_43_111
*3555 FILLER_43_113
*3556 FILLER_43_13
*3557 FILLER_43_142
*3558 FILLER_43_154
*3559 FILLER_43_164
*3560 FILLER_43_169
*3561 FILLER_43_181
*3562 FILLER_43_193
*3563 FILLER_43_20
*3564 FILLER_43_205
*3565 FILLER_43_217
*3566 FILLER_43_223
*3567 FILLER_43_225
*3568 FILLER_43_237
*3569 FILLER_43_249
*3570 FILLER_43_261
*3571 FILLER_43_273
*3572 FILLER_43_279
*3573 FILLER_43_28
*3574 FILLER_43_281
*3575 FILLER_43_293
*3576 FILLER_43_3
*3577 FILLER_43_305
*3578 FILLER_43_317
*3579 FILLER_43_329
*3580 FILLER_43_33
*3581 FILLER_43_335
*3582 FILLER_43_337
*3583 FILLER_43_349
*3584 FILLER_43_361
*3585 FILLER_43_373
*3586 FILLER_43_385
*3587 FILLER_43_391
*3588 FILLER_43_393
*3589 FILLER_43_405
*3590 FILLER_43_417
*3591 FILLER_43_429
*3592 FILLER_43_441
*3593 FILLER_43_447
*3594 FILLER_43_449
*3595 FILLER_43_46
*3596 FILLER_43_461
*3597 FILLER_43_473
*3598 FILLER_43_485
*3599 FILLER_43_497
*3600 FILLER_43_503
*3601 FILLER_43_505
*3602 FILLER_43_517
*3603 FILLER_43_529
*3604 FILLER_43_54
*3605 FILLER_43_541
*3606 FILLER_43_553
*3607 FILLER_43_559
*3608 FILLER_43_561
*3609 FILLER_43_57
*3610 FILLER_43_573
*3611 FILLER_43_585
*3612 FILLER_43_597
*3613 FILLER_43_609
*3614 FILLER_43_615
*3615 FILLER_43_617
*3616 FILLER_43_69
*3617 FILLER_43_81
*3618 FILLER_43_93
*3619 FILLER_44_105
*3620 FILLER_44_117
*3621 FILLER_44_125
*3622 FILLER_44_137
*3623 FILLER_44_149
*3624 FILLER_44_153
*3625 FILLER_44_161
*3626 FILLER_44_180
*3627 FILLER_44_192
*3628 FILLER_44_197
*3629 FILLER_44_209
*3630 FILLER_44_22
*3631 FILLER_44_221
*3632 FILLER_44_233
*3633 FILLER_44_245
*3634 FILLER_44_251
*3635 FILLER_44_253
*3636 FILLER_44_265
*3637 FILLER_44_277
*3638 FILLER_44_289
*3639 FILLER_44_29
*3640 FILLER_44_301
*3641 FILLER_44_307
*3642 FILLER_44_309
*3643 FILLER_44_321
*3644 FILLER_44_333
*3645 FILLER_44_345
*3646 FILLER_44_357
*3647 FILLER_44_363
*3648 FILLER_44_365
*3649 FILLER_44_377
*3650 FILLER_44_38
*3651 FILLER_44_389
*3652 FILLER_44_401
*3653 FILLER_44_413
*3654 FILLER_44_419
*3655 FILLER_44_421
*3656 FILLER_44_433
*3657 FILLER_44_445
*3658 FILLER_44_457
*3659 FILLER_44_469
*3660 FILLER_44_475
*3661 FILLER_44_477
*3662 FILLER_44_489
*3663 FILLER_44_501
*3664 FILLER_44_513
*3665 FILLER_44_525
*3666 FILLER_44_531
*3667 FILLER_44_533
*3668 FILLER_44_545
*3669 FILLER_44_557
*3670 FILLER_44_569
*3671 FILLER_44_58
*3672 FILLER_44_581
*3673 FILLER_44_587
*3674 FILLER_44_589
*3675 FILLER_44_601
*3676 FILLER_44_613
*3677 FILLER_44_7
*3678 FILLER_44_70
*3679 FILLER_44_82
*3680 FILLER_44_85
*3681 FILLER_44_93
*3682 FILLER_45_101
*3683 FILLER_45_108
*3684 FILLER_45_113
*3685 FILLER_45_125
*3686 FILLER_45_150
*3687 FILLER_45_162
*3688 FILLER_45_169
*3689 FILLER_45_181
*3690 FILLER_45_198
*3691 FILLER_45_210
*3692 FILLER_45_222
*3693 FILLER_45_225
*3694 FILLER_45_237
*3695 FILLER_45_249
*3696 FILLER_45_25
*3697 FILLER_45_261
*3698 FILLER_45_273
*3699 FILLER_45_279
*3700 FILLER_45_281
*3701 FILLER_45_293
*3702 FILLER_45_3
*3703 FILLER_45_305
*3704 FILLER_45_317
*3705 FILLER_45_32
*3706 FILLER_45_329
*3707 FILLER_45_335
*3708 FILLER_45_337
*3709 FILLER_45_349
*3710 FILLER_45_361
*3711 FILLER_45_373
*3712 FILLER_45_385
*3713 FILLER_45_391
*3714 FILLER_45_393
*3715 FILLER_45_405
*3716 FILLER_45_417
*3717 FILLER_45_429
*3718 FILLER_45_44
*3719 FILLER_45_441
*3720 FILLER_45_447
*3721 FILLER_45_449
*3722 FILLER_45_461
*3723 FILLER_45_473
*3724 FILLER_45_485
*3725 FILLER_45_497
*3726 FILLER_45_503
*3727 FILLER_45_505
*3728 FILLER_45_517
*3729 FILLER_45_529
*3730 FILLER_45_541
*3731 FILLER_45_553
*3732 FILLER_45_559
*3733 FILLER_45_561
*3734 FILLER_45_573
*3735 FILLER_45_585
*3736 FILLER_45_597
*3737 FILLER_45_609
*3738 FILLER_45_615
*3739 FILLER_45_617
*3740 FILLER_45_66
*3741 FILLER_45_74
*3742 FILLER_45_93
*3743 FILLER_46_114
*3744 FILLER_46_126
*3745 FILLER_46_13
*3746 FILLER_46_138
*3747 FILLER_46_141
*3748 FILLER_46_153
*3749 FILLER_46_170
*3750 FILLER_46_182
*3751 FILLER_46_194
*3752 FILLER_46_197
*3753 FILLER_46_20
*3754 FILLER_46_209
*3755 FILLER_46_221
*3756 FILLER_46_233
*3757 FILLER_46_245
*3758 FILLER_46_251
*3759 FILLER_46_253
*3760 FILLER_46_265
*3761 FILLER_46_277
*3762 FILLER_46_289
*3763 FILLER_46_3
*3764 FILLER_46_301
*3765 FILLER_46_307
*3766 FILLER_46_309
*3767 FILLER_46_32
*3768 FILLER_46_321
*3769 FILLER_46_333
*3770 FILLER_46_345
*3771 FILLER_46_357
*3772 FILLER_46_363
*3773 FILLER_46_365
*3774 FILLER_46_377
*3775 FILLER_46_389
*3776 FILLER_46_401
*3777 FILLER_46_413
*3778 FILLER_46_419
*3779 FILLER_46_421
*3780 FILLER_46_433
*3781 FILLER_46_445
*3782 FILLER_46_457
*3783 FILLER_46_469
*3784 FILLER_46_47
*3785 FILLER_46_475
*3786 FILLER_46_477
*3787 FILLER_46_489
*3788 FILLER_46_501
*3789 FILLER_46_513
*3790 FILLER_46_525
*3791 FILLER_46_531
*3792 FILLER_46_533
*3793 FILLER_46_545
*3794 FILLER_46_557
*3795 FILLER_46_569
*3796 FILLER_46_581
*3797 FILLER_46_587
*3798 FILLER_46_589
*3799 FILLER_46_601
*3800 FILLER_46_613
*3801 FILLER_46_67
*3802 FILLER_46_79
*3803 FILLER_46_83
*3804 FILLER_46_85
*3805 FILLER_46_97
*3806 FILLER_47_105
*3807 FILLER_47_111
*3808 FILLER_47_113
*3809 FILLER_47_125
*3810 FILLER_47_137
*3811 FILLER_47_149
*3812 FILLER_47_161
*3813 FILLER_47_167
*3814 FILLER_47_175
*3815 FILLER_47_183
*3816 FILLER_47_190
*3817 FILLER_47_202
*3818 FILLER_47_214
*3819 FILLER_47_222
*3820 FILLER_47_225
*3821 FILLER_47_237
*3822 FILLER_47_249
*3823 FILLER_47_261
*3824 FILLER_47_273
*3825 FILLER_47_279
*3826 FILLER_47_281
*3827 FILLER_47_293
*3828 FILLER_47_305
*3829 FILLER_47_31
*3830 FILLER_47_317
*3831 FILLER_47_329
*3832 FILLER_47_335
*3833 FILLER_47_337
*3834 FILLER_47_349
*3835 FILLER_47_361
*3836 FILLER_47_373
*3837 FILLER_47_385
*3838 FILLER_47_391
*3839 FILLER_47_393
*3840 FILLER_47_405
*3841 FILLER_47_417
*3842 FILLER_47_429
*3843 FILLER_47_43
*3844 FILLER_47_441
*3845 FILLER_47_447
*3846 FILLER_47_449
*3847 FILLER_47_461
*3848 FILLER_47_473
*3849 FILLER_47_485
*3850 FILLER_47_497
*3851 FILLER_47_503
*3852 FILLER_47_505
*3853 FILLER_47_517
*3854 FILLER_47_529
*3855 FILLER_47_541
*3856 FILLER_47_55
*3857 FILLER_47_553
*3858 FILLER_47_559
*3859 FILLER_47_561
*3860 FILLER_47_57
*3861 FILLER_47_573
*3862 FILLER_47_585
*3863 FILLER_47_597
*3864 FILLER_47_609
*3865 FILLER_47_615
*3866 FILLER_47_617
*3867 FILLER_47_69
*3868 FILLER_47_7
*3869 FILLER_47_81
*3870 FILLER_47_93
*3871 FILLER_48_109
*3872 FILLER_48_11
*3873 FILLER_48_117
*3874 FILLER_48_126
*3875 FILLER_48_138
*3876 FILLER_48_141
*3877 FILLER_48_147
*3878 FILLER_48_154
*3879 FILLER_48_166
*3880 FILLER_48_174
*3881 FILLER_48_192
*3882 FILLER_48_197
*3883 FILLER_48_209
*3884 FILLER_48_221
*3885 FILLER_48_233
*3886 FILLER_48_24
*3887 FILLER_48_245
*3888 FILLER_48_251
*3889 FILLER_48_253
*3890 FILLER_48_265
*3891 FILLER_48_277
*3892 FILLER_48_289
*3893 FILLER_48_29
*3894 FILLER_48_3
*3895 FILLER_48_301
*3896 FILLER_48_307
*3897 FILLER_48_309
*3898 FILLER_48_321
*3899 FILLER_48_333
*3900 FILLER_48_345
*3901 FILLER_48_357
*3902 FILLER_48_363
*3903 FILLER_48_365
*3904 FILLER_48_377
*3905 FILLER_48_389
*3906 FILLER_48_401
*3907 FILLER_48_41
*3908 FILLER_48_413
*3909 FILLER_48_419
*3910 FILLER_48_421
*3911 FILLER_48_433
*3912 FILLER_48_445
*3913 FILLER_48_457
*3914 FILLER_48_469
*3915 FILLER_48_475
*3916 FILLER_48_477
*3917 FILLER_48_489
*3918 FILLER_48_501
*3919 FILLER_48_513
*3920 FILLER_48_525
*3921 FILLER_48_53
*3922 FILLER_48_531
*3923 FILLER_48_533
*3924 FILLER_48_545
*3925 FILLER_48_557
*3926 FILLER_48_569
*3927 FILLER_48_581
*3928 FILLER_48_587
*3929 FILLER_48_589
*3930 FILLER_48_601
*3931 FILLER_48_613
*3932 FILLER_48_64
*3933 FILLER_48_76
*3934 FILLER_48_85
*3935 FILLER_48_97
*3936 FILLER_49_109
*3937 FILLER_49_129
*3938 FILLER_49_15
*3939 FILLER_49_157
*3940 FILLER_49_165
*3941 FILLER_49_169
*3942 FILLER_49_181
*3943 FILLER_49_19
*3944 FILLER_49_193
*3945 FILLER_49_205
*3946 FILLER_49_217
*3947 FILLER_49_223
*3948 FILLER_49_225
*3949 FILLER_49_237
*3950 FILLER_49_249
*3951 FILLER_49_261
*3952 FILLER_49_273
*3953 FILLER_49_279
*3954 FILLER_49_281
*3955 FILLER_49_293
*3956 FILLER_49_305
*3957 FILLER_49_31
*3958 FILLER_49_317
*3959 FILLER_49_329
*3960 FILLER_49_335
*3961 FILLER_49_337
*3962 FILLER_49_349
*3963 FILLER_49_361
*3964 FILLER_49_373
*3965 FILLER_49_385
*3966 FILLER_49_391
*3967 FILLER_49_393
*3968 FILLER_49_405
*3969 FILLER_49_417
*3970 FILLER_49_429
*3971 FILLER_49_43
*3972 FILLER_49_441
*3973 FILLER_49_447
*3974 FILLER_49_449
*3975 FILLER_49_461
*3976 FILLER_49_473
*3977 FILLER_49_485
*3978 FILLER_49_497
*3979 FILLER_49_503
*3980 FILLER_49_505
*3981 FILLER_49_517
*3982 FILLER_49_52
*3983 FILLER_49_529
*3984 FILLER_49_541
*3985 FILLER_49_553
*3986 FILLER_49_559
*3987 FILLER_49_561
*3988 FILLER_49_573
*3989 FILLER_49_585
*3990 FILLER_49_597
*3991 FILLER_49_609
*3992 FILLER_49_615
*3993 FILLER_49_617
*3994 FILLER_49_7
*3995 FILLER_49_73
*3996 FILLER_49_85
*3997 FILLER_49_97
*3998 FILLER_4_10
*3999 FILLER_4_114
*4000 FILLER_4_126
*4001 FILLER_4_138
*4002 FILLER_4_141
*4003 FILLER_4_153
*4004 FILLER_4_165
*4005 FILLER_4_175
*4006 FILLER_4_18
*4007 FILLER_4_187
*4008 FILLER_4_195
*4009 FILLER_4_197
*4010 FILLER_4_203
*4011 FILLER_4_215
*4012 FILLER_4_227
*4013 FILLER_4_239
*4014 FILLER_4_251
*4015 FILLER_4_26
*4016 FILLER_4_261
*4017 FILLER_4_273
*4018 FILLER_4_285
*4019 FILLER_4_293
*4020 FILLER_4_3
*4021 FILLER_4_305
*4022 FILLER_4_309
*4023 FILLER_4_322
*4024 FILLER_4_333
*4025 FILLER_4_345
*4026 FILLER_4_349
*4027 FILLER_4_357
*4028 FILLER_4_363
*4029 FILLER_4_365
*4030 FILLER_4_380
*4031 FILLER_4_392
*4032 FILLER_4_396
*4033 FILLER_4_401
*4034 FILLER_4_413
*4035 FILLER_4_419
*4036 FILLER_4_428
*4037 FILLER_4_436
*4038 FILLER_4_448
*4039 FILLER_4_45
*4040 FILLER_4_460
*4041 FILLER_4_472
*4042 FILLER_4_477
*4043 FILLER_4_485
*4044 FILLER_4_507
*4045 FILLER_4_515
*4046 FILLER_4_524
*4047 FILLER_4_53
*4048 FILLER_4_533
*4049 FILLER_4_545
*4050 FILLER_4_557
*4051 FILLER_4_569
*4052 FILLER_4_581
*4053 FILLER_4_587
*4054 FILLER_4_589
*4055 FILLER_4_601
*4056 FILLER_4_613
*4057 FILLER_4_70
*4058 FILLER_4_77
*4059 FILLER_4_83
*4060 FILLER_4_85
*4061 FILLER_4_97
*4062 FILLER_50_109
*4063 FILLER_50_121
*4064 FILLER_50_133
*4065 FILLER_50_139
*4066 FILLER_50_141
*4067 FILLER_50_153
*4068 FILLER_50_165
*4069 FILLER_50_177
*4070 FILLER_50_189
*4071 FILLER_50_195
*4072 FILLER_50_197
*4073 FILLER_50_209
*4074 FILLER_50_22
*4075 FILLER_50_221
*4076 FILLER_50_233
*4077 FILLER_50_245
*4078 FILLER_50_251
*4079 FILLER_50_253
*4080 FILLER_50_265
*4081 FILLER_50_277
*4082 FILLER_50_289
*4083 FILLER_50_29
*4084 FILLER_50_3
*4085 FILLER_50_301
*4086 FILLER_50_307
*4087 FILLER_50_309
*4088 FILLER_50_321
*4089 FILLER_50_333
*4090 FILLER_50_345
*4091 FILLER_50_357
*4092 FILLER_50_363
*4093 FILLER_50_365
*4094 FILLER_50_377
*4095 FILLER_50_389
*4096 FILLER_50_401
*4097 FILLER_50_413
*4098 FILLER_50_419
*4099 FILLER_50_421
*4100 FILLER_50_433
*4101 FILLER_50_445
*4102 FILLER_50_457
*4103 FILLER_50_46
*4104 FILLER_50_469
*4105 FILLER_50_475
*4106 FILLER_50_477
*4107 FILLER_50_489
*4108 FILLER_50_501
*4109 FILLER_50_513
*4110 FILLER_50_525
*4111 FILLER_50_531
*4112 FILLER_50_533
*4113 FILLER_50_54
*4114 FILLER_50_545
*4115 FILLER_50_557
*4116 FILLER_50_569
*4117 FILLER_50_581
*4118 FILLER_50_587
*4119 FILLER_50_589
*4120 FILLER_50_601
*4121 FILLER_50_613
*4122 FILLER_50_63
*4123 FILLER_50_75
*4124 FILLER_50_83
*4125 FILLER_50_85
*4126 FILLER_50_9
*4127 FILLER_50_97
*4128 FILLER_51_105
*4129 FILLER_51_111
*4130 FILLER_51_113
*4131 FILLER_51_125
*4132 FILLER_51_137
*4133 FILLER_51_149
*4134 FILLER_51_161
*4135 FILLER_51_167
*4136 FILLER_51_169
*4137 FILLER_51_181
*4138 FILLER_51_193
*4139 FILLER_51_205
*4140 FILLER_51_217
*4141 FILLER_51_223
*4142 FILLER_51_225
*4143 FILLER_51_237
*4144 FILLER_51_249
*4145 FILLER_51_26
*4146 FILLER_51_261
*4147 FILLER_51_273
*4148 FILLER_51_279
*4149 FILLER_51_281
*4150 FILLER_51_293
*4151 FILLER_51_305
*4152 FILLER_51_317
*4153 FILLER_51_329
*4154 FILLER_51_335
*4155 FILLER_51_337
*4156 FILLER_51_34
*4157 FILLER_51_349
*4158 FILLER_51_361
*4159 FILLER_51_373
*4160 FILLER_51_385
*4161 FILLER_51_391
*4162 FILLER_51_393
*4163 FILLER_51_405
*4164 FILLER_51_417
*4165 FILLER_51_429
*4166 FILLER_51_441
*4167 FILLER_51_447
*4168 FILLER_51_449
*4169 FILLER_51_461
*4170 FILLER_51_473
*4171 FILLER_51_485
*4172 FILLER_51_497
*4173 FILLER_51_503
*4174 FILLER_51_505
*4175 FILLER_51_517
*4176 FILLER_51_52
*4177 FILLER_51_529
*4178 FILLER_51_541
*4179 FILLER_51_553
*4180 FILLER_51_559
*4181 FILLER_51_561
*4182 FILLER_51_57
*4183 FILLER_51_573
*4184 FILLER_51_585
*4185 FILLER_51_597
*4186 FILLER_51_6
*4187 FILLER_51_609
*4188 FILLER_51_615
*4189 FILLER_51_617
*4190 FILLER_51_69
*4191 FILLER_51_81
*4192 FILLER_51_93
*4193 FILLER_52_109
*4194 FILLER_52_11
*4195 FILLER_52_121
*4196 FILLER_52_133
*4197 FILLER_52_139
*4198 FILLER_52_141
*4199 FILLER_52_15
*4200 FILLER_52_153
*4201 FILLER_52_165
*4202 FILLER_52_177
*4203 FILLER_52_189
*4204 FILLER_52_195
*4205 FILLER_52_197
*4206 FILLER_52_209
*4207 FILLER_52_22
*4208 FILLER_52_221
*4209 FILLER_52_233
*4210 FILLER_52_245
*4211 FILLER_52_251
*4212 FILLER_52_253
*4213 FILLER_52_265
*4214 FILLER_52_277
*4215 FILLER_52_289
*4216 FILLER_52_29
*4217 FILLER_52_301
*4218 FILLER_52_307
*4219 FILLER_52_309
*4220 FILLER_52_321
*4221 FILLER_52_333
*4222 FILLER_52_345
*4223 FILLER_52_357
*4224 FILLER_52_363
*4225 FILLER_52_365
*4226 FILLER_52_37
*4227 FILLER_52_377
*4228 FILLER_52_389
*4229 FILLER_52_401
*4230 FILLER_52_413
*4231 FILLER_52_419
*4232 FILLER_52_42
*4233 FILLER_52_421
*4234 FILLER_52_433
*4235 FILLER_52_445
*4236 FILLER_52_457
*4237 FILLER_52_469
*4238 FILLER_52_475
*4239 FILLER_52_477
*4240 FILLER_52_489
*4241 FILLER_52_501
*4242 FILLER_52_513
*4243 FILLER_52_525
*4244 FILLER_52_531
*4245 FILLER_52_533
*4246 FILLER_52_54
*4247 FILLER_52_545
*4248 FILLER_52_557
*4249 FILLER_52_569
*4250 FILLER_52_581
*4251 FILLER_52_587
*4252 FILLER_52_589
*4253 FILLER_52_601
*4254 FILLER_52_613
*4255 FILLER_52_66
*4256 FILLER_52_7
*4257 FILLER_52_78
*4258 FILLER_52_85
*4259 FILLER_52_97
*4260 FILLER_53_105
*4261 FILLER_53_111
*4262 FILLER_53_113
*4263 FILLER_53_125
*4264 FILLER_53_137
*4265 FILLER_53_149
*4266 FILLER_53_161
*4267 FILLER_53_167
*4268 FILLER_53_169
*4269 FILLER_53_18
*4270 FILLER_53_181
*4271 FILLER_53_193
*4272 FILLER_53_205
*4273 FILLER_53_217
*4274 FILLER_53_223
*4275 FILLER_53_225
*4276 FILLER_53_237
*4277 FILLER_53_249
*4278 FILLER_53_261
*4279 FILLER_53_273
*4280 FILLER_53_279
*4281 FILLER_53_281
*4282 FILLER_53_293
*4283 FILLER_53_3
*4284 FILLER_53_30
*4285 FILLER_53_305
*4286 FILLER_53_317
*4287 FILLER_53_329
*4288 FILLER_53_335
*4289 FILLER_53_337
*4290 FILLER_53_349
*4291 FILLER_53_361
*4292 FILLER_53_373
*4293 FILLER_53_385
*4294 FILLER_53_391
*4295 FILLER_53_393
*4296 FILLER_53_405
*4297 FILLER_53_417
*4298 FILLER_53_42
*4299 FILLER_53_429
*4300 FILLER_53_441
*4301 FILLER_53_447
*4302 FILLER_53_449
*4303 FILLER_53_461
*4304 FILLER_53_473
*4305 FILLER_53_485
*4306 FILLER_53_497
*4307 FILLER_53_503
*4308 FILLER_53_505
*4309 FILLER_53_517
*4310 FILLER_53_529
*4311 FILLER_53_54
*4312 FILLER_53_541
*4313 FILLER_53_553
*4314 FILLER_53_559
*4315 FILLER_53_561
*4316 FILLER_53_57
*4317 FILLER_53_573
*4318 FILLER_53_585
*4319 FILLER_53_597
*4320 FILLER_53_609
*4321 FILLER_53_615
*4322 FILLER_53_617
*4323 FILLER_53_69
*4324 FILLER_53_81
*4325 FILLER_53_93
*4326 FILLER_54_109
*4327 FILLER_54_121
*4328 FILLER_54_133
*4329 FILLER_54_139
*4330 FILLER_54_14
*4331 FILLER_54_141
*4332 FILLER_54_153
*4333 FILLER_54_165
*4334 FILLER_54_177
*4335 FILLER_54_189
*4336 FILLER_54_195
*4337 FILLER_54_197
*4338 FILLER_54_209
*4339 FILLER_54_221
*4340 FILLER_54_233
*4341 FILLER_54_245
*4342 FILLER_54_251
*4343 FILLER_54_253
*4344 FILLER_54_26
*4345 FILLER_54_265
*4346 FILLER_54_277
*4347 FILLER_54_289
*4348 FILLER_54_29
*4349 FILLER_54_301
*4350 FILLER_54_307
*4351 FILLER_54_309
*4352 FILLER_54_321
*4353 FILLER_54_333
*4354 FILLER_54_345
*4355 FILLER_54_357
*4356 FILLER_54_363
*4357 FILLER_54_365
*4358 FILLER_54_377
*4359 FILLER_54_389
*4360 FILLER_54_401
*4361 FILLER_54_41
*4362 FILLER_54_413
*4363 FILLER_54_419
*4364 FILLER_54_421
*4365 FILLER_54_433
*4366 FILLER_54_445
*4367 FILLER_54_457
*4368 FILLER_54_469
*4369 FILLER_54_475
*4370 FILLER_54_477
*4371 FILLER_54_489
*4372 FILLER_54_501
*4373 FILLER_54_513
*4374 FILLER_54_525
*4375 FILLER_54_53
*4376 FILLER_54_531
*4377 FILLER_54_533
*4378 FILLER_54_545
*4379 FILLER_54_557
*4380 FILLER_54_569
*4381 FILLER_54_581
*4382 FILLER_54_587
*4383 FILLER_54_589
*4384 FILLER_54_601
*4385 FILLER_54_613
*4386 FILLER_54_65
*4387 FILLER_54_7
*4388 FILLER_54_77
*4389 FILLER_54_83
*4390 FILLER_54_85
*4391 FILLER_54_97
*4392 FILLER_55_105
*4393 FILLER_55_111
*4394 FILLER_55_113
*4395 FILLER_55_125
*4396 FILLER_55_137
*4397 FILLER_55_149
*4398 FILLER_55_161
*4399 FILLER_55_167
*4400 FILLER_55_169
*4401 FILLER_55_181
*4402 FILLER_55_19
*4403 FILLER_55_193
*4404 FILLER_55_205
*4405 FILLER_55_217
*4406 FILLER_55_223
*4407 FILLER_55_225
*4408 FILLER_55_237
*4409 FILLER_55_249
*4410 FILLER_55_261
*4411 FILLER_55_273
*4412 FILLER_55_279
*4413 FILLER_55_281
*4414 FILLER_55_293
*4415 FILLER_55_305
*4416 FILLER_55_31
*4417 FILLER_55_317
*4418 FILLER_55_329
*4419 FILLER_55_335
*4420 FILLER_55_337
*4421 FILLER_55_349
*4422 FILLER_55_361
*4423 FILLER_55_373
*4424 FILLER_55_385
*4425 FILLER_55_391
*4426 FILLER_55_393
*4427 FILLER_55_405
*4428 FILLER_55_417
*4429 FILLER_55_429
*4430 FILLER_55_43
*4431 FILLER_55_441
*4432 FILLER_55_447
*4433 FILLER_55_449
*4434 FILLER_55_461
*4435 FILLER_55_473
*4436 FILLER_55_485
*4437 FILLER_55_497
*4438 FILLER_55_503
*4439 FILLER_55_505
*4440 FILLER_55_517
*4441 FILLER_55_529
*4442 FILLER_55_541
*4443 FILLER_55_55
*4444 FILLER_55_553
*4445 FILLER_55_559
*4446 FILLER_55_561
*4447 FILLER_55_57
*4448 FILLER_55_573
*4449 FILLER_55_585
*4450 FILLER_55_597
*4451 FILLER_55_609
*4452 FILLER_55_615
*4453 FILLER_55_617
*4454 FILLER_55_69
*4455 FILLER_55_7
*4456 FILLER_55_81
*4457 FILLER_55_93
*4458 FILLER_56_109
*4459 FILLER_56_121
*4460 FILLER_56_133
*4461 FILLER_56_139
*4462 FILLER_56_141
*4463 FILLER_56_153
*4464 FILLER_56_165
*4465 FILLER_56_177
*4466 FILLER_56_189
*4467 FILLER_56_19
*4468 FILLER_56_195
*4469 FILLER_56_197
*4470 FILLER_56_209
*4471 FILLER_56_221
*4472 FILLER_56_233
*4473 FILLER_56_245
*4474 FILLER_56_251
*4475 FILLER_56_253
*4476 FILLER_56_265
*4477 FILLER_56_27
*4478 FILLER_56_277
*4479 FILLER_56_289
*4480 FILLER_56_29
*4481 FILLER_56_301
*4482 FILLER_56_307
*4483 FILLER_56_309
*4484 FILLER_56_321
*4485 FILLER_56_333
*4486 FILLER_56_345
*4487 FILLER_56_357
*4488 FILLER_56_363
*4489 FILLER_56_365
*4490 FILLER_56_377
*4491 FILLER_56_389
*4492 FILLER_56_401
*4493 FILLER_56_41
*4494 FILLER_56_413
*4495 FILLER_56_419
*4496 FILLER_56_421
*4497 FILLER_56_433
*4498 FILLER_56_445
*4499 FILLER_56_457
*4500 FILLER_56_469
*4501 FILLER_56_475
*4502 FILLER_56_477
*4503 FILLER_56_489
*4504 FILLER_56_501
*4505 FILLER_56_513
*4506 FILLER_56_525
*4507 FILLER_56_53
*4508 FILLER_56_531
*4509 FILLER_56_533
*4510 FILLER_56_545
*4511 FILLER_56_557
*4512 FILLER_56_569
*4513 FILLER_56_581
*4514 FILLER_56_587
*4515 FILLER_56_589
*4516 FILLER_56_601
*4517 FILLER_56_613
*4518 FILLER_56_65
*4519 FILLER_56_7
*4520 FILLER_56_77
*4521 FILLER_56_83
*4522 FILLER_56_85
*4523 FILLER_56_97
*4524 FILLER_57_105
*4525 FILLER_57_111
*4526 FILLER_57_113
*4527 FILLER_57_125
*4528 FILLER_57_137
*4529 FILLER_57_149
*4530 FILLER_57_16
*4531 FILLER_57_161
*4532 FILLER_57_167
*4533 FILLER_57_169
*4534 FILLER_57_181
*4535 FILLER_57_193
*4536 FILLER_57_205
*4537 FILLER_57_217
*4538 FILLER_57_223
*4539 FILLER_57_225
*4540 FILLER_57_237
*4541 FILLER_57_249
*4542 FILLER_57_261
*4543 FILLER_57_273
*4544 FILLER_57_279
*4545 FILLER_57_28
*4546 FILLER_57_281
*4547 FILLER_57_293
*4548 FILLER_57_305
*4549 FILLER_57_317
*4550 FILLER_57_329
*4551 FILLER_57_335
*4552 FILLER_57_337
*4553 FILLER_57_349
*4554 FILLER_57_361
*4555 FILLER_57_373
*4556 FILLER_57_385
*4557 FILLER_57_391
*4558 FILLER_57_393
*4559 FILLER_57_40
*4560 FILLER_57_405
*4561 FILLER_57_417
*4562 FILLER_57_429
*4563 FILLER_57_441
*4564 FILLER_57_447
*4565 FILLER_57_449
*4566 FILLER_57_461
*4567 FILLER_57_473
*4568 FILLER_57_485
*4569 FILLER_57_497
*4570 FILLER_57_503
*4571 FILLER_57_505
*4572 FILLER_57_517
*4573 FILLER_57_52
*4574 FILLER_57_529
*4575 FILLER_57_541
*4576 FILLER_57_553
*4577 FILLER_57_559
*4578 FILLER_57_561
*4579 FILLER_57_57
*4580 FILLER_57_573
*4581 FILLER_57_585
*4582 FILLER_57_597
*4583 FILLER_57_609
*4584 FILLER_57_615
*4585 FILLER_57_617
*4586 FILLER_57_69
*4587 FILLER_57_81
*4588 FILLER_57_9
*4589 FILLER_57_93
*4590 FILLER_58_109
*4591 FILLER_58_121
*4592 FILLER_58_133
*4593 FILLER_58_139
*4594 FILLER_58_141
*4595 FILLER_58_153
*4596 FILLER_58_165
*4597 FILLER_58_177
*4598 FILLER_58_189
*4599 FILLER_58_19
*4600 FILLER_58_195
*4601 FILLER_58_197
*4602 FILLER_58_209
*4603 FILLER_58_221
*4604 FILLER_58_233
*4605 FILLER_58_245
*4606 FILLER_58_251
*4607 FILLER_58_253
*4608 FILLER_58_265
*4609 FILLER_58_27
*4610 FILLER_58_277
*4611 FILLER_58_289
*4612 FILLER_58_29
*4613 FILLER_58_301
*4614 FILLER_58_307
*4615 FILLER_58_309
*4616 FILLER_58_321
*4617 FILLER_58_333
*4618 FILLER_58_345
*4619 FILLER_58_357
*4620 FILLER_58_363
*4621 FILLER_58_365
*4622 FILLER_58_377
*4623 FILLER_58_389
*4624 FILLER_58_401
*4625 FILLER_58_41
*4626 FILLER_58_413
*4627 FILLER_58_419
*4628 FILLER_58_421
*4629 FILLER_58_433
*4630 FILLER_58_445
*4631 FILLER_58_457
*4632 FILLER_58_469
*4633 FILLER_58_475
*4634 FILLER_58_477
*4635 FILLER_58_489
*4636 FILLER_58_501
*4637 FILLER_58_513
*4638 FILLER_58_525
*4639 FILLER_58_53
*4640 FILLER_58_531
*4641 FILLER_58_533
*4642 FILLER_58_545
*4643 FILLER_58_557
*4644 FILLER_58_569
*4645 FILLER_58_581
*4646 FILLER_58_587
*4647 FILLER_58_589
*4648 FILLER_58_601
*4649 FILLER_58_613
*4650 FILLER_58_65
*4651 FILLER_58_7
*4652 FILLER_58_77
*4653 FILLER_58_83
*4654 FILLER_58_85
*4655 FILLER_58_97
*4656 FILLER_59_105
*4657 FILLER_59_111
*4658 FILLER_59_113
*4659 FILLER_59_125
*4660 FILLER_59_137
*4661 FILLER_59_14
*4662 FILLER_59_149
*4663 FILLER_59_161
*4664 FILLER_59_167
*4665 FILLER_59_169
*4666 FILLER_59_181
*4667 FILLER_59_193
*4668 FILLER_59_205
*4669 FILLER_59_217
*4670 FILLER_59_223
*4671 FILLER_59_225
*4672 FILLER_59_237
*4673 FILLER_59_249
*4674 FILLER_59_26
*4675 FILLER_59_261
*4676 FILLER_59_273
*4677 FILLER_59_279
*4678 FILLER_59_281
*4679 FILLER_59_293
*4680 FILLER_59_305
*4681 FILLER_59_317
*4682 FILLER_59_329
*4683 FILLER_59_335
*4684 FILLER_59_337
*4685 FILLER_59_349
*4686 FILLER_59_361
*4687 FILLER_59_373
*4688 FILLER_59_38
*4689 FILLER_59_385
*4690 FILLER_59_391
*4691 FILLER_59_393
*4692 FILLER_59_405
*4693 FILLER_59_417
*4694 FILLER_59_429
*4695 FILLER_59_441
*4696 FILLER_59_447
*4697 FILLER_59_449
*4698 FILLER_59_461
*4699 FILLER_59_473
*4700 FILLER_59_485
*4701 FILLER_59_497
*4702 FILLER_59_50
*4703 FILLER_59_503
*4704 FILLER_59_505
*4705 FILLER_59_517
*4706 FILLER_59_529
*4707 FILLER_59_541
*4708 FILLER_59_553
*4709 FILLER_59_559
*4710 FILLER_59_561
*4711 FILLER_59_57
*4712 FILLER_59_573
*4713 FILLER_59_585
*4714 FILLER_59_597
*4715 FILLER_59_609
*4716 FILLER_59_615
*4717 FILLER_59_617
*4718 FILLER_59_69
*4719 FILLER_59_7
*4720 FILLER_59_81
*4721 FILLER_59_93
*4722 FILLER_5_106
*4723 FILLER_5_113
*4724 FILLER_5_125
*4725 FILLER_5_13
*4726 FILLER_5_144
*4727 FILLER_5_156
*4728 FILLER_5_185
*4729 FILLER_5_197
*4730 FILLER_5_203
*4731 FILLER_5_21
*4732 FILLER_5_220
*4733 FILLER_5_225
*4734 FILLER_5_237
*4735 FILLER_5_254
*4736 FILLER_5_261
*4737 FILLER_5_265
*4738 FILLER_5_275
*4739 FILLER_5_279
*4740 FILLER_5_28
*4741 FILLER_5_281
*4742 FILLER_5_293
*4743 FILLER_5_3
*4744 FILLER_5_305
*4745 FILLER_5_317
*4746 FILLER_5_325
*4747 FILLER_5_331
*4748 FILLER_5_335
*4749 FILLER_5_337
*4750 FILLER_5_349
*4751 FILLER_5_35
*4752 FILLER_5_355
*4753 FILLER_5_363
*4754 FILLER_5_388
*4755 FILLER_5_393
*4756 FILLER_5_405
*4757 FILLER_5_409
*4758 FILLER_5_42
*4759 FILLER_5_426
*4760 FILLER_5_438
*4761 FILLER_5_446
*4762 FILLER_5_449
*4763 FILLER_5_461
*4764 FILLER_5_473
*4765 FILLER_5_485
*4766 FILLER_5_49
*4767 FILLER_5_497
*4768 FILLER_5_503
*4769 FILLER_5_505
*4770 FILLER_5_517
*4771 FILLER_5_529
*4772 FILLER_5_537
*4773 FILLER_5_55
*4774 FILLER_5_556
*4775 FILLER_5_561
*4776 FILLER_5_57
*4777 FILLER_5_573
*4778 FILLER_5_585
*4779 FILLER_5_597
*4780 FILLER_5_609
*4781 FILLER_5_615
*4782 FILLER_5_617
*4783 FILLER_5_68
*4784 FILLER_5_80
*4785 FILLER_5_92
*4786 FILLER_60_109
*4787 FILLER_60_11
*4788 FILLER_60_121
*4789 FILLER_60_133
*4790 FILLER_60_139
*4791 FILLER_60_141
*4792 FILLER_60_153
*4793 FILLER_60_165
*4794 FILLER_60_177
*4795 FILLER_60_189
*4796 FILLER_60_195
*4797 FILLER_60_197
*4798 FILLER_60_209
*4799 FILLER_60_221
*4800 FILLER_60_23
*4801 FILLER_60_233
*4802 FILLER_60_245
*4803 FILLER_60_251
*4804 FILLER_60_253
*4805 FILLER_60_265
*4806 FILLER_60_27
*4807 FILLER_60_277
*4808 FILLER_60_289
*4809 FILLER_60_29
*4810 FILLER_60_3
*4811 FILLER_60_301
*4812 FILLER_60_307
*4813 FILLER_60_309
*4814 FILLER_60_321
*4815 FILLER_60_333
*4816 FILLER_60_345
*4817 FILLER_60_357
*4818 FILLER_60_363
*4819 FILLER_60_365
*4820 FILLER_60_377
*4821 FILLER_60_389
*4822 FILLER_60_401
*4823 FILLER_60_41
*4824 FILLER_60_413
*4825 FILLER_60_419
*4826 FILLER_60_421
*4827 FILLER_60_433
*4828 FILLER_60_445
*4829 FILLER_60_457
*4830 FILLER_60_469
*4831 FILLER_60_475
*4832 FILLER_60_477
*4833 FILLER_60_489
*4834 FILLER_60_501
*4835 FILLER_60_513
*4836 FILLER_60_525
*4837 FILLER_60_53
*4838 FILLER_60_531
*4839 FILLER_60_533
*4840 FILLER_60_545
*4841 FILLER_60_557
*4842 FILLER_60_569
*4843 FILLER_60_581
*4844 FILLER_60_587
*4845 FILLER_60_589
*4846 FILLER_60_601
*4847 FILLER_60_613
*4848 FILLER_60_65
*4849 FILLER_60_77
*4850 FILLER_60_83
*4851 FILLER_60_85
*4852 FILLER_60_97
*4853 FILLER_61_105
*4854 FILLER_61_111
*4855 FILLER_61_113
*4856 FILLER_61_125
*4857 FILLER_61_137
*4858 FILLER_61_149
*4859 FILLER_61_15
*4860 FILLER_61_161
*4861 FILLER_61_167
*4862 FILLER_61_169
*4863 FILLER_61_181
*4864 FILLER_61_193
*4865 FILLER_61_205
*4866 FILLER_61_217
*4867 FILLER_61_223
*4868 FILLER_61_225
*4869 FILLER_61_237
*4870 FILLER_61_249
*4871 FILLER_61_261
*4872 FILLER_61_27
*4873 FILLER_61_273
*4874 FILLER_61_279
*4875 FILLER_61_281
*4876 FILLER_61_293
*4877 FILLER_61_3
*4878 FILLER_61_305
*4879 FILLER_61_317
*4880 FILLER_61_329
*4881 FILLER_61_335
*4882 FILLER_61_337
*4883 FILLER_61_349
*4884 FILLER_61_361
*4885 FILLER_61_373
*4886 FILLER_61_385
*4887 FILLER_61_39
*4888 FILLER_61_391
*4889 FILLER_61_393
*4890 FILLER_61_405
*4891 FILLER_61_417
*4892 FILLER_61_429
*4893 FILLER_61_441
*4894 FILLER_61_447
*4895 FILLER_61_449
*4896 FILLER_61_461
*4897 FILLER_61_473
*4898 FILLER_61_485
*4899 FILLER_61_497
*4900 FILLER_61_503
*4901 FILLER_61_505
*4902 FILLER_61_51
*4903 FILLER_61_517
*4904 FILLER_61_529
*4905 FILLER_61_541
*4906 FILLER_61_55
*4907 FILLER_61_553
*4908 FILLER_61_559
*4909 FILLER_61_561
*4910 FILLER_61_57
*4911 FILLER_61_573
*4912 FILLER_61_585
*4913 FILLER_61_597
*4914 FILLER_61_609
*4915 FILLER_61_615
*4916 FILLER_61_617
*4917 FILLER_61_69
*4918 FILLER_61_81
*4919 FILLER_61_93
*4920 FILLER_62_109
*4921 FILLER_62_121
*4922 FILLER_62_133
*4923 FILLER_62_139
*4924 FILLER_62_141
*4925 FILLER_62_153
*4926 FILLER_62_165
*4927 FILLER_62_177
*4928 FILLER_62_189
*4929 FILLER_62_19
*4930 FILLER_62_195
*4931 FILLER_62_197
*4932 FILLER_62_209
*4933 FILLER_62_221
*4934 FILLER_62_233
*4935 FILLER_62_245
*4936 FILLER_62_251
*4937 FILLER_62_253
*4938 FILLER_62_265
*4939 FILLER_62_27
*4940 FILLER_62_277
*4941 FILLER_62_289
*4942 FILLER_62_29
*4943 FILLER_62_301
*4944 FILLER_62_307
*4945 FILLER_62_309
*4946 FILLER_62_321
*4947 FILLER_62_333
*4948 FILLER_62_345
*4949 FILLER_62_357
*4950 FILLER_62_363
*4951 FILLER_62_365
*4952 FILLER_62_377
*4953 FILLER_62_389
*4954 FILLER_62_401
*4955 FILLER_62_41
*4956 FILLER_62_413
*4957 FILLER_62_419
*4958 FILLER_62_421
*4959 FILLER_62_433
*4960 FILLER_62_445
*4961 FILLER_62_457
*4962 FILLER_62_469
*4963 FILLER_62_475
*4964 FILLER_62_477
*4965 FILLER_62_489
*4966 FILLER_62_501
*4967 FILLER_62_513
*4968 FILLER_62_525
*4969 FILLER_62_53
*4970 FILLER_62_531
*4971 FILLER_62_533
*4972 FILLER_62_545
*4973 FILLER_62_557
*4974 FILLER_62_569
*4975 FILLER_62_581
*4976 FILLER_62_587
*4977 FILLER_62_589
*4978 FILLER_62_601
*4979 FILLER_62_613
*4980 FILLER_62_65
*4981 FILLER_62_7
*4982 FILLER_62_77
*4983 FILLER_62_83
*4984 FILLER_62_85
*4985 FILLER_62_97
*4986 FILLER_63_105
*4987 FILLER_63_111
*4988 FILLER_63_113
*4989 FILLER_63_125
*4990 FILLER_63_137
*4991 FILLER_63_149
*4992 FILLER_63_161
*4993 FILLER_63_167
*4994 FILLER_63_169
*4995 FILLER_63_181
*4996 FILLER_63_19
*4997 FILLER_63_193
*4998 FILLER_63_205
*4999 FILLER_63_217
*5000 FILLER_63_223
*5001 FILLER_63_225
*5002 FILLER_63_237
*5003 FILLER_63_249
*5004 FILLER_63_261
*5005 FILLER_63_273
*5006 FILLER_63_279
*5007 FILLER_63_281
*5008 FILLER_63_293
*5009 FILLER_63_305
*5010 FILLER_63_31
*5011 FILLER_63_317
*5012 FILLER_63_329
*5013 FILLER_63_335
*5014 FILLER_63_337
*5015 FILLER_63_349
*5016 FILLER_63_361
*5017 FILLER_63_373
*5018 FILLER_63_385
*5019 FILLER_63_391
*5020 FILLER_63_393
*5021 FILLER_63_405
*5022 FILLER_63_417
*5023 FILLER_63_429
*5024 FILLER_63_43
*5025 FILLER_63_441
*5026 FILLER_63_447
*5027 FILLER_63_449
*5028 FILLER_63_461
*5029 FILLER_63_473
*5030 FILLER_63_485
*5031 FILLER_63_497
*5032 FILLER_63_503
*5033 FILLER_63_505
*5034 FILLER_63_517
*5035 FILLER_63_529
*5036 FILLER_63_541
*5037 FILLER_63_55
*5038 FILLER_63_553
*5039 FILLER_63_559
*5040 FILLER_63_561
*5041 FILLER_63_57
*5042 FILLER_63_573
*5043 FILLER_63_585
*5044 FILLER_63_597
*5045 FILLER_63_609
*5046 FILLER_63_615
*5047 FILLER_63_617
*5048 FILLER_63_69
*5049 FILLER_63_7
*5050 FILLER_63_81
*5051 FILLER_63_93
*5052 FILLER_64_109
*5053 FILLER_64_121
*5054 FILLER_64_133
*5055 FILLER_64_139
*5056 FILLER_64_141
*5057 FILLER_64_15
*5058 FILLER_64_153
*5059 FILLER_64_165
*5060 FILLER_64_177
*5061 FILLER_64_189
*5062 FILLER_64_195
*5063 FILLER_64_197
*5064 FILLER_64_209
*5065 FILLER_64_221
*5066 FILLER_64_233
*5067 FILLER_64_245
*5068 FILLER_64_251
*5069 FILLER_64_253
*5070 FILLER_64_265
*5071 FILLER_64_27
*5072 FILLER_64_277
*5073 FILLER_64_289
*5074 FILLER_64_29
*5075 FILLER_64_3
*5076 FILLER_64_301
*5077 FILLER_64_307
*5078 FILLER_64_309
*5079 FILLER_64_321
*5080 FILLER_64_333
*5081 FILLER_64_345
*5082 FILLER_64_357
*5083 FILLER_64_363
*5084 FILLER_64_365
*5085 FILLER_64_377
*5086 FILLER_64_389
*5087 FILLER_64_401
*5088 FILLER_64_41
*5089 FILLER_64_413
*5090 FILLER_64_419
*5091 FILLER_64_421
*5092 FILLER_64_433
*5093 FILLER_64_445
*5094 FILLER_64_457
*5095 FILLER_64_469
*5096 FILLER_64_475
*5097 FILLER_64_477
*5098 FILLER_64_489
*5099 FILLER_64_501
*5100 FILLER_64_513
*5101 FILLER_64_525
*5102 FILLER_64_53
*5103 FILLER_64_531
*5104 FILLER_64_533
*5105 FILLER_64_545
*5106 FILLER_64_557
*5107 FILLER_64_569
*5108 FILLER_64_581
*5109 FILLER_64_587
*5110 FILLER_64_589
*5111 FILLER_64_601
*5112 FILLER_64_613
*5113 FILLER_64_65
*5114 FILLER_64_77
*5115 FILLER_64_83
*5116 FILLER_64_85
*5117 FILLER_64_97
*5118 FILLER_65_105
*5119 FILLER_65_111
*5120 FILLER_65_113
*5121 FILLER_65_125
*5122 FILLER_65_137
*5123 FILLER_65_149
*5124 FILLER_65_161
*5125 FILLER_65_167
*5126 FILLER_65_169
*5127 FILLER_65_181
*5128 FILLER_65_19
*5129 FILLER_65_193
*5130 FILLER_65_205
*5131 FILLER_65_217
*5132 FILLER_65_223
*5133 FILLER_65_225
*5134 FILLER_65_237
*5135 FILLER_65_249
*5136 FILLER_65_261
*5137 FILLER_65_273
*5138 FILLER_65_279
*5139 FILLER_65_281
*5140 FILLER_65_293
*5141 FILLER_65_305
*5142 FILLER_65_31
*5143 FILLER_65_317
*5144 FILLER_65_329
*5145 FILLER_65_335
*5146 FILLER_65_337
*5147 FILLER_65_349
*5148 FILLER_65_361
*5149 FILLER_65_373
*5150 FILLER_65_385
*5151 FILLER_65_391
*5152 FILLER_65_393
*5153 FILLER_65_405
*5154 FILLER_65_417
*5155 FILLER_65_429
*5156 FILLER_65_43
*5157 FILLER_65_441
*5158 FILLER_65_447
*5159 FILLER_65_449
*5160 FILLER_65_461
*5161 FILLER_65_473
*5162 FILLER_65_485
*5163 FILLER_65_497
*5164 FILLER_65_503
*5165 FILLER_65_505
*5166 FILLER_65_517
*5167 FILLER_65_529
*5168 FILLER_65_541
*5169 FILLER_65_55
*5170 FILLER_65_553
*5171 FILLER_65_559
*5172 FILLER_65_561
*5173 FILLER_65_57
*5174 FILLER_65_573
*5175 FILLER_65_585
*5176 FILLER_65_597
*5177 FILLER_65_609
*5178 FILLER_65_615
*5179 FILLER_65_617
*5180 FILLER_65_69
*5181 FILLER_65_7
*5182 FILLER_65_81
*5183 FILLER_65_93
*5184 FILLER_66_109
*5185 FILLER_66_121
*5186 FILLER_66_133
*5187 FILLER_66_139
*5188 FILLER_66_141
*5189 FILLER_66_153
*5190 FILLER_66_165
*5191 FILLER_66_177
*5192 FILLER_66_189
*5193 FILLER_66_19
*5194 FILLER_66_195
*5195 FILLER_66_197
*5196 FILLER_66_209
*5197 FILLER_66_221
*5198 FILLER_66_233
*5199 FILLER_66_245
*5200 FILLER_66_251
*5201 FILLER_66_253
*5202 FILLER_66_265
*5203 FILLER_66_27
*5204 FILLER_66_277
*5205 FILLER_66_289
*5206 FILLER_66_29
*5207 FILLER_66_301
*5208 FILLER_66_307
*5209 FILLER_66_309
*5210 FILLER_66_321
*5211 FILLER_66_333
*5212 FILLER_66_345
*5213 FILLER_66_357
*5214 FILLER_66_363
*5215 FILLER_66_365
*5216 FILLER_66_377
*5217 FILLER_66_389
*5218 FILLER_66_401
*5219 FILLER_66_41
*5220 FILLER_66_413
*5221 FILLER_66_419
*5222 FILLER_66_421
*5223 FILLER_66_433
*5224 FILLER_66_445
*5225 FILLER_66_457
*5226 FILLER_66_469
*5227 FILLER_66_475
*5228 FILLER_66_477
*5229 FILLER_66_489
*5230 FILLER_66_501
*5231 FILLER_66_513
*5232 FILLER_66_525
*5233 FILLER_66_53
*5234 FILLER_66_531
*5235 FILLER_66_533
*5236 FILLER_66_545
*5237 FILLER_66_557
*5238 FILLER_66_569
*5239 FILLER_66_581
*5240 FILLER_66_587
*5241 FILLER_66_589
*5242 FILLER_66_601
*5243 FILLER_66_613
*5244 FILLER_66_65
*5245 FILLER_66_7
*5246 FILLER_66_77
*5247 FILLER_66_83
*5248 FILLER_66_85
*5249 FILLER_66_97
*5250 FILLER_67_105
*5251 FILLER_67_111
*5252 FILLER_67_113
*5253 FILLER_67_125
*5254 FILLER_67_137
*5255 FILLER_67_149
*5256 FILLER_67_161
*5257 FILLER_67_167
*5258 FILLER_67_169
*5259 FILLER_67_181
*5260 FILLER_67_19
*5261 FILLER_67_193
*5262 FILLER_67_205
*5263 FILLER_67_217
*5264 FILLER_67_223
*5265 FILLER_67_225
*5266 FILLER_67_237
*5267 FILLER_67_249
*5268 FILLER_67_261
*5269 FILLER_67_273
*5270 FILLER_67_279
*5271 FILLER_67_281
*5272 FILLER_67_293
*5273 FILLER_67_305
*5274 FILLER_67_31
*5275 FILLER_67_317
*5276 FILLER_67_329
*5277 FILLER_67_335
*5278 FILLER_67_340
*5279 FILLER_67_352
*5280 FILLER_67_364
*5281 FILLER_67_376
*5282 FILLER_67_388
*5283 FILLER_67_393
*5284 FILLER_67_405
*5285 FILLER_67_417
*5286 FILLER_67_429
*5287 FILLER_67_43
*5288 FILLER_67_441
*5289 FILLER_67_447
*5290 FILLER_67_449
*5291 FILLER_67_461
*5292 FILLER_67_473
*5293 FILLER_67_485
*5294 FILLER_67_497
*5295 FILLER_67_503
*5296 FILLER_67_505
*5297 FILLER_67_517
*5298 FILLER_67_529
*5299 FILLER_67_541
*5300 FILLER_67_55
*5301 FILLER_67_553
*5302 FILLER_67_559
*5303 FILLER_67_561
*5304 FILLER_67_57
*5305 FILLER_67_573
*5306 FILLER_67_585
*5307 FILLER_67_597
*5308 FILLER_67_609
*5309 FILLER_67_615
*5310 FILLER_67_617
*5311 FILLER_67_69
*5312 FILLER_67_7
*5313 FILLER_67_81
*5314 FILLER_67_93
*5315 FILLER_68_109
*5316 FILLER_68_113
*5317 FILLER_68_125
*5318 FILLER_68_137
*5319 FILLER_68_141
*5320 FILLER_68_15
*5321 FILLER_68_153
*5322 FILLER_68_165
*5323 FILLER_68_169
*5324 FILLER_68_181
*5325 FILLER_68_193
*5326 FILLER_68_200
*5327 FILLER_68_212
*5328 FILLER_68_225
*5329 FILLER_68_23
*5330 FILLER_68_237
*5331 FILLER_68_249
*5332 FILLER_68_253
*5333 FILLER_68_265
*5334 FILLER_68_27
*5335 FILLER_68_277
*5336 FILLER_68_285
*5337 FILLER_68_297
*5338 FILLER_68_305
*5339 FILLER_68_309
*5340 FILLER_68_321
*5341 FILLER_68_33
*5342 FILLER_68_333
*5343 FILLER_68_337
*5344 FILLER_68_349
*5345 FILLER_68_353
*5346 FILLER_68_360
*5347 FILLER_68_365
*5348 FILLER_68_377
*5349 FILLER_68_389
*5350 FILLER_68_393
*5351 FILLER_68_405
*5352 FILLER_68_417
*5353 FILLER_68_421
*5354 FILLER_68_433
*5355 FILLER_68_439
*5356 FILLER_68_447
*5357 FILLER_68_449
*5358 FILLER_68_45
*5359 FILLER_68_461
*5360 FILLER_68_473
*5361 FILLER_68_477
*5362 FILLER_68_489
*5363 FILLER_68_501
*5364 FILLER_68_505
*5365 FILLER_68_517
*5366 FILLER_68_521
*5367 FILLER_68_529
*5368 FILLER_68_53
*5369 FILLER_68_533
*5370 FILLER_68_545
*5371 FILLER_68_557
*5372 FILLER_68_561
*5373 FILLER_68_57
*5374 FILLER_68_573
*5375 FILLER_68_585
*5376 FILLER_68_589
*5377 FILLER_68_597
*5378 FILLER_68_603
*5379 FILLER_68_615
*5380 FILLER_68_617
*5381 FILLER_68_69
*5382 FILLER_68_7
*5383 FILLER_68_81
*5384 FILLER_68_85
*5385 FILLER_68_97
*5386 FILLER_6_102
*5387 FILLER_6_114
*5388 FILLER_6_126
*5389 FILLER_6_138
*5390 FILLER_6_147
*5391 FILLER_6_159
*5392 FILLER_6_171
*5393 FILLER_6_183
*5394 FILLER_6_19
*5395 FILLER_6_195
*5396 FILLER_6_197
*5397 FILLER_6_211
*5398 FILLER_6_222
*5399 FILLER_6_229
*5400 FILLER_6_241
*5401 FILLER_6_249
*5402 FILLER_6_269
*5403 FILLER_6_27
*5404 FILLER_6_289
*5405 FILLER_6_301
*5406 FILLER_6_307
*5407 FILLER_6_325
*5408 FILLER_6_333
*5409 FILLER_6_340
*5410 FILLER_6_352
*5411 FILLER_6_370
*5412 FILLER_6_38
*5413 FILLER_6_380
*5414 FILLER_6_392
*5415 FILLER_6_404
*5416 FILLER_6_416
*5417 FILLER_6_421
*5418 FILLER_6_429
*5419 FILLER_6_440
*5420 FILLER_6_460
*5421 FILLER_6_472
*5422 FILLER_6_477
*5423 FILLER_6_482
*5424 FILLER_6_494
*5425 FILLER_6_50
*5426 FILLER_6_504
*5427 FILLER_6_516
*5428 FILLER_6_522
*5429 FILLER_6_530
*5430 FILLER_6_536
*5431 FILLER_6_548
*5432 FILLER_6_560
*5433 FILLER_6_572
*5434 FILLER_6_584
*5435 FILLER_6_589
*5436 FILLER_6_6
*5437 FILLER_6_601
*5438 FILLER_6_613
*5439 FILLER_6_74
*5440 FILLER_6_82
*5441 FILLER_6_85
*5442 FILLER_6_93
*5443 FILLER_7_108
*5444 FILLER_7_113
*5445 FILLER_7_125
*5446 FILLER_7_137
*5447 FILLER_7_14
*5448 FILLER_7_149
*5449 FILLER_7_161
*5450 FILLER_7_167
*5451 FILLER_7_169
*5452 FILLER_7_173
*5453 FILLER_7_184
*5454 FILLER_7_196
*5455 FILLER_7_209
*5456 FILLER_7_219
*5457 FILLER_7_223
*5458 FILLER_7_232
*5459 FILLER_7_244
*5460 FILLER_7_256
*5461 FILLER_7_268
*5462 FILLER_7_27
*5463 FILLER_7_274
*5464 FILLER_7_281
*5465 FILLER_7_293
*5466 FILLER_7_305
*5467 FILLER_7_312
*5468 FILLER_7_320
*5469 FILLER_7_332
*5470 FILLER_7_337
*5471 FILLER_7_349
*5472 FILLER_7_357
*5473 FILLER_7_364
*5474 FILLER_7_373
*5475 FILLER_7_385
*5476 FILLER_7_39
*5477 FILLER_7_391
*5478 FILLER_7_393
*5479 FILLER_7_405
*5480 FILLER_7_410
*5481 FILLER_7_417
*5482 FILLER_7_429
*5483 FILLER_7_438
*5484 FILLER_7_446
*5485 FILLER_7_449
*5486 FILLER_7_462
*5487 FILLER_7_482
*5488 FILLER_7_490
*5489 FILLER_7_499
*5490 FILLER_7_503
*5491 FILLER_7_51
*5492 FILLER_7_511
*5493 FILLER_7_517
*5494 FILLER_7_534
*5495 FILLER_7_546
*5496 FILLER_7_55
*5497 FILLER_7_558
*5498 FILLER_7_561
*5499 FILLER_7_57
*5500 FILLER_7_573
*5501 FILLER_7_585
*5502 FILLER_7_597
*5503 FILLER_7_609
*5504 FILLER_7_615
*5505 FILLER_7_617
*5506 FILLER_7_69
*5507 FILLER_7_7
*5508 FILLER_7_81
*5509 FILLER_7_89
*5510 FILLER_8_109
*5511 FILLER_8_121
*5512 FILLER_8_127
*5513 FILLER_8_13
*5514 FILLER_8_136
*5515 FILLER_8_149
*5516 FILLER_8_161
*5517 FILLER_8_171
*5518 FILLER_8_183
*5519 FILLER_8_19
*5520 FILLER_8_195
*5521 FILLER_8_197
*5522 FILLER_8_205
*5523 FILLER_8_212
*5524 FILLER_8_224
*5525 FILLER_8_23
*5526 FILLER_8_231
*5527 FILLER_8_243
*5528 FILLER_8_251
*5529 FILLER_8_253
*5530 FILLER_8_265
*5531 FILLER_8_27
*5532 FILLER_8_277
*5533 FILLER_8_289
*5534 FILLER_8_301
*5535 FILLER_8_307
*5536 FILLER_8_309
*5537 FILLER_8_321
*5538 FILLER_8_341
*5539 FILLER_8_354
*5540 FILLER_8_362
*5541 FILLER_8_365
*5542 FILLER_8_373
*5543 FILLER_8_382
*5544 FILLER_8_390
*5545 FILLER_8_396
*5546 FILLER_8_405
*5547 FILLER_8_417
*5548 FILLER_8_421
*5549 FILLER_8_433
*5550 FILLER_8_444
*5551 FILLER_8_45
*5552 FILLER_8_457
*5553 FILLER_8_469
*5554 FILLER_8_475
*5555 FILLER_8_477
*5556 FILLER_8_489
*5557 FILLER_8_493
*5558 FILLER_8_510
*5559 FILLER_8_518
*5560 FILLER_8_523
*5561 FILLER_8_53
*5562 FILLER_8_531
*5563 FILLER_8_536
*5564 FILLER_8_544
*5565 FILLER_8_561
*5566 FILLER_8_573
*5567 FILLER_8_585
*5568 FILLER_8_589
*5569 FILLER_8_601
*5570 FILLER_8_613
*5571 FILLER_8_62
*5572 FILLER_8_74
*5573 FILLER_8_82
*5574 FILLER_8_85
*5575 FILLER_8_93
*5576 FILLER_8_97
*5577 FILLER_9_106
*5578 FILLER_9_113
*5579 FILLER_9_125
*5580 FILLER_9_14
*5581 FILLER_9_144
*5582 FILLER_9_152
*5583 FILLER_9_164
*5584 FILLER_9_177
*5585 FILLER_9_189
*5586 FILLER_9_201
*5587 FILLER_9_209
*5588 FILLER_9_21
*5589 FILLER_9_215
*5590 FILLER_9_223
*5591 FILLER_9_225
*5592 FILLER_9_231
*5593 FILLER_9_242
*5594 FILLER_9_263
*5595 FILLER_9_275
*5596 FILLER_9_279
*5597 FILLER_9_298
*5598 FILLER_9_310
*5599 FILLER_9_322
*5600 FILLER_9_33
*5601 FILLER_9_334
*5602 FILLER_9_345
*5603 FILLER_9_351
*5604 FILLER_9_356
*5605 FILLER_9_368
*5606 FILLER_9_372
*5607 FILLER_9_375
*5608 FILLER_9_382
*5609 FILLER_9_390
*5610 FILLER_9_393
*5611 FILLER_9_401
*5612 FILLER_9_411
*5613 FILLER_9_423
*5614 FILLER_9_435
*5615 FILLER_9_447
*5616 FILLER_9_449
*5617 FILLER_9_45
*5618 FILLER_9_461
*5619 FILLER_9_479
*5620 FILLER_9_487
*5621 FILLER_9_496
*5622 FILLER_9_505
*5623 FILLER_9_517
*5624 FILLER_9_529
*5625 FILLER_9_53
*5626 FILLER_9_541
*5627 FILLER_9_553
*5628 FILLER_9_559
*5629 FILLER_9_561
*5630 FILLER_9_567
*5631 FILLER_9_579
*5632 FILLER_9_591
*5633 FILLER_9_603
*5634 FILLER_9_615
*5635 FILLER_9_617
*5636 FILLER_9_7
*5637 FILLER_9_73
*5638 FILLER_9_85
*5639 FILLER_9_89
*5640 INSDIODE2_0
*5641 INSDIODE2_1
*5642 INSDIODE2_10
*5643 INSDIODE2_11
*5644 INSDIODE2_12
*5645 INSDIODE2_13
*5646 INSDIODE2_14
*5647 INSDIODE2_15
*5648 INSDIODE2_2
*5649 INSDIODE2_3
*5650 INSDIODE2_4
*5651 INSDIODE2_5
*5652 INSDIODE2_6
*5653 INSDIODE2_7
*5654 INSDIODE2_8
*5655 INSDIODE2_9
*5656 PHY_0
*5657 PHY_1
*5658 PHY_10
*5659 PHY_100
*5660 PHY_101
*5661 PHY_102
*5662 PHY_103
*5663 PHY_104
*5664 PHY_105
*5665 PHY_106
*5666 PHY_107
*5667 PHY_108
*5668 PHY_109
*5669 PHY_11
*5670 PHY_110
*5671 PHY_111
*5672 PHY_112
*5673 PHY_113
*5674 PHY_114
*5675 PHY_115
*5676 PHY_116
*5677 PHY_117
*5678 PHY_118
*5679 PHY_119
*5680 PHY_12
*5681 PHY_120
*5682 PHY_121
*5683 PHY_122
*5684 PHY_123
*5685 PHY_124
*5686 PHY_125
*5687 PHY_126
*5688 PHY_127
*5689 PHY_128
*5690 PHY_129
*5691 PHY_13
*5692 PHY_130
*5693 PHY_131
*5694 PHY_132
*5695 PHY_133
*5696 PHY_134
*5697 PHY_135
*5698 PHY_136
*5699 PHY_137
*5700 PHY_14
*5701 PHY_15
*5702 PHY_16
*5703 PHY_17
*5704 PHY_18
*5705 PHY_19
*5706 PHY_2
*5707 PHY_20
*5708 PHY_21
*5709 PHY_22
*5710 PHY_23
*5711 PHY_24
*5712 PHY_25
*5713 PHY_26
*5714 PHY_27
*5715 PHY_28
*5716 PHY_29
*5717 PHY_3
*5718 PHY_30
*5719 PHY_31
*5720 PHY_32
*5721 PHY_33
*5722 PHY_34
*5723 PHY_35
*5724 PHY_36
*5725 PHY_37
*5726 PHY_38
*5727 PHY_39
*5728 PHY_4
*5729 PHY_40
*5730 PHY_41
*5731 PHY_42
*5732 PHY_43
*5733 PHY_44
*5734 PHY_45
*5735 PHY_46
*5736 PHY_47
*5737 PHY_48
*5738 PHY_49
*5739 PHY_5
*5740 PHY_50
*5741 PHY_51
*5742 PHY_52
*5743 PHY_53
*5744 PHY_54
*5745 PHY_55
*5746 PHY_56
*5747 PHY_57
*5748 PHY_58
*5749 PHY_59
*5750 PHY_6
*5751 PHY_60
*5752 PHY_61
*5753 PHY_62
*5754 PHY_63
*5755 PHY_64
*5756 PHY_65
*5757 PHY_66
*5758 PHY_67
*5759 PHY_68
*5760 PHY_69
*5761 PHY_7
*5762 PHY_70
*5763 PHY_71
*5764 PHY_72
*5765 PHY_73
*5766 PHY_74
*5767 PHY_75
*5768 PHY_76
*5769 PHY_77
*5770 PHY_78
*5771 PHY_79
*5772 PHY_8
*5773 PHY_80
*5774 PHY_81
*5775 PHY_82
*5776 PHY_83
*5777 PHY_84
*5778 PHY_85
*5779 PHY_86
*5780 PHY_87
*5781 PHY_88
*5782 PHY_89
*5783 PHY_9
*5784 PHY_90
*5785 PHY_91
*5786 PHY_92
*5787 PHY_93
*5788 PHY_94
*5789 PHY_95
*5790 PHY_96
*5791 PHY_97
*5792 PHY_98
*5793 PHY_99
*5794 TAP_138
*5795 TAP_139
*5796 TAP_140
*5797 TAP_141
*5798 TAP_142
*5799 TAP_143
*5800 TAP_144
*5801 TAP_145
*5802 TAP_146
*5803 TAP_147
*5804 TAP_148
*5805 TAP_149
*5806 TAP_150
*5807 TAP_151
*5808 TAP_152
*5809 TAP_153
*5810 TAP_154
*5811 TAP_155
*5812 TAP_156
*5813 TAP_157
*5814 TAP_158
*5815 TAP_159
*5816 TAP_160
*5817 TAP_161
*5818 TAP_162
*5819 TAP_163
*5820 TAP_164
*5821 TAP_165
*5822 TAP_166
*5823 TAP_167
*5824 TAP_168
*5825 TAP_169
*5826 TAP_170
*5827 TAP_171
*5828 TAP_172
*5829 TAP_173
*5830 TAP_174
*5831 TAP_175
*5832 TAP_176
*5833 TAP_177
*5834 TAP_178
*5835 TAP_179
*5836 TAP_180
*5837 TAP_181
*5838 TAP_182
*5839 TAP_183
*5840 TAP_184
*5841 TAP_185
*5842 TAP_186
*5843 TAP_187
*5844 TAP_188
*5845 TAP_189
*5846 TAP_190
*5847 TAP_191
*5848 TAP_192
*5849 TAP_193
*5850 TAP_194
*5851 TAP_195
*5852 TAP_196
*5853 TAP_197
*5854 TAP_198
*5855 TAP_199
*5856 TAP_200
*5857 TAP_201
*5858 TAP_202
*5859 TAP_203
*5860 TAP_204
*5861 TAP_205
*5862 TAP_206
*5863 TAP_207
*5864 TAP_208
*5865 TAP_209
*5866 TAP_210
*5867 TAP_211
*5868 TAP_212
*5869 TAP_213
*5870 TAP_214
*5871 TAP_215
*5872 TAP_216
*5873 TAP_217
*5874 TAP_218
*5875 TAP_219
*5876 TAP_220
*5877 TAP_221
*5878 TAP_222
*5879 TAP_223
*5880 TAP_224
*5881 TAP_225
*5882 TAP_226
*5883 TAP_227
*5884 TAP_228
*5885 TAP_229
*5886 TAP_230
*5887 TAP_231
*5888 TAP_232
*5889 TAP_233
*5890 TAP_234
*5891 TAP_235
*5892 TAP_236
*5893 TAP_237
*5894 TAP_238
*5895 TAP_239
*5896 TAP_240
*5897 TAP_241
*5898 TAP_242
*5899 TAP_243
*5900 TAP_244
*5901 TAP_245
*5902 TAP_246
*5903 TAP_247
*5904 TAP_248
*5905 TAP_249
*5906 TAP_250
*5907 TAP_251
*5908 TAP_252
*5909 TAP_253
*5910 TAP_254
*5911 TAP_255
*5912 TAP_256
*5913 TAP_257
*5914 TAP_258
*5915 TAP_259
*5916 TAP_260
*5917 TAP_261
*5918 TAP_262
*5919 TAP_263
*5920 TAP_264
*5921 TAP_265
*5922 TAP_266
*5923 TAP_267
*5924 TAP_268
*5925 TAP_269
*5926 TAP_270
*5927 TAP_271
*5928 TAP_272
*5929 TAP_273
*5930 TAP_274
*5931 TAP_275
*5932 TAP_276
*5933 TAP_277
*5934 TAP_278
*5935 TAP_279
*5936 TAP_280
*5937 TAP_281
*5938 TAP_282
*5939 TAP_283
*5940 TAP_284
*5941 TAP_285
*5942 TAP_286
*5943 TAP_287
*5944 TAP_288
*5945 TAP_289
*5946 TAP_290
*5947 TAP_291
*5948 TAP_292
*5949 TAP_293
*5950 TAP_294
*5951 TAP_295
*5952 TAP_296
*5953 TAP_297
*5954 TAP_298
*5955 TAP_299
*5956 TAP_300
*5957 TAP_301
*5958 TAP_302
*5959 TAP_303
*5960 TAP_304
*5961 TAP_305
*5962 TAP_306
*5963 TAP_307
*5964 TAP_308
*5965 TAP_309
*5966 TAP_310
*5967 TAP_311
*5968 TAP_312
*5969 TAP_313
*5970 TAP_314
*5971 TAP_315
*5972 TAP_316
*5973 TAP_317
*5974 TAP_318
*5975 TAP_319
*5976 TAP_320
*5977 TAP_321
*5978 TAP_322
*5979 TAP_323
*5980 TAP_324
*5981 TAP_325
*5982 TAP_326
*5983 TAP_327
*5984 TAP_328
*5985 TAP_329
*5986 TAP_330
*5987 TAP_331
*5988 TAP_332
*5989 TAP_333
*5990 TAP_334
*5991 TAP_335
*5992 TAP_336
*5993 TAP_337
*5994 TAP_338
*5995 TAP_339
*5996 TAP_340
*5997 TAP_341
*5998 TAP_342
*5999 TAP_343
*6000 TAP_344
*6001 TAP_345
*6002 TAP_346
*6003 TAP_347
*6004 TAP_348
*6005 TAP_349
*6006 TAP_350
*6007 TAP_351
*6008 TAP_352
*6009 TAP_353
*6010 TAP_354
*6011 TAP_355
*6012 TAP_356
*6013 TAP_357
*6014 TAP_358
*6015 TAP_359
*6016 TAP_360
*6017 TAP_361
*6018 TAP_362
*6019 TAP_363
*6020 TAP_364
*6021 TAP_365
*6022 TAP_366
*6023 TAP_367
*6024 TAP_368
*6025 TAP_369
*6026 TAP_370
*6027 TAP_371
*6028 TAP_372
*6029 TAP_373
*6030 TAP_374
*6031 TAP_375
*6032 TAP_376
*6033 TAP_377
*6034 TAP_378
*6035 TAP_379
*6036 TAP_380
*6037 TAP_381
*6038 TAP_382
*6039 TAP_383
*6040 TAP_384
*6041 TAP_385
*6042 TAP_386
*6043 TAP_387
*6044 TAP_388
*6045 TAP_389
*6046 TAP_390
*6047 TAP_391
*6048 TAP_392
*6049 TAP_393
*6050 TAP_394
*6051 TAP_395
*6052 TAP_396
*6053 TAP_397
*6054 TAP_398
*6055 TAP_399
*6056 TAP_400
*6057 TAP_401
*6058 TAP_402
*6059 TAP_403
*6060 TAP_404
*6061 TAP_405
*6062 TAP_406
*6063 TAP_407
*6064 TAP_408
*6065 TAP_409
*6066 TAP_410
*6067 TAP_411
*6068 TAP_412
*6069 TAP_413
*6070 TAP_414
*6071 TAP_415
*6072 TAP_416
*6073 TAP_417
*6074 TAP_418
*6075 TAP_419
*6076 TAP_420
*6077 TAP_421
*6078 TAP_422
*6079 TAP_423
*6080 TAP_424
*6081 TAP_425
*6082 TAP_426
*6083 TAP_427
*6084 TAP_428
*6085 TAP_429
*6086 TAP_430
*6087 TAP_431
*6088 TAP_432
*6089 TAP_433
*6090 TAP_434
*6091 TAP_435
*6092 TAP_436
*6093 TAP_437
*6094 TAP_438
*6095 TAP_439
*6096 TAP_440
*6097 TAP_441
*6098 TAP_442
*6099 TAP_443
*6100 TAP_444
*6101 TAP_445
*6102 TAP_446
*6103 TAP_447
*6104 TAP_448
*6105 TAP_449
*6106 TAP_450
*6107 TAP_451
*6108 TAP_452
*6109 TAP_453
*6110 TAP_454
*6111 TAP_455
*6112 TAP_456
*6113 TAP_457
*6114 TAP_458
*6115 TAP_459
*6116 TAP_460
*6117 TAP_461
*6118 TAP_462
*6119 TAP_463
*6120 TAP_464
*6121 TAP_465
*6122 TAP_466
*6123 TAP_467
*6124 TAP_468
*6125 TAP_469
*6126 TAP_470
*6127 TAP_471
*6128 TAP_472
*6129 TAP_473
*6130 TAP_474
*6131 TAP_475
*6132 TAP_476
*6133 TAP_477
*6134 TAP_478
*6135 TAP_479
*6136 TAP_480
*6137 TAP_481
*6138 TAP_482
*6139 TAP_483
*6140 TAP_484
*6141 TAP_485
*6142 TAP_486
*6143 TAP_487
*6144 TAP_488
*6145 TAP_489
*6146 TAP_490
*6147 TAP_491
*6148 TAP_492
*6149 TAP_493
*6150 TAP_494
*6151 TAP_495
*6152 TAP_496
*6153 TAP_497
*6154 TAP_498
*6155 TAP_499
*6156 TAP_500
*6157 TAP_501
*6158 TAP_502
*6159 TAP_503
*6160 TAP_504
*6161 TAP_505
*6162 TAP_506
*6163 TAP_507
*6164 TAP_508
*6165 TAP_509
*6166 TAP_510
*6167 TAP_511
*6168 TAP_512
*6169 TAP_513
*6170 TAP_514
*6171 TAP_515
*6172 TAP_516
*6173 TAP_517
*6174 TAP_518
*6175 TAP_519
*6176 TAP_520
*6177 TAP_521
*6178 TAP_522
*6179 TAP_523
*6180 TAP_524
*6181 TAP_525
*6182 TAP_526
*6183 TAP_527
*6184 TAP_528
*6185 TAP_529
*6186 TAP_530
*6187 TAP_531
*6188 TAP_532
*6189 TAP_533
*6190 TAP_534
*6191 TAP_535
*6192 TAP_536
*6193 TAP_537
*6194 TAP_538
*6195 TAP_539
*6196 TAP_540
*6197 TAP_541
*6198 TAP_542
*6199 TAP_543
*6200 TAP_544
*6201 TAP_545
*6202 TAP_546
*6203 TAP_547
*6204 TAP_548
*6205 TAP_549
*6206 TAP_550
*6207 TAP_551
*6208 TAP_552
*6209 TAP_553
*6210 TAP_554
*6211 TAP_555
*6212 TAP_556
*6213 TAP_557
*6214 TAP_558
*6215 TAP_559
*6216 TAP_560
*6217 TAP_561
*6218 TAP_562
*6219 TAP_563
*6220 TAP_564
*6221 TAP_565
*6222 TAP_566
*6223 TAP_567
*6224 TAP_568
*6225 TAP_569
*6226 TAP_570
*6227 TAP_571
*6228 TAP_572
*6229 TAP_573
*6230 TAP_574
*6231 TAP_575
*6232 TAP_576
*6233 TAP_577
*6234 TAP_578
*6235 TAP_579
*6236 TAP_580
*6237 TAP_581
*6238 TAP_582
*6239 TAP_583
*6240 TAP_584
*6241 TAP_585
*6242 TAP_586
*6243 TAP_587
*6244 TAP_588
*6245 TAP_589
*6246 TAP_590
*6247 TAP_591
*6248 TAP_592
*6249 TAP_593
*6250 TAP_594
*6251 TAP_595
*6252 TAP_596
*6253 TAP_597
*6254 TAP_598
*6255 TAP_599
*6256 TAP_600
*6257 TAP_601
*6258 TAP_602
*6259 TAP_603
*6260 TAP_604
*6261 TAP_605
*6262 TAP_606
*6263 TAP_607
*6264 TAP_608
*6265 TAP_609
*6266 TAP_610
*6267 TAP_611
*6268 TAP_612
*6269 TAP_613
*6270 TAP_614
*6271 TAP_615
*6272 TAP_616
*6273 TAP_617
*6274 TAP_618
*6275 TAP_619
*6276 TAP_620
*6277 TAP_621
*6278 TAP_622
*6279 TAP_623
*6280 TAP_624
*6281 TAP_625
*6282 TAP_626
*6283 TAP_627
*6284 TAP_628
*6285 TAP_629
*6286 TAP_630
*6287 TAP_631
*6288 TAP_632
*6289 TAP_633
*6290 TAP_634
*6291 TAP_635
*6292 TAP_636
*6293 TAP_637
*6294 TAP_638
*6295 TAP_639
*6296 TAP_640
*6297 TAP_641
*6298 TAP_642
*6299 TAP_643
*6300 TAP_644
*6301 TAP_645
*6302 TAP_646
*6303 TAP_647
*6304 TAP_648
*6305 TAP_649
*6306 TAP_650
*6307 TAP_651
*6308 TAP_652
*6309 TAP_653
*6310 TAP_654
*6311 TAP_655
*6312 TAP_656
*6313 TAP_657
*6314 TAP_658
*6315 TAP_659
*6316 TAP_660
*6317 TAP_661
*6318 TAP_662
*6319 TAP_663
*6320 TAP_664
*6321 TAP_665
*6322 TAP_666
*6323 TAP_667
*6324 TAP_668
*6325 TAP_669
*6326 TAP_670
*6327 TAP_671
*6328 TAP_672
*6329 TAP_673
*6330 TAP_674
*6331 TAP_675
*6332 TAP_676
*6333 TAP_677
*6334 TAP_678
*6335 TAP_679
*6336 TAP_680
*6337 TAP_681
*6338 TAP_682
*6339 TAP_683
*6340 TAP_684
*6341 TAP_685
*6342 TAP_686
*6343 TAP_687
*6344 TAP_688
*6345 TAP_689
*6346 TAP_690
*6347 TAP_691
*6348 TAP_692
*6349 TAP_693
*6350 TAP_694
*6351 TAP_695
*6352 TAP_696
*6353 TAP_697
*6354 TAP_698
*6355 TAP_699
*6356 TAP_700
*6357 TAP_701
*6358 TAP_702
*6359 TAP_703
*6360 TAP_704
*6361 TAP_705
*6362 TAP_706
*6363 TAP_707
*6364 TAP_708
*6365 TAP_709
*6366 TAP_710
*6367 TAP_711
*6368 TAP_712
*6369 TAP_713
*6370 TAP_714
*6371 TAP_715
*6372 TAP_716
*6373 TAP_717
*6374 TAP_718
*6375 TAP_719
*6376 TAP_720
*6377 TAP_721
*6378 TAP_722
*6379 TAP_723
*6380 TAP_724
*6381 TAP_725
*6382 TAP_726
*6383 TAP_727
*6384 TAP_728
*6385 TAP_729
*6386 TAP_730
*6387 TAP_731
*6388 TAP_732
*6389 TAP_733
*6390 TAP_734
*6391 TAP_735
*6392 TAP_736
*6393 TAP_737
*6394 TAP_738
*6395 TAP_739
*6396 TAP_740
*6397 TAP_741
*6398 TAP_742
*6399 TAP_743
*6400 TAP_744
*6401 TAP_745
*6402 TAP_746
*6403 TAP_747
*6404 TAP_748
*6405 TAP_749
*6406 TAP_750
*6407 TAP_751
*6408 TAP_752
*6409 TAP_753
*6410 TAP_754
*6411 TAP_755
*6412 TAP_756
*6413 TAP_757
*6414 TAP_758
*6415 TAP_759
*6416 TAP_760
*6417 TAP_761
*6418 TAP_762
*6419 TAP_763
*6420 TAP_764
*6421 TAP_765
*6422 TAP_766
*6423 TAP_767
*6424 TAP_768
*6425 TAP_769
*6426 TAP_770
*6427 TAP_771
*6428 TAP_772
*6429 TAP_773
*6430 TAP_774
*6431 TAP_775
*6432 TAP_776
*6433 TAP_777
*6434 TAP_778
*6435 TAP_779
*6436 TAP_780
*6437 TAP_781
*6438 TAP_782
*6439 TAP_783
*6440 TAP_784
*6441 TAP_785
*6442 TAP_786
*6443 TAP_787
*6444 TAP_788
*6445 TAP_789
*6446 TAP_790
*6447 TAP_791
*6448 TAP_792
*6449 TAP_793
*6450 TAP_794
*6451 TAP_795
*6452 TAP_796
*6453 TAP_797
*6454 TAP_798
*6455 TAP_799
*6456 TAP_800
*6457 TAP_801
*6458 TAP_802
*6459 TAP_803
*6460 TAP_804
*6461 TAP_805
*6462 TAP_806
*6463 TAP_807
*6464 TAP_808
*6465 TAP_809
*6466 TAP_810
*6467 TAP_811
*6468 TAP_812
*6469 TAP_813
*6470 TAP_814
*6471 TAP_815
*6472 TAP_816
*6473 TAP_817
*6474 TAP_818
*6475 TAP_819
*6476 TAP_820
*6477 TAP_821
*6478 TAP_822
*6479 TAP_823
*6480 TAP_824
*6481 TAP_825
*6482 TAP_826
*6483 TAP_827
*6484 TAP_828
*6485 TAP_829
*6486 TAP_830
*6487 TAP_831
*6488 TAP_832
*6489 TAP_833
*6490 TAP_834
*6491 TAP_835
*6492 TAP_836
*6493 TAP_837
*6494 TAP_838
*6495 TAP_839
*6496 TAP_840
*6497 TAP_841
*6498 TAP_842
*6499 TAP_843
*6500 TAP_844
*6501 TAP_845
*6502 TAP_846
*6503 TAP_847
*6504 TAP_848
*6505 TAP_849
*6506 TAP_850
*6507 TAP_851
*6508 TAP_852
*6509 TAP_853
*6510 TAP_854
*6511 TAP_855
*6512 TAP_856
*6513 TAP_857
*6514 TAP_858
*6515 TAP_859
*6516 TAP_860
*6517 TAP_861
*6518 TAP_862
*6519 TAP_863
*6520 TAP_864
*6521 TAP_865
*6522 TAP_866
*6523 TAP_867
*6524 TAP_868
*6525 TAP_869
*6526 TAP_870
*6527 TAP_871
*6528 TAP_872
*6529 TAP_873
*6530 TAP_874
*6531 TAP_875
*6532 TAP_876
*6533 TAP_877
*6534 TAP_878
*6535 TAP_879
*6536 TAP_880
*6537 TAP_881
*6538 TAP_882
*6539 TAP_883
*6540 TAP_884
*6541 TAP_885
*6542 TAP_886
*6543 TAP_887
*6544 TAP_888
*6545 TAP_889
*6546 TAP_890
*6547 TAP_891
*6548 TAP_892
*6549 TAP_893
*6550 TAP_894
*6551 TAP_895
*6552 TAP_896
*6553 TAP_897
*6554 TAP_898
*6555 TAP_899
*6556 TAP_900
*6557 TAP_901
*6558 TAP_902
*6559 TAP_903
*6560 TAP_904
*6561 TAP_905
*6562 TAP_906
*6563 TAP_907
*6564 TAP_908
*6565 TAP_909
*6566 TAP_910
*6567 TAP_911
*6568 TAP_912
*6569 TAP_913
*6570 TAP_914
*6571 TAP_915
*6572 TAP_916
*6573 TAP_917
*6574 TAP_918
*6575 _0681_
*6576 _0682_
*6577 _0683_
*6578 _0684_
*6579 _0685_
*6580 _0686_
*6581 _0687_
*6582 _0688_
*6583 _0689_
*6584 _0690_
*6585 _0691_
*6586 _0692_
*6587 _0693_
*6588 _0694_
*6589 _0695_
*6590 _0696_
*6591 _0697_
*6592 _0698_
*6593 _0699_
*6594 _0700_
*6595 _0701_
*6596 _0702_
*6597 _0703_
*6598 _0704_
*6599 _0705_
*6600 _0706_
*6601 _0707_
*6602 _0708_
*6603 _0709_
*6604 _0710_
*6605 _0711_
*6606 _0712_
*6607 _0713_
*6608 _0714_
*6609 _0715_
*6610 _0716_
*6611 _0717_
*6612 _0718_
*6613 _0719_
*6614 _0720_
*6615 _0721_
*6616 _0722_
*6617 _0723_
*6618 _0724_
*6619 _0725_
*6620 _0726_
*6621 _0727_
*6622 _0728_
*6623 _0729_
*6624 _0730_
*6625 _0731_
*6626 _0732_
*6627 _0733_
*6628 _0734_
*6629 _0735_
*6630 _0736_
*6631 _0737_
*6632 _0738_
*6633 _0739_
*6634 _0740_
*6635 _0741_
*6636 _0742_
*6637 _0743_
*6638 _0744_
*6639 _0745_
*6640 _0746_
*6641 _0747_
*6642 _0748_
*6643 _0749_
*6644 _0750_
*6645 _0751_
*6646 _0752_
*6647 _0753_
*6648 _0754_
*6649 _0755_
*6650 _0756_
*6651 _0757_
*6652 _0758_
*6653 _0759_
*6654 _0760_
*6655 _0761_
*6656 _0762_
*6657 _0763_
*6658 _0764_
*6659 _0765_
*6660 _0766_
*6661 _0767_
*6662 _0768_
*6663 _0769_
*6664 _0770_
*6665 _0771_
*6666 _0772_
*6667 _0773_
*6668 _0774_
*6669 _0775_
*6670 _0776_
*6671 _0777_
*6672 _0778_
*6673 _0779_
*6674 _0780_
*6675 _0781_
*6676 _0782_
*6677 _0783_
*6678 _0784_
*6679 _0785_
*6680 _0786_
*6681 _0787_
*6682 _0788_
*6683 _0789_
*6684 _0790_
*6685 _0791_
*6686 _0792_
*6687 _0793_
*6688 _0794_
*6689 _0795_
*6690 _0796_
*6691 _0797_
*6692 _0798_
*6693 _0799_
*6694 _0800_
*6695 _0801_
*6696 _0802_
*6697 _0803_
*6698 _0804_
*6699 _0805_
*6700 _0806_
*6701 _0807_
*6702 _0808_
*6703 _0809_
*6704 _0810_
*6705 _0811_
*6706 _0812_
*6707 _0813_
*6708 _0814_
*6709 _0815_
*6710 _0816_
*6711 _0817_
*6712 _0818_
*6713 _0819_
*6714 _0820_
*6715 _0821_
*6716 _0822_
*6717 _0823_
*6718 _0824_
*6719 _0825_
*6720 _0826_
*6721 _0827_
*6722 _0828_
*6723 _0829_
*6724 _0830_
*6725 _0831_
*6726 _0832_
*6727 _0833_
*6728 _0834_
*6729 _0835_
*6730 _0836_
*6731 _0837_
*6732 _0838_
*6733 _0839_
*6734 _0840_
*6735 _0841_
*6736 _0842_
*6737 _0843_
*6738 _0844_
*6739 _0845_
*6740 _0846_
*6741 _0847_
*6742 _0848_
*6743 _0849_
*6744 _0850_
*6745 _0851_
*6746 _0852_
*6747 _0853_
*6748 _0854_
*6749 _0855_
*6750 _0856_
*6751 _0857_
*6752 _0858_
*6753 _0859_
*6754 _0860_
*6755 _0861_
*6756 _0862_
*6757 _0863_
*6758 _0864_
*6759 _0865_
*6760 _0866_
*6761 _0867_
*6762 _0868_
*6763 _0869_
*6764 _0870_
*6765 _0871_
*6766 _0872_
*6767 _0873_
*6768 _0874_
*6769 _0875_
*6770 _0876_
*6771 _0877_
*6772 _0878_
*6773 _0879_
*6774 _0880_
*6775 _0881_
*6776 _0882_
*6777 _0883_
*6778 _0884_
*6779 _0885_
*6780 _0886_
*6781 _0887_
*6782 _0888_
*6783 _0889_
*6784 _0890_
*6785 _0891_
*6786 _0892_
*6787 _0893_
*6788 _0894_
*6789 _0895_
*6790 _0896_
*6791 _0897_
*6792 _0898_
*6793 _0899_
*6794 _0900_
*6795 _0901_
*6796 _0902_
*6797 _0903_
*6798 _0904_
*6799 _0905_
*6800 _0906_
*6801 _0907_
*6802 _0908_
*6803 _0909_
*6804 _0910_
*6805 _0911_
*6806 _0912_
*6807 _0913_
*6808 _0914_
*6809 _0915_
*6810 _0916_
*6811 _0917_
*6812 _0918_
*6813 _0919_
*6814 _0920_
*6815 _0921_
*6816 _0922_
*6817 _0923_
*6818 _0924_
*6819 _0925_
*6820 _0926_
*6821 _0927_
*6822 _0928_
*6823 _0929_
*6824 _0930_
*6825 _0931_
*6826 _0932_
*6827 _0933_
*6828 _0934_
*6829 _0935_
*6830 _0936_
*6831 _0937_
*6832 _0938_
*6833 _0939_
*6834 _0940_
*6835 _0941_
*6836 _0942_
*6837 _0943_
*6838 _0944_
*6839 _0945_
*6840 _0946_
*6841 _0947_
*6842 _0948_
*6843 _0949_
*6844 _0950_
*6845 _0951_
*6846 _0952_
*6847 _0953_
*6848 _0954_
*6849 _0955_
*6850 _0956_
*6851 _0957_
*6852 _0958_
*6853 _0959_
*6854 _0960_
*6855 _0961_
*6856 _0962_
*6857 _0963_
*6858 _0964_
*6859 _0965_
*6860 _0966_
*6861 _0967_
*6862 _0968_
*6863 _0969_
*6864 _0970_
*6865 _0971_
*6866 _0972_
*6867 _0973_
*6868 _0974_
*6869 _0975_
*6870 _0976_
*6871 _0977_
*6872 _0978_
*6873 _0979_
*6874 _0980_
*6875 _0981_
*6876 _0982_
*6877 _0983_
*6878 _0984_
*6879 _0985_
*6880 _0986_
*6881 _0987_
*6882 _0988_
*6883 _0989_
*6884 _0990_
*6885 _0991_
*6886 _0992_
*6887 _0993_
*6888 _0994_
*6889 _0995_
*6890 _0996_
*6891 _0997_
*6892 _0998_
*6893 _0999_
*6894 _1000_
*6895 _1001_
*6896 _1002_
*6897 _1003_
*6898 _1004_
*6899 _1005_
*6900 _1006_
*6901 _1007_
*6902 _1008_
*6903 _1009_
*6904 _1010_
*6905 _1011_
*6906 _1012_
*6907 _1013_
*6908 _1014_
*6909 _1015_
*6910 _1016_
*6911 _1017_
*6912 _1018_
*6913 _1019_
*6914 _1020_
*6915 _1021_
*6916 _1022_
*6917 _1023_
*6918 _1024_
*6919 _1025_
*6920 _1026_
*6921 _1027_
*6922 _1028_
*6923 _1029_
*6924 _1030_
*6925 _1031_
*6926 _1032_
*6927 _1033_
*6928 _1034_
*6929 _1035_
*6930 _1036_
*6931 _1037_
*6932 _1038_
*6933 _1039_
*6934 _1040_
*6935 _1041_
*6936 _1042_
*6937 _1043_
*6938 _1044_
*6939 _1045_
*6940 _1046_
*6941 _1047_
*6942 _1048_
*6943 _1049_
*6944 _1050_
*6945 _1051_
*6946 _1052_
*6947 _1053_
*6948 _1054_
*6949 _1055_
*6950 _1056_
*6951 _1057_
*6952 _1058_
*6953 _1059_
*6954 _1060_
*6955 _1061_
*6956 _1062_
*6957 _1063_
*6958 _1064_
*6959 _1065_
*6960 _1066_
*6961 _1067_
*6962 _1068_
*6963 _1069_
*6964 _1070_
*6965 _1071_
*6966 _1072_
*6967 _1073_
*6968 _1074_
*6969 _1075_
*6970 _1076_
*6971 _1077_
*6972 _1078_
*6973 _1079_
*6974 _1080_
*6975 _1081_
*6976 _1082_
*6977 _1083_
*6978 _1084_
*6979 _1085_
*6980 _1086_
*6981 _1087_
*6982 _1088_
*6983 _1089_
*6984 _1090_
*6985 _1091_
*6986 _1092_
*6987 _1093_
*6988 _1094_
*6989 _1095_
*6990 _1096_
*6991 _1097_
*6992 _1098_
*6993 _1099_
*6994 _1100_
*6995 _1101_
*6996 _1102_
*6997 _1103_
*6998 _1104_
*6999 _1105_
*7000 _1106_
*7001 _1107_
*7002 _1108_
*7003 _1109_
*7004 _1110_
*7005 _1111_
*7006 _1112_
*7007 _1113_
*7008 _1114_
*7009 _1115_
*7010 _1116_
*7011 _1117_
*7012 _1118_
*7013 _1119_
*7014 _1120_
*7015 _1121_
*7016 _1122_
*7017 _1123_
*7018 _1124_
*7019 _1125_
*7020 _1126_
*7021 _1127_
*7022 _1128_
*7023 _1129_
*7024 _1130_
*7025 _1131_
*7026 _1132_
*7027 _1133_
*7028 _1134_
*7029 _1135_
*7030 _1136_
*7031 _1137_
*7032 _1138_
*7033 _1139_
*7034 _1140_
*7035 _1141_
*7036 _1142_
*7037 _1143_
*7038 _1144_
*7039 _1145_
*7040 _1146_
*7041 _1147_
*7042 _1148_
*7043 _1149_
*7044 _1150_
*7045 _1151_
*7046 _1152_
*7047 _1153_
*7048 _1154_
*7049 _1155_
*7050 _1156_
*7051 _1157_
*7052 _1158_
*7053 _1159_
*7054 _1160_
*7055 _1161_
*7056 _1162_
*7057 _1163_
*7058 _1164_
*7059 _1165_
*7060 _1166_
*7061 _1167_
*7062 _1168_
*7063 _1169_
*7064 _1170_
*7065 _1171_
*7066 _1172_
*7067 _1173_
*7068 _1174_
*7069 _1175_
*7070 _1176_
*7071 _1177_
*7072 _1178_
*7073 _1179_
*7074 _1180_
*7075 _1181_
*7076 _1182_
*7077 _1183_
*7078 _1184_
*7079 _1185_
*7080 _1186_
*7081 _1187_
*7082 _1188_
*7083 _1189_
*7084 _1190_
*7085 _1191_
*7086 _1192_
*7087 _1193_
*7088 _1194_
*7089 _1195_
*7090 _1196_
*7091 _1197_
*7092 _1198_
*7093 _1199_
*7094 _1200_
*7095 _1201_
*7096 _1202_
*7097 _1203_
*7098 _1204_
*7099 _1205_
*7100 _1206_
*7101 _1207_
*7102 _1208_
*7103 _1209_
*7104 _1210_
*7105 _1211_
*7106 _1212_
*7107 _1213_
*7108 _1214_
*7109 _1215_
*7110 _1216_
*7111 _1217_
*7112 _1218_
*7113 _1219_
*7114 _1220_
*7115 _1221_
*7116 _1222_
*7117 _1223_
*7118 _1224_
*7119 _1225_
*7120 _1226_
*7121 _1227_
*7122 _1228_
*7123 _1229_
*7124 _1230_
*7125 _1231_
*7126 _1232_
*7127 _1233_
*7128 _1234_
*7129 _1235_
*7130 _1236_
*7131 _1237_
*7132 _1238_
*7133 _1239_
*7134 _1240_
*7135 _1241_
*7136 _1242_
*7137 _1243_
*7138 _1244_
*7139 _1245_
*7140 _1246_
*7141 _1247_
*7142 _1248_
*7143 _1249_
*7144 _1250_
*7145 _1251_
*7146 _1252_
*7147 _1253_
*7148 _1254_
*7149 _1255_
*7150 _1256_
*7151 _1257_
*7152 _1258_
*7153 _1259_
*7154 _1260_
*7155 _1261_
*7156 _1262_
*7157 _1263_
*7158 _1264_
*7159 _1265_
*7160 _1266_
*7161 _1267_
*7162 _1268_
*7163 _1269_
*7164 _1270_
*7165 _1271_
*7166 _1272_
*7167 _1273_
*7168 _1274_
*7169 _1275_
*7170 _1276_
*7171 _1277_
*7172 _1278_
*7173 _1279_
*7174 _1280_
*7175 _1281_
*7176 _1282_
*7177 _1283_
*7178 _1284_
*7179 _1285_
*7180 _1286_
*7181 _1287_
*7182 _1288_
*7183 _1289_
*7184 _1290_
*7185 _1291_
*7186 _1292_
*7187 _1293_
*7188 _1294_
*7189 _1295_
*7190 _1296_
*7191 _1297_
*7192 _1298_
*7193 _1299_
*7194 _1300_
*7195 _1301_
*7196 _1302_
*7197 _1303_
*7198 _1304_
*7199 _1305_
*7200 _1306_
*7201 _1307_
*7202 _1308_
*7203 _1309_
*7204 _1310_
*7205 _1311_
*7206 _1312_
*7207 _1313_
*7208 _1314_
*7209 _1315_
*7210 _1316_
*7211 _1317_
*7212 _1318_
*7213 _1319_
*7214 _1320_
*7215 _1321_
*7216 _1322_
*7217 _1323_
*7218 _1324_
*7219 _1325_
*7220 _1326_
*7221 _1327_
*7222 _1328_
*7223 _1329_
*7224 _1330_
*7225 _1331_
*7226 _1332_
*7227 _1333_
*7228 _1334_
*7229 _1335_
*7230 _1336_
*7231 _1337_
*7232 _1338_
*7233 _1339_
*7234 _1340_
*7235 _1341_
*7236 _1342_
*7237 _1343_
*7238 _1344_
*7239 _1345_
*7240 _1346_
*7241 _1347_
*7242 _1348_
*7243 _1349_
*7244 _1350_
*7245 _1351_
*7246 _1352_
*7247 _1353_
*7248 _1354_
*7249 _1355_
*7250 _1356_
*7251 _1357_
*7252 _1358_
*7253 _1359_
*7254 _1360_
*7255 _1361_
*7256 _1362_
*7257 _1363_
*7258 _1364_
*7259 _1365_
*7260 _1366_
*7261 _1367_
*7262 _1368_
*7263 _1369_
*7264 _1370_
*7265 _1371_
*7266 _1372_
*7267 _1373_
*7268 _1374_
*7269 _1375_
*7270 _1376_
*7271 _1377_
*7272 _1378_
*7273 _1379_
*7274 _1380_
*7275 _1381_
*7276 _1382_
*7277 _1383_
*7278 _1384_
*7279 _1385_
*7280 _1386_
*7281 _1387_
*7282 _1388_
*7283 _1389_
*7284 _1390_
*7285 _1391_
*7286 _1392_
*7287 _1393_
*7288 _1394_
*7289 _1395_
*7290 _1396_
*7291 _1397_
*7292 _1398_
*7293 _1399_
*7294 _1400_
*7295 _1401_
*7296 _1402_
*7297 _1403_
*7298 _1404_
*7299 _1405_
*7300 _1406_
*7301 _1407_
*7302 _1408_
*7303 _1409_
*7304 _1410_
*7305 _1411_
*7306 _1412_
*7307 _1413_
*7308 _1414_
*7309 _1415_
*7310 _1416_
*7311 _1417_
*7312 _1418_
*7313 _1419_
*7314 _1420_
*7315 _1421_
*7316 _1422_
*7317 _1423_
*7318 _1424_
*7319 _1425_
*7320 _1426_
*7321 _1427_
*7322 _1428_
*7323 _1429_
*7324 _1430_
*7325 _1431_
*7326 _1432_
*7327 _1433_
*7328 _1434_
*7329 _1435_
*7330 _1436_
*7331 _1437_
*7332 _1438_
*7333 _1439_
*7334 _1440_
*7335 _1441_
*7336 _1442_
*7337 _1443_
*7338 _1444_
*7339 _1445_
*7340 _1446_
*7341 _1447_
*7342 _1448_
*7343 _1449_
*7344 _1450_
*7345 _1451_
*7346 _1452_
*7347 _1453_
*7348 _1454_
*7349 _1455_
*7350 _1456_
*7351 _1457_
*7352 _1458_
*7353 _1459_
*7354 _1460_
*7355 _1461_
*7356 _1462_
*7357 _1463_
*7358 _1464_
*7359 _1465_
*7360 _1466_
*7361 _1467_
*7362 _1468_
*7363 _1469_
*7364 _1470_
*7365 _1471_
*7366 _1472_
*7367 _1473_
*7368 _1474_
*7369 _1475_
*7370 _1476_
*7371 _1477_
*7372 _1478_
*7373 _1479_
*7374 _1480_
*7375 _1481_
*7376 _1482_
*7377 _1483_
*7378 _1484_
*7379 _1485_
*7380 _1486_
*7381 _1487_
*7382 _1488_
*7383 _1489_
*7384 _1490_
*7385 _1491_
*7386 _1492_
*7387 _1493_
*7388 _1494_
*7389 _1495_
*7390 _1496_
*7391 _1497_
*7392 _1498_
*7393 _1499_
*7394 _1500_
*7395 _1501_
*7396 _1502_
*7397 _1503_
*7398 _1504_
*7399 _1505_
*7400 _1506_
*7401 _1507_
*7402 _1508_
*7403 _1509_
*7404 _1510_
*7405 _1511_
*7406 _1512_
*7407 _1513_
*7408 _1514_
*7409 _1515_
*7410 _1516_
*7411 _1517_
*7412 _1518_
*7413 _1519_
*7414 _1520_
*7415 _1521_
*7416 _1522_
*7417 _1523_
*7418 _1524_
*7419 _1525_
*7420 _1526_
*7421 _1527_
*7422 _1528_
*7423 _1529_
*7424 _1530_
*7425 _1531_
*7426 _1532_
*7427 _1533_
*7428 _1534_
*7429 _1535_
*7430 _1536_
*7431 _1537_
*7432 _1538_
*7433 _1539_
*7434 _1540_
*7435 _1541__183
*7436 _1542__184
*7437 _1543__185
*7438 _1544__186
*7439 _1545__187
*7440 _1546__179
*7441 _1547__180
*7442 _1548__181
*7443 _1549__182
*7444 _1550_
*7445 _1551_
*7446 input1
*7447 input10
*7448 input11
*7449 input12
*7450 input13
*7451 input14
*7452 input15
*7453 input16
*7454 input17
*7455 input18
*7456 input19
*7457 input2
*7458 input20
*7459 input21
*7460 input22
*7461 input23
*7462 input24
*7463 input25
*7464 input26
*7465 input27
*7466 input28
*7467 input29
*7468 input3
*7469 input30
*7470 input31
*7471 input32
*7472 input33
*7473 input34
*7474 input35
*7475 input36
*7476 input37
*7477 input38
*7478 input39
*7479 input4
*7480 input40
*7481 input41
*7482 input42
*7483 input43
*7484 input44
*7485 input45
*7486 input46
*7487 input47
*7488 input48
*7489 input49
*7490 input5
*7491 input50
*7492 input51
*7493 input52
*7494 input53
*7495 input54
*7496 input55
*7497 input56
*7498 input57
*7499 input58
*7500 input59
*7501 input6
*7502 input60
*7503 input61
*7504 input62
*7505 input63
*7506 input64
*7507 input65
*7508 input66
*7509 input67
*7510 input68
*7511 input69
*7512 input7
*7513 input70
*7514 input71
*7515 input72
*7516 input73
*7517 input74
*7518 input75
*7519 input76
*7520 input77
*7521 input78
*7522 input79
*7523 input8
*7524 input80
*7525 input81
*7526 input82
*7527 input83
*7528 input84
*7529 input85
*7530 input86
*7531 input87
*7532 input9
*7533 output100
*7534 output101
*7535 output102
*7536 output103
*7537 output104
*7538 output105
*7539 output106
*7540 output107
*7541 output108
*7542 output109
*7543 output110
*7544 output111
*7545 output112
*7546 output113
*7547 output114
*7548 output115
*7549 output116
*7550 output117
*7551 output118
*7552 output119
*7553 output120
*7554 output121
*7555 output122
*7556 output123
*7557 output124
*7558 output125
*7559 output126
*7560 output127
*7561 output128
*7562 output129
*7563 output130
*7564 output131
*7565 output132
*7566 output133
*7567 output134
*7568 output135
*7569 output136
*7570 output137
*7571 output138
*7572 output139
*7573 output140
*7574 output141
*7575 output142
*7576 output143
*7577 output144
*7578 output145
*7579 output146
*7580 output147
*7581 output148
*7582 output149
*7583 output150
*7584 output151
*7585 output152
*7586 output153
*7587 output154
*7588 output155
*7589 output156
*7590 output157
*7591 output158
*7592 output159
*7593 output160
*7594 output161
*7595 output162
*7596 output163
*7597 output164
*7598 output165
*7599 output166
*7600 output167
*7601 output168
*7602 output169
*7603 output170
*7604 output171
*7605 output172
*7606 output173
*7607 output174
*7608 output175
*7609 output176
*7610 output177
*7611 output178
*7612 output88
*7613 output89
*7614 output90
*7615 output91
*7616 output92
*7617 output93
*7618 output94
*7619 output95
*7620 output96
*7621 output97
*7622 output98
*7623 output99
*PORTS
flash_csb O
flash_io0_read I
flash_io0_we O
flash_io0_write O
flash_io1_read I
flash_io1_we O
flash_io1_write O
flash_sck O
sram_addr0[0] O
sram_addr0[1] O
sram_addr0[2] O
sram_addr0[3] O
sram_addr0[4] O
sram_addr0[5] O
sram_addr0[6] O
sram_addr0[7] O
sram_addr0[8] O
sram_addr1[0] O
sram_addr1[1] O
sram_addr1[2] O
sram_addr1[3] O
sram_addr1[4] O
sram_addr1[5] O
sram_addr1[6] O
sram_addr1[7] O
sram_addr1[8] O
sram_clk0 O
sram_clk1 O
sram_csb0 O
sram_csb1 O
sram_din0[0] O
sram_din0[10] O
sram_din0[11] O
sram_din0[12] O
sram_din0[13] O
sram_din0[14] O
sram_din0[15] O
sram_din0[16] O
sram_din0[17] O
sram_din0[18] O
sram_din0[19] O
sram_din0[1] O
sram_din0[20] O
sram_din0[21] O
sram_din0[22] O
sram_din0[23] O
sram_din0[24] O
sram_din0[25] O
sram_din0[26] O
sram_din0[27] O
sram_din0[28] O
sram_din0[29] O
sram_din0[2] O
sram_din0[30] O
sram_din0[31] O
sram_din0[3] O
sram_din0[4] O
sram_din0[5] O
sram_din0[6] O
sram_din0[7] O
sram_din0[8] O
sram_din0[9] O
sram_dout0[0] I
sram_dout0[10] I
sram_dout0[11] I
sram_dout0[12] I
sram_dout0[13] I
sram_dout0[14] I
sram_dout0[15] I
sram_dout0[16] I
sram_dout0[17] I
sram_dout0[18] I
sram_dout0[19] I
sram_dout0[1] I
sram_dout0[20] I
sram_dout0[21] I
sram_dout0[22] I
sram_dout0[23] I
sram_dout0[24] I
sram_dout0[25] I
sram_dout0[26] I
sram_dout0[27] I
sram_dout0[28] I
sram_dout0[29] I
sram_dout0[2] I
sram_dout0[30] I
sram_dout0[31] I
sram_dout0[3] I
sram_dout0[4] I
sram_dout0[5] I
sram_dout0[6] I
sram_dout0[7] I
sram_dout0[8] I
sram_dout0[9] I
sram_dout1[0] I
sram_dout1[10] I
sram_dout1[11] I
sram_dout1[12] I
sram_dout1[13] I
sram_dout1[14] I
sram_dout1[15] I
sram_dout1[16] I
sram_dout1[17] I
sram_dout1[18] I
sram_dout1[19] I
sram_dout1[1] I
sram_dout1[20] I
sram_dout1[21] I
sram_dout1[22] I
sram_dout1[23] I
sram_dout1[24] I
sram_dout1[25] I
sram_dout1[26] I
sram_dout1[27] I
sram_dout1[28] I
sram_dout1[29] I
sram_dout1[2] I
sram_dout1[30] I
sram_dout1[31] I
sram_dout1[3] I
sram_dout1[4] I
sram_dout1[5] I
sram_dout1[6] I
sram_dout1[7] I
sram_dout1[8] I
sram_dout1[9] I
sram_web0 O
sram_wmask0[0] O
sram_wmask0[1] O
sram_wmask0[2] O
sram_wmask0[3] O
wb_ack_o O
wb_adr_i[0] I
wb_adr_i[10] I
wb_adr_i[11] I
wb_adr_i[12] I
wb_adr_i[13] I
wb_adr_i[14] I
wb_adr_i[15] I
wb_adr_i[16] I
wb_adr_i[17] I
wb_adr_i[18] I
wb_adr_i[19] I
wb_adr_i[1] I
wb_adr_i[20] I
wb_adr_i[21] I
wb_adr_i[22] I
wb_adr_i[23] I
wb_adr_i[2] I
wb_adr_i[3] I
wb_adr_i[4] I
wb_adr_i[5] I
wb_adr_i[6] I
wb_adr_i[7] I
wb_adr_i[8] I
wb_adr_i[9] I
wb_clk_i I
wb_cyc_i I
wb_data_i[0] I
wb_data_i[10] I
wb_data_i[11] I
wb_data_i[12] I
wb_data_i[13] I
wb_data_i[14] I
wb_data_i[15] I
wb_data_i[16] I
wb_data_i[17] I
wb_data_i[18] I
wb_data_i[19] I
wb_data_i[1] I
wb_data_i[20] I
wb_data_i[21] I
wb_data_i[22] I
wb_data_i[23] I
wb_data_i[24] I
wb_data_i[25] I
wb_data_i[26] I
wb_data_i[27] I
wb_data_i[28] I
wb_data_i[29] I
wb_data_i[2] I
wb_data_i[30] I
wb_data_i[31] I
wb_data_i[3] I
wb_data_i[4] I
wb_data_i[5] I
wb_data_i[6] I
wb_data_i[7] I
wb_data_i[8] I
wb_data_i[9] I
wb_data_o[0] O
wb_data_o[10] O
wb_data_o[11] O
wb_data_o[12] O
wb_data_o[13] O
wb_data_o[14] O
wb_data_o[15] O
wb_data_o[16] O
wb_data_o[17] O
wb_data_o[18] O
wb_data_o[19] O
wb_data_o[1] O
wb_data_o[20] O
wb_data_o[21] O
wb_data_o[22] O
wb_data_o[23] O
wb_data_o[24] O
wb_data_o[25] O
wb_data_o[26] O
wb_data_o[27] O
wb_data_o[28] O
wb_data_o[29] O
wb_data_o[2] O
wb_data_o[30] O
wb_data_o[31] O
wb_data_o[3] O
wb_data_o[4] O
wb_data_o[5] O
wb_data_o[6] O
wb_data_o[7] O
wb_data_o[8] O
wb_data_o[9] O
wb_error_o O
wb_rst_i I
wb_sel_i[0] I
wb_sel_i[1] I
wb_sel_i[2] I
wb_sel_i[3] I
wb_stall_o O
wb_stb_i I
wb_we_i I
*D_NET *1 0.00102496
*CONN
*P flash_csb O
*I *7612:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 flash_csb 0.000496201
2 *7612:X 0.000496201
3 flash_csb wb_data_o[30] 0
4 flash_csb wb_data_o[31] 0
5 flash_csb *7612:A 3.25584e-05
*RES
1 *7612:X flash_csb 25.8409
*END
*D_NET *3 0.00111134
*CONN
*P flash_io0_we O
*I *7435:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 flash_io0_we 0.000555668
2 *7435:HI 0.000555668
*RES
1 *7435:HI flash_io0_we 28.3352
*END
*D_NET *4 0.00160525
*CONN
*P flash_io0_write O
*I *7613:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 flash_io0_write 0.000786347
2 *7613:X 0.000786347
3 flash_io0_write *7613:A 3.25584e-05
*RES
1 *7613:X flash_io0_write 30.6269
*END
*D_NET *5 0.000912281
*CONN
*P flash_io1_read I
*I *7446:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 flash_io1_read 0.00045614
2 *7446:A 0.00045614
*RES
1 flash_io1_read *7446:A 25.9775
*END
*D_NET *6 0.000882484
*CONN
*P flash_io1_we O
*I *7440:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 flash_io1_we 0.000441242
2 *7440:LO 0.000441242
*RES
1 *7440:LO flash_io1_we 26.0357
*END
*D_NET *7 0.00101066
*CONN
*P flash_io1_write O
*I *7441:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 flash_io1_write 0.00050533
2 *7441:LO 0.00050533
*RES
1 *7441:LO flash_io1_write 25.8409
*END
*D_NET *8 0.00101638
*CONN
*P flash_sck O
*I *7614:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 flash_sck 0.000498976
2 *7614:X 0.000498976
3 flash_sck *7614:A 1.84293e-05
*RES
1 *7614:X flash_sck 25.8409
*END
*D_NET *9 0.00145909
*CONN
*P sram_addr0[0] O
*I *7615:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr0[0] 0.000534986
2 *7615:X 0.000534986
3 sram_addr0[0] sram_clk1 0.000167076
4 sram_addr0[0] sram_web0 0
5 sram_addr0[0] wb_ack_o 0.000222041
*RES
1 *7615:X sram_addr0[0] 28.3324
*END
*D_NET *10 0.00184635
*CONN
*P sram_addr0[1] O
*I *7616:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr0[1] 0.000643943
2 *7616:X 0.000643943
3 sram_addr0[1] sram_addr1[1] 0
4 sram_addr0[1] sram_wmask0[0] 0
5 sram_addr0[1] *7534:A 7.6719e-06
6 sram_addr0[1] *968:11 0.000432613
7 sram_addr0[1] *975:12 0
8 sram_addr0[1] *1136:33 0.00011818
*RES
1 *7616:X sram_addr0[1] 31.1083
*END
*D_NET *11 0.00167295
*CONN
*P sram_addr0[2] O
*I *7617:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr0[2] 0.000516817
2 *7617:X 0.000516817
3 sram_addr0[2] sram_addr1[2] 0
4 sram_addr0[2] sram_wmask0[1] 0
5 sram_addr0[2] *7498:A 0.000452001
6 sram_addr0[2] *7534:A 0.000164829
7 sram_addr0[2] *1136:33 1.41291e-05
8 sram_addr0[2] *1137:21 8.35699e-06
*RES
1 *7617:X sram_addr0[2] 27.2288
*END
*D_NET *12 0.00123571
*CONN
*P sram_addr0[3] O
*I *7618:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr0[3] 0.000505656
2 *7618:X 0.000505656
3 sram_addr0[3] sram_addr1[3] 0
4 sram_addr0[3] sram_wmask0[2] 0
5 sram_addr0[3] *1006:7 0.000224395
*RES
1 *7618:X sram_addr0[3] 25.565
*END
*D_NET *13 0.00115072
*CONN
*P sram_addr0[4] O
*I *7619:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr0[4] 0.000489718
2 *7619:X 0.000489718
3 sram_addr0[4] sram_addr1[4] 0
4 sram_addr0[4] sram_wmask0[3] 0
5 sram_addr0[4] *1006:7 0.000171288
*RES
1 *7619:X sram_addr0[4] 25.0104
*END
*D_NET *14 0.00152055
*CONN
*P sram_addr0[5] O
*I *7620:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr0[5] 0.000670143
2 *7620:X 0.000670143
3 sram_addr0[5] sram_addr1[5] 0
4 sram_addr0[5] *7466:A 0
5 sram_addr0[5] *1010:14 0
6 sram_addr0[5] *1059:14 0
7 sram_addr0[5] *1059:16 8.9771e-06
8 sram_addr0[5] *1063:57 0.000171288
*RES
1 *7620:X sram_addr0[5] 28.3324
*END
*D_NET *15 0.00117805
*CONN
*P sram_addr0[6] O
*I *7621:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr0[6] 0.00050338
2 *7621:X 0.00050338
3 sram_addr0[6] sram_addr1[6] 0
4 sram_addr0[6] *7467:A 0
5 sram_addr0[6] *7539:A 0.000171288
*RES
1 *7621:X sram_addr0[6] 25.0104
*END
*D_NET *16 0.0016152
*CONN
*P sram_addr0[7] O
*I *7622:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr0[7] 0.000697511
2 *7622:X 0.000697511
3 sram_addr0[7] sram_addr1[7] 0
4 sram_addr0[7] *7469:A 0
5 sram_addr0[7] *1009:28 0
6 sram_addr0[7] *1063:57 0.000220183
*RES
1 *7622:X sram_addr0[7] 28.887
*END
*D_NET *17 0.00146503
*CONN
*P sram_addr0[8] O
*I *7623:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr0[8] 0.000586525
2 *7623:X 0.000586525
3 sram_addr0[8] sram_addr1[8] 0
4 sram_addr0[8] *7470:A 0
5 sram_addr0[8] *7623:A 0.000118166
6 sram_addr0[8] *994:32 2.52287e-06
7 sram_addr0[8] *1013:25 0.000171288
*RES
1 *7623:X sram_addr0[8] 26.6742
*END
*D_NET *18 0.00185166
*CONN
*P sram_addr1[0] O
*I *7533:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[0] 0.00059126
2 *7533:X 0.00059126
3 sram_addr1[0] sram_csb0 5.14247e-05
4 sram_addr1[0] sram_din0[0] 0
5 sram_addr1[0] wb_ack_o 0.000256644
6 sram_addr1[0] *160:13 4.75721e-06
7 sram_addr1[0] *225:8 0.000311511
8 sram_addr1[0] *968:11 2.63704e-05
9 sram_addr1[0] *1096:10 1.84293e-05
*RES
1 *7533:X sram_addr1[0] 28.8926
*END
*D_NET *19 0.00108246
*CONN
*P sram_addr1[1] O
*I *7534:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[1] 0.000455594
2 *7534:X 0.000455594
3 sram_addr1[1] sram_din0[1] 0
4 sram_addr1[1] *7534:A 0.000171273
5 sram_addr1[1] *975:12 0
6 sram_addr0[1] sram_addr1[1] 0
*RES
1 *7534:X sram_addr1[1] 25.0104
*END
*D_NET *20 0.00157613
*CONN
*P sram_addr1[2] O
*I *7535:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[2] 0.000619057
2 *7535:X 0.000619057
3 sram_addr1[2] sram_din0[2] 0.000301476
4 sram_addr1[2] *7498:A 0
5 sram_addr1[2] *7535:A 3.14978e-05
6 sram_addr1[2] *1137:21 5.04054e-06
7 sram_addr0[2] sram_addr1[2] 0
*RES
1 *7535:X sram_addr1[2] 31.2592
*END
*D_NET *21 0.00146878
*CONN
*P sram_addr1[3] O
*I *7536:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[3] 0.000572308
2 *7536:X 0.000572308
3 sram_addr1[3] sram_din0[3] 0
4 sram_addr1[3] *1006:7 0.000324166
5 sram_addr0[3] sram_addr1[3] 0
*RES
1 *7536:X sram_addr1[3] 26.6742
*END
*D_NET *22 0.00138018
*CONN
*P sram_addr1[4] O
*I *7537:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[4] 0.00055134
2 *7537:X 0.00055134
3 sram_addr1[4] sram_din0[4] 0
4 sram_addr1[4] *1006:7 0.000277502
5 sram_addr0[4] sram_addr1[4] 0
*RES
1 *7537:X sram_addr1[4] 26.1196
*END
*D_NET *23 0.00199824
*CONN
*P sram_addr1[5] O
*I *7538:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[5] 0.000704379
2 *7538:X 0.000704379
3 sram_addr1[5] sram_din0[5] 0
4 sram_addr1[5] *7330:CLK 0.00013408
5 sram_addr1[5] *1010:14 0.000137693
6 sram_addr1[5] *1063:57 0.000317707
7 sram_addr0[5] sram_addr1[5] 0
*RES
1 *7538:X sram_addr1[5] 29.9962
*END
*D_NET *24 0.00118226
*CONN
*P sram_addr1[6] O
*I *7539:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[6] 0.000556054
2 *7539:X 0.000556054
3 sram_addr1[6] sram_din0[6] 2.98369e-05
4 sram_addr1[6] *7539:A 1.41976e-05
5 sram_addr1[6] *7621:A 2.61147e-05
6 sram_addr1[6] *578:34 0
7 sram_addr0[6] sram_addr1[6] 0
*RES
1 *7539:X sram_addr1[6] 28.4862
*END
*D_NET *25 0.00219322
*CONN
*P sram_addr1[7] O
*I *7540:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[7] 0.000611584
2 *7540:X 0.000611584
3 sram_addr1[7] sram_din0[7] 0
4 sram_addr1[7] *6872:A 9.34396e-06
5 sram_addr1[7] *6872:B 8.39059e-05
6 sram_addr1[7] *6873:A 0.000164815
7 sram_addr1[7] *994:32 0.000328363
8 sram_addr1[7] *1006:29 6.50727e-05
9 sram_addr1[7] *1009:28 0.000187483
10 sram_addr1[7] *1013:25 0.000131072
11 sram_addr0[7] sram_addr1[7] 0
*RES
1 *7540:X sram_addr1[7] 32.7721
*END
*D_NET *26 0.00167479
*CONN
*P sram_addr1[8] O
*I *7541:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[8] 0.000646659
2 *7541:X 0.000646659
3 sram_addr1[8] sram_din0[8] 0
4 sram_addr1[8] *7623:A 0.000381471
5 sram_addr0[8] sram_addr1[8] 0
*RES
1 *7541:X sram_addr1[8] 27.9616
*END
*D_NET *27 0.00266582
*CONN
*P sram_clk0 O
*I *7542:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_clk0 0.00129497
2 *7542:X 0.00129497
3 sram_clk0 sram_clk1 0
4 sram_clk0 *1098:11 7.58748e-05
*RES
1 *7542:X sram_clk0 47.0681
*END
*D_NET *28 0.00336614
*CONN
*P sram_clk1 O
*I *7543:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_clk1 0.00112848
2 *7543:X 0.00112848
3 sram_clk1 sram_csb0 0
4 sram_clk1 sram_csb1 0
5 sram_clk1 *7410:CLK 0.00078923
6 sram_clk1 *1096:21 0.000152878
7 sram_addr0[0] sram_clk1 0.000167076
8 sram_clk0 sram_clk1 0
*RES
1 *7543:X sram_clk1 44.8312
*END
*D_NET *29 0.00381434
*CONN
*P sram_csb0 O
*I *7544:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_csb0 0.00116099
2 *7544:X 0.00116099
3 sram_csb0 sram_csb1 0
4 sram_csb0 *7497:A 0.000288567
5 sram_csb0 *232:16 0.000570211
6 sram_csb0 *968:11 0.000517103
7 sram_csb0 *1096:10 6.50586e-05
8 sram_addr1[0] sram_csb0 5.14247e-05
9 sram_clk1 sram_csb0 0
*RES
1 *7544:X sram_csb0 46.6372
*END
*D_NET *30 0.00223975
*CONN
*P sram_csb1 O
*I *7545:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_csb1 0.000956516
2 *7545:X 0.000956516
3 sram_csb1 sram_web0 0
4 sram_csb1 *7410:CLK 0
5 sram_csb1 *7497:A 0.000171444
6 sram_csb1 *7499:A 4.1026e-05
7 sram_csb1 *7526:A 0.000114247
8 sram_clk1 sram_csb1 0
9 sram_csb0 sram_csb1 0
*RES
1 *7545:X sram_csb1 38.2985
*END
*D_NET *31 0.001826
*CONN
*P sram_din0[0] O
*I *7546:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[0] 0.000810194
2 *7546:X 0.000810194
3 sram_din0[0] wb_stall_o 5.6618e-05
4 sram_din0[0] *7457:A 0
5 sram_din0[0] *7546:A 4.31703e-05
6 sram_din0[0] *160:13 2.86353e-06
7 sram_din0[0] *225:8 0
8 sram_din0[0] *232:16 1.91246e-05
9 sram_din0[0] *1096:10 3.57522e-05
10 sram_din0[0] *1096:24 4.80833e-05
11 sram_din0[0] *1135:49 0
12 sram_addr1[0] sram_din0[0] 0
*RES
1 *7546:X sram_din0[0] 32.2091
*END
*D_NET *32 0.00116443
*CONN
*P sram_din0[10] O
*I *7547:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[10] 0.000496569
2 *7547:X 0.000496569
3 sram_din0[10] *7468:A 0
4 sram_din0[10] *7472:A 0
5 sram_din0[10] *7623:A 0.000171288
*RES
1 *7547:X sram_din0[10] 25.0104
*END
*D_NET *33 0.00106299
*CONN
*P sram_din0[11] O
*I *7548:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[11] 0.000510168
2 *7548:X 0.000510168
3 sram_din0[11] *7468:A 0
4 sram_din0[11] *7479:A 0
5 sram_din0[11] *7547:A 4.26566e-05
*RES
1 *7548:X sram_din0[11] 25.0104
*END
*D_NET *34 0.00105054
*CONN
*P sram_din0[12] O
*I *7549:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[12] 0.000524663
2 *7549:X 0.000524663
3 sram_din0[12] *7479:A 0
4 sram_din0[12] *7577:A 1.21461e-06
5 sram_din0[12] *1122:14 0
*RES
1 *7549:X sram_din0[12] 25.0104
*END
*D_NET *35 0.00148207
*CONN
*P sram_din0[13] O
*I *7550:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[13] 0.000622644
2 *7550:X 0.000622644
3 sram_din0[13] *7490:A 0
4 sram_din0[13] *994:11 0.000171288
5 sram_din0[13] *1015:24 6.54973e-05
6 sram_din0[13] *1100:12 0
*RES
1 *7550:X sram_din0[13] 28.3324
*END
*D_NET *36 0.00109641
*CONN
*P sram_din0[14] O
*I *7551:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[14] 0.000482315
2 *7551:X 0.000482315
3 sram_din0[14] *7501:A 1.00981e-05
4 sram_din0[14] *7551:A 5.56461e-05
5 sram_din0[14] *1133:14 6.60404e-05
*RES
1 *7551:X sram_din0[14] 25.0104
*END
*D_NET *37 0.00186591
*CONN
*P sram_din0[15] O
*I *7552:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[15] 0.000722135
2 *7552:X 0.000722135
3 sram_din0[15] *7512:A 0
4 sram_din0[15] *7552:A 0.000393386
5 sram_din0[15] *978:7 2.82583e-05
*RES
1 *7552:X sram_din0[15] 28.8926
*END
*D_NET *38 0.00197956
*CONN
*P sram_din0[16] O
*I *7553:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[16] 0.000827147
2 *7553:X 0.000827147
3 sram_din0[16] *6861:A1 0.000164829
4 sram_din0[16] *7523:A 0
5 sram_din0[16] *7553:A 3.25584e-05
6 sram_din0[16] *655:45 1.50167e-05
7 sram_din0[16] *989:14 4.42995e-05
8 sram_din0[16] *1011:15 0
9 sram_din0[16] *1022:14 0
10 sram_din0[16] *1033:23 6.85639e-05
11 sram_din0[16] *1044:12 0
12 sram_din0[16] *1122:8 0
*RES
1 *7553:X sram_din0[16] 31.1055
*END
*D_NET *39 0.00292734
*CONN
*P sram_din0[17] O
*I *7554:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[17] 0.00117351
2 *7554:X 0.00117351
3 sram_din0[17] *7313:CLK 5.04829e-06
4 sram_din0[17] *7532:A 0
5 sram_din0[17] *655:45 4.91225e-06
6 sram_din0[17] *655:65 0.000570356
7 sram_din0[17] *1044:12 0
8 sram_din0[17] *1133:8 0
*RES
1 *7554:X sram_din0[17] 39.6174
*END
*D_NET *40 0.00257594
*CONN
*P sram_din0[18] O
*I *7555:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[18] 0.00108666
2 *7555:X 0.00108666
3 sram_din0[18] *6810:A1 0.000113968
4 sram_din0[18] *6815:B2 4.82966e-05
5 sram_din0[18] *7447:A 0
6 sram_din0[18] *7448:A 0
7 sram_din0[18] *7554:A 2.18292e-05
8 sram_din0[18] *587:60 2.1558e-06
9 sram_din0[18] *641:41 5.65463e-05
10 sram_din0[18] *991:17 1.9946e-05
11 sram_din0[18] *992:13 0.000103943
12 sram_din0[18] *1062:31 3.59437e-05
*RES
1 *7555:X sram_din0[18] 36.0913
*END
*D_NET *41 0.00273818
*CONN
*P sram_din0[19] O
*I *7556:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[19] 0.000966902
2 *7556:X 0.000966902
3 sram_din0[19] *6811:A2 0.000171273
4 sram_din0[19] *6815:A2 2.36813e-05
5 sram_din0[19] *6815:B1 6.64907e-05
6 sram_din0[19] *6815:B2 1.56321e-05
7 sram_din0[19] *6817:A2 0.00018643
8 sram_din0[19] *7448:A 0
9 sram_din0[19] *7449:A 0
10 sram_din0[19] *7556:A 0.000271281
11 sram_din0[19] *571:43 1.65872e-05
12 sram_din0[19] *1022:8 5.30033e-05
*RES
1 *7556:X sram_din0[19] 36.9967
*END
*D_NET *42 0.00161373
*CONN
*P sram_din0[1] O
*I *7557:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[1] 0.000644784
2 *7557:X 0.000644784
3 sram_din0[1] *7450:A 0
4 sram_din0[1] *7534:A 0.000324166
5 sram_din0[1] *7557:A 0
6 sram_din0[1] *975:12 0
7 sram_addr1[1] sram_din0[1] 0
*RES
1 *7557:X sram_din0[1] 30.7046
*END
*D_NET *43 0.0022376
*CONN
*P sram_din0[20] O
*I *7558:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[20] 0.00097482
2 *7558:X 0.00097482
3 sram_din0[20] *6792:B1 0.000277502
4 sram_din0[20] *6818:A2 0
5 sram_din0[20] *7449:A 0
6 sram_din0[20] *7451:A 0
7 sram_din0[20] *7559:A 0
8 sram_din0[20] *641:58 1.04568e-05
*RES
1 *7558:X sram_din0[20] 37.3559
*END
*D_NET *44 0.0014514
*CONN
*P sram_din0[21] O
*I *7559:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[21] 0.000711438
2 *7559:X 0.000711438
3 sram_din0[21] *7451:A 0
4 sram_din0[21] *7452:A 0
5 sram_din0[21] *7559:A 2.85274e-05
*RES
1 *7559:X sram_din0[21] 28.887
*END
*D_NET *45 0.00176458
*CONN
*P sram_din0[22] O
*I *7560:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[22] 0.000604821
2 *7560:X 0.000604821
3 sram_din0[22] *6792:B1 6.08467e-05
4 sram_din0[22] *6793:A1 3.72373e-05
5 sram_din0[22] *6793:A2 0
6 sram_din0[22] *6793:B1 6.1351e-05
7 sram_din0[22] *6793:B2 0.000340125
8 sram_din0[22] *7309:CLK 5.53789e-05
9 sram_din0[22] *7452:A 0
*RES
1 *7560:X sram_din0[22] 30.5452
*END
*D_NET *46 0.00227265
*CONN
*P sram_din0[23] O
*I *7561:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[23] 0.000507964
2 *7561:X 0.000507964
3 sram_din0[23] *6792:A1 7.44302e-05
4 sram_din0[23] *7453:A 0.000251338
5 sram_din0[23] *1005:20 0.000441022
6 sram_din0[23] *1008:41 0.000489932
*RES
1 *7561:X sram_din0[23] 32.0753
*END
*D_NET *47 0.00250313
*CONN
*P sram_din0[24] O
*I *7562:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[24] 0.00094055
2 *7562:X 0.00094055
3 sram_din0[24] *6789:B2 8.62625e-06
4 sram_din0[24] *6794:B2 0
5 sram_din0[24] *7454:A 0
6 sram_din0[24] *273:17 0.000464127
7 sram_din0[24] *998:39 0
8 sram_din0[24] *1005:20 0
9 sram_din0[24] *1007:14 0
10 sram_din0[24] *1044:8 0
11 sram_din0[24] *1054:10 9.88026e-05
12 sram_din0[24] *1096:420 5.04734e-05
*RES
1 *7562:X sram_din0[24] 34.9821
*END
*D_NET *48 0.00225236
*CONN
*P sram_din0[25] O
*I *7563:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[25] 0.000718244
2 *7563:X 0.000718244
3 sram_din0[25] *7455:A 0
4 sram_din0[25] *7456:A 0
5 sram_din0[25] *7563:A 0.000212554
6 sram_din0[25] *7564:A 2.01874e-05
7 sram_din0[25] *1008:49 0.000583127
*RES
1 *7563:X sram_din0[25] 37.2149
*END
*D_NET *49 0.0017727
*CONN
*P sram_din0[26] O
*I *7564:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[26] 0.000698489
2 *7564:X 0.000698489
3 sram_din0[26] *7456:A 0
4 sram_din0[26] *1008:49 0.00033061
5 sram_din0[26] *1055:8 4.51176e-05
*RES
1 *7564:X sram_din0[26] 29.9962
*END
*D_NET *50 0.00145969
*CONN
*P sram_din0[27] O
*I *7565:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[27] 0.00056054
2 *7565:X 0.00056054
3 sram_din0[27] *7458:A 0
4 sram_din0[27] *1007:8 5.04879e-05
5 sram_din0[27] *1008:49 0.000171288
6 sram_din0[27] *1057:8 0.000116835
*RES
1 *7565:X sram_din0[27] 28.3324
*END
*D_NET *51 0.00150407
*CONN
*P sram_din0[28] O
*I *7566:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[28] 0.000555792
2 *7566:X 0.000555792
3 sram_din0[28] *7459:A 0
4 sram_din0[28] *7460:A 0
5 sram_din0[28] *1008:49 0.000171288
6 sram_din0[28] *1058:8 0.0002212
*RES
1 *7566:X sram_din0[28] 28.3324
*END
*D_NET *52 0.00131537
*CONN
*P sram_din0[29] O
*I *7567:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[29] 0.000575954
2 *7567:X 0.000575954
3 sram_din0[29] *7460:A 0
4 sram_din0[29] *7461:A 0
5 sram_din0[29] *7570:A 7.48797e-05
6 sram_din0[29] *1059:8 8.85814e-05
*RES
1 *7567:X sram_din0[29] 28.3324
*END
*D_NET *53 0.00203264
*CONN
*P sram_din0[2] O
*I *7568:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[2] 0.000498316
2 *7568:X 0.000498316
3 sram_din0[2] *7535:A 2.65667e-05
4 sram_din0[2] *969:20 0
5 sram_din0[2] *1006:7 2.85274e-05
6 sram_din0[2] *1054:14 0
7 sram_din0[2] *1136:33 0
8 sram_din0[2] *1137:21 0.000679443
9 sram_addr1[2] sram_din0[2] 0.000301476
*RES
1 *7568:X sram_din0[2] 32.2175
*END
*D_NET *54 0.00146414
*CONN
*P sram_din0[30] O
*I *7569:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[30] 0.00065478
2 *7569:X 0.00065478
3 sram_din0[30] *7461:A 0
4 sram_din0[30] *7463:A 0
5 sram_din0[30] *7569:A 9.91731e-05
6 sram_din0[30] *1060:8 5.54078e-05
*RES
1 *7569:X sram_din0[30] 28.338
*END
*D_NET *55 0.00153996
*CONN
*P sram_din0[31] O
*I *7570:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[31] 0.000758566
2 *7570:X 0.000758566
3 sram_din0[31] *7463:A 0
4 sram_din0[31] *7464:A 2.28312e-05
*RES
1 *7570:X sram_din0[31] 30.5508
*END
*D_NET *56 0.0017663
*CONN
*P sram_din0[3] O
*I *7571:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[3] 0.000613904
2 *7571:X 0.000613904
3 sram_din0[3] *7536:A 5.50027e-05
4 sram_din0[3] *7618:A 0.000483488
5 sram_din0[3] *1058:14 0
6 sram_addr1[3] sram_din0[3] 0
*RES
1 *7571:X sram_din0[3] 29.999
*END
*D_NET *57 0.00166645
*CONN
*P sram_din0[4] O
*I *7572:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[4] 0.000641368
2 *7572:X 0.000641368
3 sram_din0[4] *7466:A 0
4 sram_din0[4] *1006:7 0.000383717
5 sram_addr1[4] sram_din0[4] 0
*RES
1 *7572:X sram_din0[4] 28.1326
*END
*D_NET *58 0.00188744
*CONN
*P sram_din0[5] O
*I *7573:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[5] 0.000832835
2 *7573:X 0.000832835
3 sram_din0[5] *7330:CLK 0
4 sram_din0[5] *7330:D 0
5 sram_din0[5] *1010:14 0.000171288
6 sram_din0[5] *1140:55 5.04879e-05
7 sram_addr1[5] sram_din0[5] 0
*RES
1 *7573:X sram_din0[5] 31.6545
*END
*D_NET *59 0.00146125
*CONN
*P sram_din0[6] O
*I *7574:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[6] 0.000606803
2 *7574:X 0.000606803
3 sram_din0[6] *7469:A 0
4 sram_din0[6] *7621:A 2.42273e-05
5 sram_din0[6] *578:34 0.000137936
6 sram_din0[6] *1013:25 5.56461e-05
7 sram_addr1[6] sram_din0[6] 2.98369e-05
*RES
1 *7574:X sram_din0[6] 30.1572
*END
*D_NET *60 0.00293069
*CONN
*P sram_din0[7] O
*I *7575:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[7] 0.000666405
2 *7575:X 0.000666405
3 sram_din0[7] *6864:B2 7.84346e-05
4 sram_din0[7] *6872:A 1.32509e-05
5 sram_din0[7] *6872:B 0.000224395
6 sram_din0[7] *6873:A 3.92275e-05
7 sram_din0[7] *7470:A 0
8 sram_din0[7] *994:36 0.000388269
9 sram_din0[7] *1014:21 0.00011818
10 sram_din0[7] *1063:47 0.000736122
11 sram_addr1[7] sram_din0[7] 0
*RES
1 *7575:X sram_din0[7] 35.7521
*END
*D_NET *61 0.001775
*CONN
*P sram_din0[8] O
*I *7576:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[8] 0.00061783
2 *7576:X 0.00061783
3 sram_din0[8] *7471:A 0
4 sram_din0[8] *7623:A 0.000108733
5 sram_din0[8] *976:19 5.18929e-05
6 sram_din0[8] *994:32 0.00033061
7 sram_din0[8] *1015:31 4.81015e-05
8 sram_addr1[8] sram_din0[8] 0
*RES
1 *7576:X sram_din0[8] 31.1083
*END
*D_NET *62 0.00160361
*CONN
*P sram_din0[9] O
*I *7577:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_din0[9] 0.000613169
2 *7577:X 0.000613169
3 sram_din0[9] *7471:A 0
4 sram_din0[9] *7472:A 0
5 sram_din0[9] *7623:A 0.000377273
6 sram_din0[9] *1015:31 0
*RES
1 *7577:X sram_din0[9] 27.2288
*END
*D_NET *95 0.00390385
*CONN
*P sram_dout1[0] I
*I *7457:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[0] 0.00117344
2 *7457:A 0.00117344
3 *7457:A sram_wmask0[0] 0
4 *7457:A wb_stall_o 0
5 *7457:A *7207:A1 0.000795674
6 *7457:A *7207:A2 0
7 *7457:A *7405:CLK 4.58003e-05
8 *7457:A *7406:D 0.000104015
9 *7457:A *7544:A 0.000137936
10 *7457:A *232:16 2.652e-05
11 *7457:A *1053:8 0.000224395
12 *7457:A *1125:7 5.13575e-05
13 *7457:A *1125:18 0.000171273
14 sram_din0[0] *7457:A 0
*RES
1 sram_dout1[0] *7457:A 46.6773
*END
*D_NET *96 0.000984219
*CONN
*P sram_dout1[10] I
*I *7468:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[10] 0.000459573
2 *7468:A 0.000459573
3 *7468:A *7547:A 6.50727e-05
4 sram_din0[10] *7468:A 0
5 sram_din0[11] *7468:A 0
*RES
1 sram_dout1[10] *7468:A 24.7317
*END
*D_NET *97 0.000952294
*CONN
*P sram_dout1[11] I
*I *7479:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[11] 0.000462855
2 *7479:A 0.000462855
3 *7479:A *7577:A 2.65831e-05
4 sram_din0[11] *7479:A 0
5 sram_din0[12] *7479:A 0
*RES
1 sram_dout1[11] *7479:A 24.7317
*END
*D_NET *98 0.00119116
*CONN
*P sram_dout1[12] I
*I *7490:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 sram_dout1[12] 0.000462053
2 *7490:A 0.000462053
3 *7490:A *1015:24 4.59797e-05
4 *7490:A *1089:14 0.000164843
5 *7490:A *1122:14 5.62349e-05
6 sram_din0[13] *7490:A 0
*RES
1 sram_dout1[12] *7490:A 25.8409
*END
*D_NET *99 0.00238925
*CONN
*P sram_dout1[13] I
*I *7501:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 sram_dout1[13] 0.000556126
2 *7501:A 0.000556126
3 *7501:A *7551:A 0.000330596
4 *7501:A *7552:A 5.04829e-06
5 *7501:A *1100:12 0.000791476
6 *7501:A *1133:14 0.000139784
7 sram_din0[14] *7501:A 1.00981e-05
*RES
1 sram_dout1[13] *7501:A 32.9114
*END
*D_NET *100 0.00191267
*CONN
*P sram_dout1[14] I
*I *7512:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 sram_dout1[14] 0.000743912
2 *7512:A 0.000743912
3 *7512:A *6854:A 0.000112174
4 *7512:A *7552:A 0.000261072
5 *7512:A *641:31 2.57986e-05
6 *7512:A *967:10 0
7 *7512:A *1062:33 2.57986e-05
8 sram_din0[15] *7512:A 0
*RES
1 sram_dout1[14] *7512:A 31.3757
*END
*D_NET *101 0.00192761
*CONN
*P sram_dout1[15] I
*I *7523:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 sram_dout1[15] 0.000549899
2 *7523:A 0.000549899
3 *7523:A *978:7 0.000570356
4 *7523:A *1011:15 0.000111722
5 *7523:A *1033:17 0.00013243
6 *7523:A *1033:23 1.33074e-05
7 sram_din0[16] *7523:A 0
*RES
1 sram_dout1[15] *7523:A 30.2778
*END
*D_NET *102 0.00198084
*CONN
*P sram_dout1[16] I
*I *7532:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 sram_dout1[16] 0.000512425
2 *7532:A 0.000512425
3 *7532:A *1011:7 0.000536595
4 *7532:A *1033:17 0.000156868
5 *7532:A *1044:12 0.000262524
6 sram_din0[17] *7532:A 0
*RES
1 sram_dout1[16] *7532:A 29.3079
*END
*D_NET *103 0.00193163
*CONN
*P sram_dout1[17] I
*I *7447:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 sram_dout1[17] 0.000644412
2 *7447:A 0.000644412
3 *7447:A *1011:7 0.00064281
4 *7447:A *1133:8 0
5 sram_din0[18] *7447:A 0
*RES
1 sram_dout1[17] *7447:A 30.4171
*END
*D_NET *104 0.00131973
*CONN
*P sram_dout1[18] I
*I *7448:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 sram_dout1[18] 0.000521112
2 *7448:A 0.000521112
3 *7448:A *1011:7 0.000277502
4 sram_din0[18] *7448:A 0
5 sram_din0[19] *7448:A 0
*RES
1 sram_dout1[18] *7448:A 26.5349
*END
*D_NET *105 0.00164937
*CONN
*P sram_dout1[19] I
*I *7449:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 sram_dout1[19] 0.000579719
2 *7449:A 0.000579719
3 *7449:A *1011:7 0.000489932
4 *7449:A *1022:8 0
5 sram_din0[19] *7449:A 0
6 sram_din0[20] *7449:A 0
*RES
1 sram_dout1[19] *7449:A 28.7533
*END
*D_NET *106 0.0016585
*CONN
*P sram_dout1[1] I
*I *7450:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[1] 0.000679942
2 *7450:A 0.000679942
3 *7450:A sram_wmask0[1] 0
4 *7450:A *6611:A 6.50586e-05
5 *7450:A *7207:A2 0
6 *7450:A *7405:CLK 8.11463e-06
7 *7450:A *7557:A 0
8 *7450:A *982:27 6.50586e-05
9 *7450:A *1174:28 0.000160384
10 sram_din0[1] *7450:A 0
*RES
1 sram_dout1[1] *7450:A 31.3757
*END
*D_NET *107 0.0010436
*CONN
*P sram_dout1[20] I
*I *7451:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 sram_dout1[20] 0.000462708
2 *7451:A 0.000462708
3 *7451:A *1011:7 0.00011818
4 sram_din0[20] *7451:A 0
5 sram_din0[21] *7451:A 0
*RES
1 sram_dout1[20] *7451:A 24.8711
*END
*D_NET *108 0.00227061
*CONN
*P sram_dout1[21] I
*I *7452:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 sram_dout1[21] 0.00101517
2 *7452:A 0.00101517
3 *7452:A *6793:B2 2.65667e-05
4 *7452:A *1022:8 0.000213704
5 sram_din0[21] *7452:A 0
6 sram_din0[22] *7452:A 0
*RES
1 sram_dout1[21] *7452:A 33.3536
*END
*D_NET *109 0.00188398
*CONN
*P sram_dout1[22] I
*I *7453:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 sram_dout1[22] 0.000781262
2 *7453:A 0.000781262
3 *7453:A *7454:A 6.50727e-05
4 *7453:A *1022:8 5.04829e-06
5 sram_din0[23] *7453:A 0.000251338
*RES
1 sram_dout1[22] *7453:A 35.5567
*END
*D_NET *110 0.00160619
*CONN
*P sram_dout1[23] I
*I *7454:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 sram_dout1[23] 0.000571809
2 *7454:A 0.000571809
3 *7454:A *1033:8 4.27148e-05
4 *7454:A *1054:10 0.000354781
5 sram_din0[24] *7454:A 0
6 *7453:A *7454:A 6.50727e-05
*RES
1 sram_dout1[23] *7454:A 29.1685
*END
*D_NET *111 0.00157266
*CONN
*P sram_dout1[24] I
*I *7455:A I *D sky130_fd_sc_hd__buf_4
*CAP
1 sram_dout1[24] 0.000474677
2 *7455:A 0.000474677
3 *7455:A *1007:14 0.00019069
4 *7455:A *1044:8 0
5 *7455:A *1054:10 0.000432613
6 sram_din0[25] *7455:A 0
*RES
1 sram_dout1[24] *7455:A 28.6139
*END
*D_NET *112 0.0009715
*CONN
*P sram_dout1[25] I
*I *7456:A I *D sky130_fd_sc_hd__buf_4
*CAP
1 sram_dout1[25] 0.000460385
2 *7456:A 0.000460385
3 *7456:A *1007:14 5.07314e-05
4 sram_din0[25] *7456:A 0
5 sram_din0[26] *7456:A 0
*RES
1 sram_dout1[25] *7456:A 25.2863
*END
*D_NET *113 0.00108818
*CONN
*P sram_dout1[26] I
*I *7458:A I *D sky130_fd_sc_hd__buf_4
*CAP
1 sram_dout1[26] 0.00047569
2 *7458:A 0.00047569
3 *7458:A *7569:A 6.92705e-05
4 *7458:A *1007:14 6.75302e-05
5 sram_din0[27] *7458:A 0
*RES
1 sram_dout1[26] *7458:A 26.3955
*END
*D_NET *114 0.000915703
*CONN
*P sram_dout1[27] I
*I *7459:A I *D sky130_fd_sc_hd__buf_4
*CAP
1 sram_dout1[27] 0.000425315
2 *7459:A 0.000425315
3 *7459:A *7569:A 6.50727e-05
4 *7459:A *1057:8 0
5 *7459:A *1058:8 0
6 sram_din0[28] *7459:A 0
*RES
1 sram_dout1[27] *7459:A 24.7317
*END
*D_NET *115 0.000892417
*CONN
*P sram_dout1[28] I
*I *7460:A I *D sky130_fd_sc_hd__buf_4
*CAP
1 sram_dout1[28] 0.000413672
2 *7460:A 0.000413672
3 *7460:A *7569:A 6.50727e-05
4 *7460:A *1059:8 0
5 sram_din0[28] *7460:A 0
6 sram_din0[29] *7460:A 0
*RES
1 sram_dout1[28] *7460:A 24.7317
*END
*D_NET *116 0.000878795
*CONN
*P sram_dout1[29] I
*I *7461:A I *D sky130_fd_sc_hd__buf_4
*CAP
1 sram_dout1[29] 0.000406861
2 *7461:A 0.000406861
3 *7461:A *7569:A 6.50727e-05
4 *7461:A *1060:8 0
5 sram_din0[29] *7461:A 0
6 sram_din0[30] *7461:A 0
*RES
1 sram_dout1[29] *7461:A 24.7317
*END
*D_NET *117 0.00235824
*CONN
*P sram_dout1[2] I
*I *7462:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[2] 0.000732235
2 *7462:A 0.000732235
3 *7462:A sram_wmask0[2] 0
4 *7462:A *6594:A 0.000158451
5 *7462:A *1054:14 0.000345238
6 *7462:A *1057:14 0.000202278
7 *7462:A *1061:11 2.22198e-05
8 *7462:A *1098:44 0.000158451
9 *7462:A *1173:44 7.13655e-06
*RES
1 sram_dout1[2] *7462:A 36.2222
*END
*D_NET *118 0.00169555
*CONN
*P sram_dout1[30] I
*I *7463:A I *D sky130_fd_sc_hd__buf_4
*CAP
1 sram_dout1[30] 0.000760034
2 *7463:A 0.000760034
3 *7463:A *1062:10 0.000175485
4 sram_din0[30] *7463:A 0
5 sram_din0[31] *7463:A 0
*RES
1 sram_dout1[30] *7463:A 33.5942
*END
*D_NET *119 0.00329487
*CONN
*P sram_dout1[31] I
*I *7464:A I *D sky130_fd_sc_hd__buf_4
*CAP
1 sram_dout1[31] 0.00149906
2 *7464:A 0.00149906
3 *7464:A *7570:A 0.000273917
4 sram_din0[31] *7464:A 2.28312e-05
*RES
1 sram_dout1[31] *7464:A 46.3716
*END
*D_NET *120 0.00240791
*CONN
*P sram_dout1[3] I
*I *7465:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[3] 0.0009527
2 *7465:A 0.0009527
3 *7465:A sram_wmask0[3] 0
4 *7465:A *6587:A 0.000370815
5 *7465:A *6592:B 0
6 *7465:A *7571:A 0
7 *7465:A *487:9 0.000131697
8 *7465:A *1058:14 0
9 *7465:A *1058:16 0
*RES
1 sram_dout1[3] *7465:A 37.6399
*END
*D_NET *121 0.0030935
*CONN
*P sram_dout1[4] I
*I *7466:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[4] 0.000743233
2 *7466:A 0.000743233
3 *7466:A *7619:A 0
4 *7466:A *491:10 0.000137258
5 *7466:A *976:9 0.000357898
6 *7466:A *1059:14 2.5386e-05
7 *7466:A *1060:12 0.000728596
8 *7466:A *1062:65 0.000357898
9 sram_addr0[5] *7466:A 0
10 sram_din0[4] *7466:A 0
*RES
1 sram_dout1[4] *7466:A 38.4406
*END
*D_NET *122 0.00255278
*CONN
*P sram_dout1[5] I
*I *7467:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[5] 0.000824314
2 *7467:A 0.000824314
3 *7467:A *7330:D 0.000102788
4 *7467:A *7353:D 5.9708e-05
5 *7467:A *694:13 0.000370829
6 *7467:A *1010:14 0.000370829
7 *7467:A *1138:13 0
8 sram_addr0[6] *7467:A 0
*RES
1 sram_dout1[5] *7467:A 35.6072
*END
*D_NET *123 0.0015834
*CONN
*P sram_dout1[6] I
*I *7469:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[6] 0.000693797
2 *7469:A 0.000693797
3 *7469:A *578:34 0
4 *7469:A *1009:28 0
5 *7469:A *1063:57 0.000115615
6 *7469:A *1141:26 8.01886e-05
7 sram_addr0[7] *7469:A 0
8 sram_din0[6] *7469:A 0
*RES
1 sram_dout1[6] *7469:A 30.6874
*END
*D_NET *124 0.00235164
*CONN
*P sram_dout1[7] I
*I *7470:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[7] 0.000919084
2 *7470:A 0.000919084
3 *7470:A *6864:A1 0
4 *7470:A *6864:B2 0
5 *7470:A *6865:B 2.82251e-05
6 *7470:A *6870:B2 0.000164829
7 *7470:A *6871:A2 0.000165495
8 *7470:A *6872:B 0
9 *7470:A *7540:A 0
10 *7470:A *7575:A 3.34802e-05
11 *7470:A *994:36 0
12 *7470:A *1012:24 6.73186e-05
13 *7470:A *1013:25 0
14 *7470:A *1069:11 5.41227e-05
15 *7470:A *1096:235 0
16 sram_addr0[8] *7470:A 0
17 sram_din0[7] *7470:A 0
*RES
1 sram_dout1[7] *7470:A 36.2222
*END
*D_NET *125 0.00236782
*CONN
*P sram_dout1[8] I
*I *7471:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[8] 0.000679543
2 *7471:A 0.000679543
3 *7471:A *994:26 0.00022619
4 *7471:A *1012:11 8.01837e-05
5 *7471:A *1015:31 0
6 *7471:A *1063:47 0.000702362
7 sram_din0[8] *7471:A 0
8 sram_din0[9] *7471:A 0
*RES
1 sram_dout1[8] *7471:A 35.1242
*END
*D_NET *126 0.00154204
*CONN
*P sram_dout1[9] I
*I *7472:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[9] 0.000644911
2 *7472:A 0.000644911
3 *7472:A *994:26 5.67857e-05
4 *7472:A *1015:31 0
5 *7472:A *1063:35 2.41483e-05
6 *7472:A *1063:47 0.000171288
7 *7472:A *1143:30 0
8 sram_din0[10] *7472:A 0
9 sram_din0[9] *7472:A 0
*RES
1 sram_dout1[9] *7472:A 30.1328
*END
*D_NET *127 0.0045681
*CONN
*P sram_web0 O
*I *7442:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_web0 0.00166887
2 *7442:LO 0.00166887
3 sram_web0 wb_ack_o 0
4 sram_web0 *7410:CLK 0.000146799
5 sram_web0 *7410:D 9.04572e-05
6 sram_web0 *7526:A 0.000104263
7 sram_web0 *7542:A 0
8 sram_web0 *1098:11 5.73392e-05
9 sram_web0 *1135:49 0.000831488
10 sram_addr0[0] sram_web0 0
11 sram_csb1 sram_web0 0
*RES
1 *7442:LO sram_web0 48.8416
*END
*D_NET *128 0.00232878
*CONN
*P sram_wmask0[0] O
*I *7436:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_wmask0[0] 0.000855192
2 *7436:HI 0.000855192
3 sram_wmask0[0] *6611:A 0.000423922
4 sram_wmask0[0] *7543:A 9.24241e-05
5 sram_wmask0[0] *7611:A 0
6 sram_wmask0[0] *232:16 0.000102046
7 sram_wmask0[0] *1053:8 0
8 sram_addr0[1] sram_wmask0[0] 0
9 *7457:A sram_wmask0[0] 0
*RES
1 *7436:HI sram_wmask0[0] 34.4275
*END
*D_NET *129 0.000885123
*CONN
*P sram_wmask0[1] O
*I *7437:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_wmask0[1] 0.000410025
2 *7437:HI 0.000410025
3 sram_wmask0[1] *7534:A 6.50727e-05
4 sram_addr0[2] sram_wmask0[1] 0
5 *7450:A sram_wmask0[1] 0
*RES
1 *7437:HI sram_wmask0[1] 23.9012
*END
*D_NET *130 0.0020957
*CONN
*P sram_wmask0[2] O
*I *7438:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_wmask0[2] 0.000675188
2 *7438:HI 0.000675188
3 sram_wmask0[2] *6591:A 0.000125695
4 sram_wmask0[2] *487:9 0.00033061
5 sram_wmask0[2] *502:45 2.95757e-05
6 sram_wmask0[2] *1057:14 0.000259441
7 sram_addr0[3] sram_wmask0[2] 0
8 *7462:A sram_wmask0[2] 0
*RES
1 *7438:HI sram_wmask0[2] 33.7335
*END
*D_NET *131 0.00216342
*CONN
*P sram_wmask0[3] O
*I *7439:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_wmask0[3] 0.00087704
2 *7439:HI 0.00087704
3 sram_wmask0[3] *6594:B 0
4 sram_wmask0[3] *6599:B 0.000198752
5 sram_wmask0[3] *486:18 0.000200252
6 sram_wmask0[3] *502:37 1.03403e-05
7 sram_addr0[4] sram_wmask0[3] 0
8 *7465:A sram_wmask0[3] 0
*RES
1 *7439:HI sram_wmask0[3] 35.5283
*END
*D_NET *134 0.00399314
*CONN
*P wb_ack_o O
*I *7578:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_ack_o 0.00149427
2 *7578:X 0.00149427
3 wb_ack_o wb_data_o[0] 5.74949e-05
4 wb_ack_o *7410:CLK 0
5 wb_ack_o *7410:D 3.7098e-05
6 wb_ack_o *7497:A 0.000119388
7 wb_ack_o *7542:A 7.13655e-06
8 wb_ack_o *7578:A 9.72898e-06
9 wb_ack_o *160:13 0
10 wb_ack_o *225:8 0.00017298
11 wb_ack_o *1098:11 0.000122083
12 sram_addr0[0] wb_ack_o 0.000222041
13 sram_addr1[0] wb_ack_o 0.000256644
14 sram_web0 wb_ack_o 0
*RES
1 *7578:X wb_ack_o 48.4321
*END
*D_NET *136 0.00181241
*CONN
*P wb_adr_i[10] I
*I *7473:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[10] 0.000484839
2 *7473:A 0.000484839
3 *7473:A wb_data_o[9] 0.000134643
4 *7473:A *7227:A1 2.23259e-05
5 *7473:A *7500:A 0.000134643
6 *7473:A *1096:1435 0.00034298
7 *7473:A *1096:1444 0.000208138
*RES
1 wb_adr_i[10] *7473:A 29.0005
*END
*D_NET *137 0.0012889
*CONN
*P wb_adr_i[11] I
*I *7474:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[11] 0.000411284
2 *7474:A 0.000411284
3 *7474:A wb_data_o[10] 0.000104217
4 *7474:A *7475:A 0
5 *7474:A *7500:A 0
6 *7474:A *7502:A 0.000179543
7 *7474:A *1101:10 9.12863e-05
8 *7474:A *1103:8 9.12863e-05
*RES
1 wb_adr_i[11] *7474:A 20.1058
*END
*D_NET *138 0.00179924
*CONN
*P wb_adr_i[12] I
*I *7475:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[12] 0.000596898
2 *7475:A 0.000596898
3 *7475:A wb_data_o[11] 0.000159506
4 *7475:A *7230:A 0
5 *7475:A *7232:A1 4.06514e-05
6 *7475:A *7416:CLK 6.50586e-05
7 *7475:A *7502:A 0
8 *7475:A *7503:A 0.000312511
9 *7475:A *1112:16 8.35615e-06
10 *7475:A *1112:20 1.93606e-05
11 *7474:A *7475:A 0
*RES
1 wb_adr_i[12] *7475:A 22.9492
*END
*D_NET *139 0.00172778
*CONN
*P wb_adr_i[13] I
*I *7476:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[13] 0.000657725
2 *7476:A 0.000657725
3 *7476:A wb_data_o[12] 0.000154819
4 *7476:A *7232:S 6.46168e-05
5 *7476:A *7477:A 0
6 *7476:A *7503:A 0
7 *7476:A *7504:A 0.000192893
8 *7476:A *1109:12 0
*RES
1 wb_adr_i[13] *7476:A 21.887
*END
*D_NET *140 0.00255837
*CONN
*P wb_adr_i[14] I
*I *7477:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[14] 0.00108485
2 *7477:A 0.00108485
3 *7477:A wb_data_o[13] 0.00014685
4 *7477:A wb_data_o[14] 0
5 *7477:A *7504:A 0
6 *7477:A *7505:A 0.000134643
7 *7477:A *445:23 0
8 *7477:A *1131:34 0.000107169
9 *7476:A *7477:A 0
*RES
1 wb_adr_i[14] *7477:A 21.9744
*END
*D_NET *141 0.0011438
*CONN
*P wb_adr_i[15] I
*I *7478:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[15] 0.000223079
2 *7478:A 0.000223079
3 *7478:A wb_data_o[14] 0.00016408
4 *7478:A wb_data_o[15] 0
5 *7478:A *7238:A1 4.31485e-06
6 *7478:A *7506:A 0.000281318
7 *7478:A *1038:32 0.000203486
8 *7478:A *1038:35 3.31882e-05
9 *7478:A *1108:10 1.12605e-05
*RES
1 wb_adr_i[15] *7478:A 22.0619
*END
*D_NET *142 0.00176968
*CONN
*P wb_adr_i[16] I
*I *7480:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[16] 0.000673142
2 *7480:A 0.000673142
3 *7480:A wb_data_o[15] 0.00014916
4 *7480:A *7481:A 0
5 *7480:A *7507:A 0.000188307
6 *7480:A *1043:8 8.37812e-05
7 *7480:A *1109:12 0
8 *7480:A *1112:6 0
9 *7480:A *1112:16 2.14842e-06
*RES
1 wb_adr_i[16] *7480:A 22.3023
*END
*D_NET *143 0.00240608
*CONN
*P wb_adr_i[17] I
*I *7481:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[17] 0.000970936
2 *7481:A 0.000970936
3 *7481:A wb_data_o[16] 0.00014685
4 *7481:A *7482:A 0
5 *7481:A *7507:A 0
6 *7481:A *7508:A 0.000188307
7 *7481:A *1109:12 0
8 *7481:A *1131:36 0.000129052
9 *7480:A *7481:A 0
*RES
1 wb_adr_i[17] *7481:A 22.3896
*END
*D_NET *144 0.00259006
*CONN
*P wb_adr_i[18] I
*I *7482:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[18] 0.00109065
2 *7482:A 0.00109065
3 *7482:A wb_data_o[17] 0.000153847
4 *7482:A *7508:A 0
5 *7482:A *7509:A 0.000125848
6 *7482:A *1109:12 0
7 *7482:A *1131:36 0.000129056
8 *7481:A *7482:A 0
*RES
1 wb_adr_i[18] *7482:A 22.3896
*END
*D_NET *145 0.00137717
*CONN
*P wb_adr_i[19] I
*I *7483:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[19] 0.000362566
2 *7483:A 0.000362566
3 *7483:A wb_data_o[18] 0.000134643
4 *7483:A *7247:A1 1.82679e-05
5 *7483:A *7510:A 0.000134643
6 *7483:A *1038:35 0.00036448
*RES
1 wb_adr_i[19] *7483:A 25.6784
*END
*D_NET *147 0.00188331
*CONN
*P wb_adr_i[20] I
*I *7484:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[20] 0.000682557
2 *7484:A 0.000682557
3 *7484:A wb_data_o[19] 0.00014685
4 *7484:A *7485:A 0
5 *7484:A *7510:A 0
6 *7484:A *7513:A 0.000184357
7 *7484:A *1041:29 7.37897e-05
8 *7484:A *1043:8 0.000113199
*RES
1 wb_adr_i[20] *7484:A 21.2095
*END
*D_NET *148 0.00120796
*CONN
*P wb_adr_i[21] I
*I *7485:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[21] 0.000412049
2 *7485:A 0.000412049
3 *7485:A wb_data_o[20] 0.000165153
4 *7485:A wb_data_o[21] 0
5 *7485:A *7486:A 0
6 *7485:A *7513:A 0
7 *7485:A *7514:A 0.000127641
8 *7485:A *1041:32 2.09735e-05
9 *7485:A *1114:8 7.00991e-05
10 *7484:A *7485:A 0
*RES
1 wb_adr_i[21] *7485:A 19.7671
*END
*D_NET *149 0.00187476
*CONN
*P wb_adr_i[22] I
*I *7486:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[22] 0.000716923
2 *7486:A 0.000716923
3 *7486:A wb_data_o[21] 0.000115184
4 *7486:A wb_data_o[22] 0
5 *7486:A *7487:A 0
6 *7486:A *7515:A 0.000134643
7 *7486:A *1041:32 7.7318e-05
8 *7486:A *1043:8 7.7318e-05
9 *7486:A *1085:7 3.6455e-05
10 *7485:A *7486:A 0
*RES
1 wb_adr_i[22] *7486:A 24.0584
*END
*D_NET *150 0.00131785
*CONN
*P wb_adr_i[23] I
*I *7487:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[23] 0.000432345
2 *7487:A 0.000432345
3 *7487:A wb_data_o[22] 0.000154612
4 *7487:A *7516:A 0.000205271
5 *7487:A *1036:26 5.68437e-05
6 *7487:A *1041:32 3.64364e-05
7 *7486:A *7487:A 0
*RES
1 wb_adr_i[23] *7487:A 21.0128
*END
*D_NET *151 0.00131934
*CONN
*P wb_adr_i[2] I
*I *7488:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[2] 0.000393603
2 *7488:A 0.000393603
3 *7488:A wb_data_o[1] 0
4 *7488:A *7216:A1 6.99139e-05
5 *7488:A *7511:A 0
6 *7488:A *7517:A 0.000282519
7 *7488:A *7528:A 0.000134643
8 *7488:A *1096:1352 4.50581e-05
*RES
1 wb_adr_i[2] *7488:A 21.8433
*END
*D_NET *152 0.00156612
*CONN
*P wb_adr_i[3] I
*I *7489:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[3] 0.000599307
2 *7489:A 0.000599307
3 *7489:A wb_data_o[2] 0
4 *7489:A *7517:A 0
5 *7489:A *7518:A 0.000190016
6 *7489:A *7529:A 0.000134643
7 *7489:A *7604:A 0
8 *7489:A *446:12 4.28475e-05
*RES
1 wb_adr_i[3] *7489:A 21.887
*END
*D_NET *153 0.0007546
*CONN
*P wb_adr_i[4] I
*I *7491:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[4] 0.000294724
2 *7491:A 0.000294724
3 *7491:A wb_data_o[3] 0
4 *7491:A *7519:A 0.000165153
5 *7491:A *1096:1372 0
*RES
1 wb_adr_i[4] *7491:A 20.4009
*END
*D_NET *154 0.000724879
*CONN
*P wb_adr_i[5] I
*I *7492:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[5] 0.000204162
2 *7492:A 0.000204162
3 *7492:A wb_data_o[4] 0.000165153
4 *7492:A *7520:A 0.000151403
5 *7492:A *1096:1382 0
*RES
1 wb_adr_i[5] *7492:A 19.5704
*END
*D_NET *155 0.000956867
*CONN
*P wb_adr_i[6] I
*I *7493:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[6] 0.000263461
2 *7493:A 0.000263461
3 *7493:A wb_data_o[5] 0.0001776
4 *7493:A *7218:A1 0.000122378
5 *7493:A *7521:A 0.000129968
6 *7493:A *1120:8 0
*RES
1 wb_adr_i[6] *7493:A 22.3564
*END
*D_NET *156 0.000952083
*CONN
*P wb_adr_i[7] I
*I *7494:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[7] 0.00034548
2 *7494:A 0.00034548
3 *7494:A wb_data_o[6] 0.000160478
4 *7494:A wb_data_o[7] 0
5 *7494:A *7495:A 0
6 *7494:A *7522:A 0.000100645
*RES
1 wb_adr_i[7] *7494:A 18.9366
*END
*D_NET *157 0.00267362
*CONN
*P wb_adr_i[8] I
*I *7495:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[8] 0.00114603
2 *7495:A 0.00114603
3 *7495:A wb_data_o[7] 0.000165153
4 *7495:A *7223:A0 0
5 *7495:A *7496:A 0
6 *7495:A *7524:A 0.000151403
7 *7495:A *1131:24 6.50176e-05
8 *7494:A *7495:A 0
*RES
1 wb_adr_i[8] *7495:A 21.1439
*END
*D_NET *158 0.00220949
*CONN
*P wb_adr_i[9] I
*I *7496:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[9] 0.00078249
2 *7496:A 0.00078249
3 *7496:A wb_data_o[8] 0.000134643
4 *7496:A *7524:A 0
5 *7496:A *7525:A 0.000414388
6 *7496:A *7610:A 7.63392e-05
7 *7496:A *1131:24 1.91391e-05
8 *7495:A *7496:A 0
*RES
1 wb_adr_i[9] *7496:A 20.3134
*END
*D_NET *159 0.001511
*CONN
*P wb_clk_i I
*I *7497:A I *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 wb_clk_i 0.000406106
2 *7497:A 0.000406106
3 *7497:A *160:13 0.000119388
4 sram_csb0 *7497:A 0.000288567
5 sram_csb1 *7497:A 0.000171444
6 wb_ack_o *7497:A 0.000119388
*RES
1 wb_clk_i *7497:A 29.7545
*END
*D_NET *160 0.00612476
*CONN
*P wb_cyc_i I
*I *7498:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_cyc_i 0.00176528
2 *7498:A 0.000627109
3 *160:13 0.00239239
4 *7498:A *6589:A 0.000116971
5 *7498:A *444:8 3.20069e-06
6 *7498:A *969:20 0.00018763
7 *7498:A *1056:10 5.51094e-05
8 *7498:A *1174:43 1.87469e-05
9 *160:13 wb_error_o 0.000379316
10 *160:13 wb_stall_o 0
11 *160:13 *994:37 0
12 sram_addr0[2] *7498:A 0.000452001
13 sram_addr1[0] *160:13 4.75721e-06
14 sram_addr1[2] *7498:A 0
15 sram_din0[0] *160:13 2.86353e-06
16 wb_ack_o *160:13 0
17 *7497:A *160:13 0.000119388
*RES
1 wb_cyc_i *160:13 46.81
2 *160:13 *7498:A 32.6065
*END
*D_NET *161 0.000972464
*CONN
*P wb_data_i[0] I
*I *7499:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 wb_data_i[0] 0.000302109
2 *7499:A 0.000302109
3 *7499:A wb_data_o[0] 0.000187986
4 *7499:A *7410:CLK 0.000139234
5 *7499:A *233:21 0
6 sram_csb1 *7499:A 4.1026e-05
*RES
1 wb_data_i[0] *7499:A 20.6304
*END
*D_NET *162 0.00112685
*CONN
*P wb_data_i[10] I
*I *7500:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 wb_data_i[10] 0.000284964
2 *7500:A 0.000284964
3 *7500:A wb_data_o[10] 0.000153847
4 *7500:A wb_data_o[9] 0
5 *7500:A *1108:22 8.22034e-05
6 *7500:A *1115:6 0.000186229
7 *7473:A *7500:A 0.000134643
8 *7474:A *7500:A 0
*RES
1 wb_data_i[10] *7500:A 21.4609
*END
*D_NET *163 0.00100358
*CONN
*P wb_data_i[11] I
*I *7502:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 wb_data_i[11] 0.000245112
2 *7502:A 0.000245112
3 *7502:A wb_data_o[11] 0.000159506
4 *7502:A *1105:20 8.71534e-05
5 *7502:A *1115:6 8.71534e-05
6 *7474:A *7502:A 0.000179543
7 *7475:A *7502:A 0
*RES
1 wb_data_i[11] *7502:A 19.7999
*END
*D_NET *164 0.00197911
*CONN
*P wb_data_i[12] I
*I *7503:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_data_i[12] 0.000722371
2 *7503:A 0.000722371
3 *7503:A wb_data_o[12] 0.000150739
4 *7503:A *7232:A0 1.5714e-05
5 *7503:A *7232:S 0
6 *7503:A *1102:8 5.54078e-05
7 *7503:A *1109:12 0
8 *7475:A *7503:A 0.000312511
9 *7476:A *7503:A 0
*RES
1 wb_data_i[12] *7503:A 24.1163
*END
*D_NET *165 0.000810763
*CONN
*P wb_data_i[13] I
*I *7504:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 wb_data_i[13] 0.000208978
2 *7504:A 0.000208978
3 *7504:A wb_data_o[13] 0.000159506
4 *7504:A *1108:22 2.02035e-05
5 *7504:A *1115:6 2.02035e-05
6 *7476:A *7504:A 0.000192893
7 *7477:A *7504:A 0
*RES
1 wb_data_i[13] *7504:A 18.5541
*END
*D_NET *166 0.000460765
*CONN
*P wb_data_i[14] I
*I *7505:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 wb_data_i[14] 0.000114366
2 *7505:A 0.000114366
3 *7505:A wb_data_o[14] 9.73902e-05
4 *7477:A *7505:A 0.000134643
*RES
1 wb_data_i[14] *7505:A 18.1717
*END
*D_NET *167 0.000874414
*CONN
*P wb_data_i[15] I
*I *7506:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 wb_data_i[15] 0.000174731
2 *7506:A 0.000174731
3 *7506:A wb_data_o[15] 0.000134028
4 *7506:A *7238:A1 0.000109605
5 *7478:A *7506:A 0.000281318
*RES
1 wb_data_i[15] *7506:A 20.3244
*END
*D_NET *168 0.00088349
*CONN
*P wb_data_i[16] I
*I *7507:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 wb_data_i[16] 0.00022555
2 *7507:A 0.00022555
3 *7507:A wb_data_o[16] 0.000153847
4 *7507:A *1108:10 4.51176e-05
5 *7507:A *1115:6 4.51176e-05
6 *7480:A *7507:A 0.000188307
7 *7481:A *7507:A 0
*RES
1 wb_data_i[16] *7507:A 18.9694
*END
*D_NET *169 0.00114237
*CONN
*P wb_data_i[17] I
*I *7508:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 wb_data_i[17] 0.000263252
2 *7508:A 0.000263252
3 *7508:A wb_data_o[17] 0.000159506
4 *7508:A *1108:10 0.000134027
5 *7508:A *1115:6 0.000134027
6 *7481:A *7508:A 0.000188307
7 *7482:A *7508:A 0
*RES
1 wb_data_i[17] *7508:A 20.6304
*END
*D_NET *170 0.000872247
*CONN
*P wb_data_i[18] I
*I *7509:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 wb_data_i[18] 0.000264621
2 *7509:A 0.000264621
3 *7509:A wb_data_o[18] 0.000150739
4 *7509:A *7510:A 0
5 *7509:A *1036:19 1.15099e-05
6 *7509:A *1108:10 1.5714e-05
7 *7509:A *1115:6 3.91944e-05
8 *7482:A *7509:A 0.000125848
*RES
1 wb_data_i[18] *7509:A 18.9694
*END
*D_NET *171 0.000679663
*CONN
*P wb_data_i[19] I
*I *7510:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 wb_data_i[19] 0.000187718
2 *7510:A 0.000187718
3 *7510:A wb_data_o[18] 0
4 *7510:A wb_data_o[19] 0.000153847
5 *7510:A *1038:35 7.86825e-06
6 *7510:A *1096:1521 7.86825e-06
7 *7483:A *7510:A 0.000134643
8 *7484:A *7510:A 0
9 *7509:A *7510:A 0
*RES
1 wb_data_i[19] *7510:A 18.4011
*END
*D_NET *172 0.000881828
*CONN
*P wb_data_i[1] I
*I *7511:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 wb_data_i[1] 0.000351114
2 *7511:A 0.000351114
3 *7511:A wb_data_o[1] 0.000165153
4 *7511:A *7527:A 0
5 *7511:A *981:38 1.44467e-05
6 *7488:A *7511:A 0
*RES
1 wb_data_i[1] *7511:A 20.6304
*END
*D_NET *173 0.00107066
*CONN
*P wb_data_i[20] I
*I *7513:A I *D sky130_fd_sc_hd__clkbuf_8
*CAP
1 wb_data_i[20] 0.000259817
2 *7513:A 0.000259817
3 *7513:A wb_data_o[20] 0.000158433
4 *7513:A *1038:35 2.24085e-05
5 *7513:A *1038:40 0.000100851
6 *7513:A *1115:6 8.49791e-05
7 *7484:A *7513:A 0.000184357
8 *7485:A *7513:A 0
*RES
1 wb_data_i[20] *7513:A 21.3844
*END
*D_NET *174 0.000675869
*CONN
*P wb_data_i[21] I
*I *7514:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_i[21] 0.000187583
2 *7514:A 0.000187583
3 *7514:A wb_data_o[21] 0.000134643
4 *7514:A *1038:40 3.84194e-05
5 *7485:A *7514:A 0.000127641
*RES
1 wb_data_i[21] *7514:A 20.2479
*END
*D_NET *175 0.000517281
*CONN
*P wb_data_i[22] I
*I *7515:A I *D sky130_fd_sc_hd__buf_4
*CAP
1 wb_data_i[22] 0.000120033
2 *7515:A 0.000120033
3 *7515:A wb_data_o[22] 0.000129968
4 *7515:A *1038:40 1.26032e-05
5 *7486:A *7515:A 0.000134643
*RES
1 wb_data_i[22] *7515:A 18.5869
*END
*D_NET *176 0.00100199
*CONN
*P wb_data_i[23] I
*I *7516:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 wb_data_i[23] 0.000223272
2 *7516:A 0.000223272
3 *7516:A wb_data_o[23] 0.00016408
4 *7516:A *1038:40 6.03248e-05
5 *7516:A *1040:28 1.2693e-05
6 *7516:A *1115:6 0.000113077
7 *7487:A *7516:A 0.000205271
*RES
1 wb_data_i[23] *7516:A 20.6304
*END
*D_NET *183 0.00148366
*CONN
*P wb_data_i[2] I
*I *7517:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_data_i[2] 0.000517994
2 *7517:A 0.000517994
3 *7517:A wb_data_o[2] 0.000165153
4 *7517:A *7214:A1 0
5 *7517:A *446:12 0
6 *7488:A *7517:A 0.000282519
7 *7489:A *7517:A 0
*RES
1 wb_data_i[2] *7517:A 19.8872
*END
*D_NET *186 0.00088292
*CONN
*P wb_data_i[3] I
*I *7518:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 wb_data_i[3] 0.000248462
2 *7518:A 0.000248462
3 *7518:A wb_data_o[3] 0.000159506
4 *7518:A *7529:A 0
5 *7518:A *1096:1372 3.64738e-05
6 *7489:A *7518:A 0.000190016
*RES
1 wb_data_i[3] *7518:A 20.5539
*END
*D_NET *187 0.00177544
*CONN
*P wb_data_i[4] I
*I *7519:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_data_i[4] 0.000724905
2 *7519:A 0.000724905
3 *7519:A wb_data_o[4] 0.000160478
4 *7519:A *7520:A 0
5 *7519:A *446:10 0
6 *7519:A *1176:10 0
7 *7491:A *7519:A 0.000165153
*RES
1 wb_data_i[4] *7519:A 22.04
*END
*D_NET *188 0.00225951
*CONN
*P wb_data_i[5] I
*I *7520:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_data_i[5] 0.000902452
2 *7520:A 0.000902452
3 *7520:A wb_data_o[5] 0.000165153
4 *7520:A *7219:A 0
5 *7520:A *7411:D 0
6 *7520:A *7521:A 0
7 *7520:A *1176:10 0.000138046
8 *7492:A *7520:A 0.000151403
9 *7519:A *7520:A 0
*RES
1 wb_data_i[5] *7520:A 25.1762
*END
*D_NET *189 0.000781621
*CONN
*P wb_data_i[6] I
*I *7521:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 wb_data_i[6] 0.000248521
2 *7521:A 0.000248521
3 *7521:A wb_data_o[5] 0
4 *7521:A wb_data_o[6] 0.000154612
5 *7521:A *1120:8 0
6 *7493:A *7521:A 0.000129968
7 *7520:A *7521:A 0
*RES
1 wb_data_i[6] *7521:A 18.9694
*END
*D_NET *190 0.000461794
*CONN
*P wb_data_i[7] I
*I *7522:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 wb_data_i[7] 0.000115591
2 *7522:A 0.000115591
3 *7522:A wb_data_o[7] 0.000129968
4 *7494:A *7522:A 0.000100645
*RES
1 wb_data_i[7] *7522:A 18.1717
*END
*D_NET *191 0.000766226
*CONN
*P wb_data_i[8] I
*I *7524:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 wb_data_i[8] 0.00023296
2 *7524:A 0.00023296
3 *7524:A wb_data_o[8] 0.000134643
4 *7524:A *1096:1430 0
5 *7524:A *1123:8 1.42599e-05
6 *7495:A *7524:A 0.000151403
7 *7496:A *7524:A 0
*RES
1 wb_data_i[8] *7524:A 20.4009
*END
*D_NET *192 0.00233097
*CONN
*P wb_data_i[9] I
*I *7525:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_data_i[9] 0.000594522
2 *7525:A 0.000594522
3 *7525:A wb_data_o[9] 0.000276577
4 *7525:A *7610:A 0.000433804
5 *7525:A *452:18 1.71535e-05
6 *7525:A *1131:24 0
7 *7496:A *7525:A 0.000414388
*RES
1 wb_data_i[9] *7525:A 21.0237
*END
*D_NET *193 0.00202425
*CONN
*P wb_data_o[0] O
*I *7579:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[0] 0.000519368
2 *7579:X 0.000519368
3 wb_data_o[0] *7410:D 0.000241341
4 wb_data_o[0] *7527:A 0.000475412
5 wb_data_o[0] *7542:A 0
6 wb_data_o[0] *225:8 2.32764e-05
7 wb_data_o[0] *233:21 0
8 wb_ack_o wb_data_o[0] 5.74949e-05
9 *7499:A wb_data_o[0] 0.000187986
*RES
1 *7579:X wb_data_o[0] 23.548
*END
*D_NET *194 0.000760201
*CONN
*P wb_data_o[10] O
*I *7580:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[10] 0.000189972
2 *7580:X 0.000189972
3 wb_data_o[10] *1096:1435 6.1096e-05
4 wb_data_o[10] *1108:22 6.1096e-05
5 *7474:A wb_data_o[10] 0.000104217
6 *7500:A wb_data_o[10] 0.000153847
*RES
1 *7580:X wb_data_o[10] 19.1551
*END
*D_NET *195 0.000860443
*CONN
*P wb_data_o[11] O
*I *7581:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[11] 0.000158702
2 *7581:X 0.000158702
3 wb_data_o[11] *1096:1453 0.000112013
4 wb_data_o[11] *1108:22 0.000112013
5 *7475:A wb_data_o[11] 0.000159506
6 *7502:A wb_data_o[11] 0.000159506
*RES
1 *7581:X wb_data_o[11] 19.9856
*END
*D_NET *196 0.000764034
*CONN
*P wb_data_o[12] O
*I *7582:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[12] 0.00016813
2 *7582:X 0.00016813
3 wb_data_o[12] *1096:1465 6.11074e-05
4 wb_data_o[12] *1108:22 6.11074e-05
5 *7476:A wb_data_o[12] 0.000154819
6 *7503:A wb_data_o[12] 0.000150739
*RES
1 *7582:X wb_data_o[12] 19.1551
*END
*D_NET *197 0.000626947
*CONN
*P wb_data_o[13] O
*I *7583:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[13] 0.000148625
2 *7583:X 0.000148625
3 wb_data_o[13] *1096:1465 1.91391e-05
4 wb_data_o[13] *1108:22 4.20184e-06
5 *7477:A wb_data_o[13] 0.00014685
6 *7504:A wb_data_o[13] 0.000159506
*RES
1 *7583:X wb_data_o[13] 18.3246
*END
*D_NET *198 0.000614264
*CONN
*P wb_data_o[14] O
*I *7584:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[14] 0.000168456
2 *7584:X 0.000168456
3 wb_data_o[14] *1105:15 1.58826e-05
4 *7477:A wb_data_o[14] 0
5 *7478:A wb_data_o[14] 0.00016408
6 *7505:A wb_data_o[14] 9.73902e-05
*RES
1 *7584:X wb_data_o[14] 18.7399
*END
*D_NET *199 0.000783785
*CONN
*P wb_data_o[15] O
*I *7585:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[15] 0.000189191
2 *7585:X 0.000189191
3 wb_data_o[15] *1036:19 6.11074e-05
4 wb_data_o[15] *1038:35 6.11074e-05
5 *7478:A wb_data_o[15] 0
6 *7480:A wb_data_o[15] 0.00014916
7 *7506:A wb_data_o[15] 0.000134028
*RES
1 *7585:X wb_data_o[15] 19.1551
*END
*D_NET *200 0.000645253
*CONN
*P wb_data_o[16] O
*I *7586:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[16] 0.000153139
2 *7586:X 0.000153139
3 wb_data_o[16] *1036:19 1.91391e-05
4 wb_data_o[16] *1038:35 1.91391e-05
5 *7481:A wb_data_o[16] 0.00014685
6 *7507:A wb_data_o[16] 0.000153847
*RES
1 *7586:X wb_data_o[16] 18.3246
*END
*D_NET *201 0.000991806
*CONN
*P wb_data_o[17] O
*I *7587:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[17] 0.000180566
2 *7587:X 0.000180566
3 wb_data_o[17] *1036:19 0.00015866
4 wb_data_o[17] *1038:35 0.00015866
5 *7482:A wb_data_o[17] 0.000153847
6 *7508:A wb_data_o[17] 0.000159506
*RES
1 *7587:X wb_data_o[17] 20.8161
*END
*D_NET *202 0.000817858
*CONN
*P wb_data_o[18] O
*I *7588:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[18] 0.000179085
2 *7588:X 0.000179085
3 wb_data_o[18] *1036:19 8.71534e-05
4 wb_data_o[18] *1038:35 8.71534e-05
5 *7483:A wb_data_o[18] 0.000134643
6 *7509:A wb_data_o[18] 0.000150739
7 *7510:A wb_data_o[18] 0
*RES
1 *7588:X wb_data_o[18] 19.5704
*END
*D_NET *203 0.00105068
*CONN
*P wb_data_o[19] O
*I *7589:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[19] 0.000266521
2 *7589:X 0.000266521
3 wb_data_o[19] *1038:35 0.000198615
4 wb_data_o[19] *1096:1521 1.83279e-05
5 wb_data_o[19] *1115:6 0
6 *7484:A wb_data_o[19] 0.00014685
7 *7510:A wb_data_o[19] 0.000153847
*RES
1 *7589:X wb_data_o[19] 21.6467
*END
*D_NET *204 0.000603872
*CONN
*P wb_data_o[1] O
*I *7590:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[1] 0.000155539
2 *7590:X 0.000155539
3 wb_data_o[1] *7528:A 0.000127641
4 *7488:A wb_data_o[1] 0
5 *7511:A wb_data_o[1] 0.000165153
*RES
1 *7590:X wb_data_o[1] 18.3246
*END
*D_NET *205 0.000922257
*CONN
*P wb_data_o[20] O
*I *7591:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[20] 0.000220006
2 *7591:X 0.000220006
3 wb_data_o[20] *1038:40 0.00015866
4 *7485:A wb_data_o[20] 0.000165153
5 *7513:A wb_data_o[20] 0.000158433
*RES
1 *7591:X wb_data_o[20] 20.8161
*END
*D_NET *206 0.000787183
*CONN
*P wb_data_o[21] O
*I *7592:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[21] 0.000212139
2 *7592:X 0.000212139
3 wb_data_o[21] *1038:40 0.000113077
4 *7485:A wb_data_o[21] 0
5 *7486:A wb_data_o[21] 0.000115184
6 *7514:A wb_data_o[21] 0.000134643
*RES
1 *7592:X wb_data_o[21] 19.9856
*END
*D_NET *207 0.000635792
*CONN
*P wb_data_o[22] O
*I *7593:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[22] 0.000166037
2 *7593:X 0.000166037
3 wb_data_o[22] *1038:40 1.91391e-05
4 *7486:A wb_data_o[22] 0
5 *7487:A wb_data_o[22] 0.000154612
6 *7515:A wb_data_o[22] 0.000129968
*RES
1 *7593:X wb_data_o[22] 18.3246
*END
*D_NET *208 0.000738988
*CONN
*P wb_data_o[23] O
*I *7594:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[23] 0.000230921
2 *7594:X 0.000230921
3 wb_data_o[23] wb_data_o[24] 0
4 wb_data_o[23] *1038:40 0.000113066
5 *7516:A wb_data_o[23] 0.00016408
*RES
1 *7594:X wb_data_o[23] 19.9856
*END
*D_NET *209 0.000625156
*CONN
*P wb_data_o[24] O
*I *7595:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[24] 0.000282024
2 *7595:X 0.000282024
3 wb_data_o[24] wb_data_o[25] 0
4 wb_data_o[24] *1038:40 6.11074e-05
5 wb_data_o[23] wb_data_o[24] 0
*RES
1 *7595:X wb_data_o[24] 19.1551
*END
*D_NET *210 0.000522465
*CONN
*P wb_data_o[25] O
*I *7596:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[25] 0.000251131
2 *7596:X 0.000251131
3 wb_data_o[25] wb_data_o[26] 0
4 wb_data_o[25] *1038:40 2.02035e-05
5 wb_data_o[24] wb_data_o[25] 0
*RES
1 *7596:X wb_data_o[25] 18.3246
*END
*D_NET *211 0.000546721
*CONN
*P wb_data_o[26] O
*I *7597:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[26] 0.000263791
2 *7597:X 0.000263791
3 wb_data_o[26] wb_data_o[27] 0
4 wb_data_o[26] *1038:40 1.91391e-05
5 wb_data_o[25] wb_data_o[26] 0
*RES
1 *7597:X wb_data_o[26] 18.3246
*END
*D_NET *212 0.000700773
*CONN
*P wb_data_o[27] O
*I *7598:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[27] 0.00032903
2 *7598:X 0.00032903
3 wb_data_o[27] wb_data_o[28] 0
4 wb_data_o[27] *7599:A 4.27119e-05
5 wb_data_o[26] wb_data_o[27] 0
*RES
1 *7598:X wb_data_o[27] 19.9856
*END
*D_NET *213 0.000542728
*CONN
*P wb_data_o[28] O
*I *7599:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[28] 0.000267796
2 *7599:X 0.000267796
3 wb_data_o[28] wb_data_o[29] 0
4 wb_data_o[28] *7599:A 7.13655e-06
5 wb_data_o[27] wb_data_o[28] 0
*RES
1 *7599:X wb_data_o[28] 18.3246
*END
*D_NET *214 0.00168525
*CONN
*P wb_data_o[29] O
*I *7600:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[29] 0.000806655
2 *7600:X 0.000806655
3 wb_data_o[29] wb_data_o[30] 0
4 wb_data_o[29] wb_data_o[31] 6.9533e-05
5 wb_data_o[29] *7600:A 2.41274e-06
6 wb_data_o[28] wb_data_o[29] 0
*RES
1 *7600:X wb_data_o[29] 24.7523
*END
*D_NET *215 0.000680218
*CONN
*P wb_data_o[2] O
*I *7601:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[2] 0.000193712
2 *7601:X 0.000193712
3 wb_data_o[2] *7529:A 0.000127641
4 wb_data_o[2] *1096:1352 0
5 *7489:A wb_data_o[2] 0
6 *7517:A wb_data_o[2] 0.000165153
*RES
1 *7601:X wb_data_o[2] 19.1551
*END
*D_NET *216 0.00198354
*CONN
*P wb_data_o[30] O
*I *7602:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[30] 0.000752916
2 *7602:X 0.000752916
3 wb_data_o[30] wb_data_o[31] 0.00047771
4 flash_csb wb_data_o[30] 0
5 wb_data_o[29] wb_data_o[30] 0
*RES
1 *7602:X wb_data_o[30] 27.023
*END
*D_NET *217 0.00428667
*CONN
*P wb_data_o[31] O
*I *7603:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[31] 0.00161073
2 *7603:X 0.00161073
3 wb_data_o[31] *7602:A 0.000320287
4 wb_data_o[31] *1045:18 0.000197673
5 flash_csb wb_data_o[31] 0
6 wb_data_o[29] wb_data_o[31] 6.9533e-05
7 wb_data_o[30] wb_data_o[31] 0.00047771
*RES
1 *7603:X wb_data_o[31] 47.0614
*END
*D_NET *218 0.000570965
*CONN
*P wb_data_o[3] O
*I *7604:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[3] 0.00020573
2 *7604:X 0.00020573
3 *7491:A wb_data_o[3] 0
4 *7518:A wb_data_o[3] 0.000159506
*RES
1 *7604:X wb_data_o[3] 18.3246
*END
*D_NET *219 0.000684459
*CONN
*P wb_data_o[4] O
*I *7605:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[4] 0.000179414
2 *7605:X 0.000179414
3 wb_data_o[4] *1096:1382 0
4 *7492:A wb_data_o[4] 0.000165153
5 *7519:A wb_data_o[4] 0.000160478
*RES
1 *7605:X wb_data_o[4] 19.1551
*END
*D_NET *220 0.000748662
*CONN
*P wb_data_o[5] O
*I *7606:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[5] 0.000202955
2 *7606:X 0.000202955
3 wb_data_o[5] *1120:8 0
4 *7493:A wb_data_o[5] 0.0001776
5 *7520:A wb_data_o[5] 0.000165153
6 *7521:A wb_data_o[5] 0
*RES
1 *7606:X wb_data_o[5] 19.9856
*END
*D_NET *221 0.000688302
*CONN
*P wb_data_o[6] O
*I *7607:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[6] 0.000186606
2 *7607:X 0.000186606
3 *7494:A wb_data_o[6] 0.000160478
4 *7521:A wb_data_o[6] 0.000154612
*RES
1 *7607:X wb_data_o[6] 19.1551
*END
*D_NET *222 0.000803352
*CONN
*P wb_data_o[7] O
*I *7608:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[7] 0.000232297
2 *7608:X 0.000232297
3 wb_data_o[7] *1123:8 4.3637e-05
4 *7494:A wb_data_o[7] 0
5 *7495:A wb_data_o[7] 0.000165153
6 *7522:A wb_data_o[7] 0.000129968
*RES
1 *7608:X wb_data_o[7] 20.8161
*END
*D_NET *223 0.00121452
*CONN
*P wb_data_o[8] O
*I *7609:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[8] 0.000378955
2 *7609:X 0.000378955
3 wb_data_o[8] *1051:20 4.31703e-05
4 wb_data_o[8] *1096:1430 0
5 wb_data_o[8] *1096:1435 0.000144156
6 *7496:A wb_data_o[8] 0.000134643
7 *7524:A wb_data_o[8] 0.000134643
*RES
1 *7609:X wb_data_o[8] 24.4327
*END
*D_NET *224 0.00158164
*CONN
*P wb_data_o[9] O
*I *7610:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[9] 0.000527479
2 *7610:X 0.000527479
3 wb_data_o[9] *7610:A 9.17959e-05
4 wb_data_o[9] *452:18 2.36701e-05
5 *7473:A wb_data_o[9] 0.000134643
6 *7500:A wb_data_o[9] 0
7 *7525:A wb_data_o[9] 0.000276577
*RES
1 *7610:X wb_data_o[9] 20.226
*END
*D_NET *225 0.00563896
*CONN
*P wb_error_o O
*I *7443:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 wb_error_o 0.00045899
2 *7443:LO 0.00105458
3 *225:8 0.00151357
4 wb_error_o wb_stall_o 0
5 wb_error_o *7526:A 0.000188332
6 *225:8 *7214:S 0.000139764
7 *225:8 *7216:A0 0.000188845
8 *225:8 *7217:A 0.000642796
9 *225:8 *7527:A 0.000126824
10 *225:8 *1096:10 6.42637e-05
11 *225:8 *1096:24 0
12 *225:8 *1098:11 4.70005e-05
13 *225:8 *1135:49 0.00032691
14 *225:8 *1173:17 0
15 sram_addr1[0] *225:8 0.000311511
16 sram_din0[0] *225:8 0
17 wb_ack_o *225:8 0.00017298
18 wb_data_o[0] *225:8 2.32764e-05
19 *160:13 wb_error_o 0.000379316
*RES
1 *7443:LO *225:8 49.4704
2 *225:8 wb_error_o 5.49721
*END
*D_NET *226 0.00148423
*CONN
*P wb_rst_i I
*I *7526:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 wb_rst_i 0.000427513
2 *7526:A 0.000427513
3 *7526:A wb_stall_o 0.000188332
4 *7526:A *7410:CLK 3.40268e-05
5 sram_csb1 *7526:A 0.000114247
6 sram_web0 *7526:A 0.000104263
7 wb_error_o *7526:A 0.000188332
*RES
1 wb_rst_i *7526:A 25.6134
*END
*D_NET *227 0.00307086
*CONN
*P wb_sel_i[0] I
*I *7527:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_sel_i[0] 0.000903081
2 *7527:A 0.000903081
3 *7527:A *7210:S 0.000220183
4 *7527:A *7214:A1 0
5 *7527:A *7410:D 9.22013e-06
6 *7527:A *7542:A 0
7 *7527:A *446:16 0
8 *7527:A *1030:9 0.000370801
9 *7527:A *1096:1339 0
10 *7527:A *1126:5 6.22539e-05
11 wb_data_o[0] *7527:A 0.000475412
12 *7511:A *7527:A 0
13 *225:8 *7527:A 0.000126824
*RES
1 wb_sel_i[0] *7527:A 33.0738
*END
*D_NET *228 0.000637532
*CONN
*P wb_sel_i[1] I
*I *7528:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 wb_sel_i[1] 0.000187624
2 *7528:A 0.000187624
3 wb_data_o[1] *7528:A 0.000127641
4 *7488:A *7528:A 0.000134643
*RES
1 wb_sel_i[1] *7528:A 19.8327
*END
*D_NET *229 0.000928469
*CONN
*P wb_sel_i[2] I
*I *7529:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 wb_sel_i[2] 0.000333092
2 *7529:A 0.000333092
3 *7529:A *1096:1363 0
4 *7529:A *1096:1372 0
5 wb_data_o[2] *7529:A 0.000127641
6 *7489:A *7529:A 0.000134643
7 *7518:A *7529:A 0
*RES
1 wb_sel_i[2] *7529:A 23.1547
*END
*D_NET *231 0.00369897
*CONN
*P wb_stall_o O
*I *7611:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_stall_o 0.00121619
2 *7611:X 0.00121619
3 wb_stall_o *7544:A 0
4 wb_stall_o *7611:A 6.50727e-05
5 wb_stall_o *232:16 0.000425078
6 wb_stall_o *233:21 0.000130376
7 wb_stall_o *1096:24 0.00038197
8 wb_stall_o *1096:1332 1.91391e-05
9 wb_stall_o *1173:17 0
10 sram_din0[0] wb_stall_o 5.6618e-05
11 wb_error_o wb_stall_o 0
12 *7457:A wb_stall_o 0
13 *7526:A wb_stall_o 0.000188332
14 *160:13 wb_stall_o 0
*RES
1 *7611:X wb_stall_o 33.1661
*END
*D_NET *232 0.00542907
*CONN
*P wb_stb_i I
*I *7530:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_stb_i 0.00164125
2 *7530:A 0
3 *232:16 0.00164125
4 *232:16 *7543:A 1.69071e-05
5 *232:16 *7544:A 5.29627e-05
6 *232:16 *233:21 0.000815022
7 *232:16 *968:11 4.56831e-05
8 *232:16 *1097:11 7.30177e-05
9 sram_csb0 *232:16 0.000570211
10 sram_din0[0] *232:16 1.91246e-05
11 sram_wmask0[0] *232:16 0.000102046
12 wb_stall_o *232:16 0.000425078
13 *7457:A *232:16 2.652e-05
*RES
1 wb_stb_i *232:16 43.164
2 *232:16 *7530:A 9.24915
*END
*D_NET *233 0.00561055
*CONN
*P wb_we_i I
*I *7531:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_we_i 0.00160965
2 *7531:A 0
3 *233:21 0.00160965
4 *233:21 *7204:A 2.09583e-05
5 *233:21 *7214:A0 6.07931e-05
6 *233:21 *7542:A 0.000195652
7 *233:21 *7543:A 7.09666e-06
8 *233:21 *7544:A 0
9 *233:21 *7611:A 0.00047481
10 *233:21 *1018:13 0.000317721
11 *233:21 *1110:5 0.000317721
12 *233:21 *1172:9 5.10931e-05
13 wb_data_o[0] *233:21 0
14 wb_stall_o *233:21 0.000130376
15 *7499:A *233:21 0
16 *232:16 *233:21 0.000815022
*RES
1 wb_we_i *233:21 42.7449
2 *233:21 *7531:A 9.24915
*END
*D_NET *234 0.000814385
*CONN
*I *7269:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6636:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *7269:D 0.000200138
2 *6636:Y 0.000200138
3 *7269:D *6636:A2 6.50586e-05
4 *7269:D *6636:B1 0.000130777
5 *7269:D *7269:CLK 2.16355e-05
6 *7269:D *618:20 0
7 *7269:D *1096:500 0.000196638
*RES
1 *6636:Y *7269:D 33.915
*END
*D_NET *235 0.000807017
*CONN
*I *7270:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6642:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *7270:D 0.000159001
2 *6642:X 0.000159001
3 *7270:D *6642:B1 0.000153225
4 *7270:D *502:8 0
5 *7270:D *1096:1002 0.000335791
*RES
1 *6642:X *7270:D 33.7115
*END
*D_NET *236 0.00113672
*CONN
*I *7271:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6649:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7271:D 0.000335242
2 *6649:X 0.000335242
3 *7271:D *6649:A 1.51843e-05
4 *7271:D *7271:CLK 1.64789e-05
5 *7271:D *1096:512 0.000381471
6 *7271:D *1096:527 5.31074e-05
*RES
1 *6649:X *7271:D 25.25
*END
*D_NET *237 0.000358977
*CONN
*I *7272:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6653:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *7272:D 0.000128914
2 *6653:Y 0.000128914
3 *7272:D *6653:B 0.000101148
4 *7272:D *955:13 0
*RES
1 *6653:Y *7272:D 30.6625
*END
*D_NET *238 0.000378513
*CONN
*I *7273:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6657:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *7273:D 0.000129473
2 *6657:Y 0.000129473
3 *7273:D *955:8 0.000119567
*RES
1 *6657:Y *7273:D 30.6625
*END
*D_NET *239 0.000342729
*CONN
*I *7274:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6660:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *7274:D 0.000157904
2 *6660:Y 0.000157904
3 *7274:D *6660:A 2.692e-05
4 *7274:D *7259:A 0
*RES
1 *6660:Y *7274:D 31.2171
*END
*D_NET *240 0.00102083
*CONN
*I *7275:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6662:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *7275:D 0.000206086
2 *6662:Y 0.000206086
3 *7275:D *6661:B1 0
4 *7275:D *6662:A1 6.80864e-05
5 *7275:D *6662:B1 7.50722e-05
6 *7275:D *957:7 0.00035153
7 *7275:D *957:9 0.000113968
*RES
1 *6662:Y *7275:D 35.2005
*END
*D_NET *241 0.00063615
*CONN
*I *7276:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6668:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7276:D 0.000218212
2 *6668:X 0.000218212
3 *7276:D *6668:A 0.000122378
4 *7276:D *958:11 7.73486e-05
*RES
1 *6668:X *7276:D 24.605
*END
*D_NET *242 0.000267738
*CONN
*I *7277:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6671:Y O *D sky130_fd_sc_hd__a211oi_1
*CAP
1 *7277:D 9.80892e-05
2 *6671:Y 9.80892e-05
3 *7277:D *6671:A1 7.15593e-05
4 *7277:D *6674:A 0
*RES
1 *6671:Y *7277:D 30.3838
*END
*D_NET *243 0.00091792
*CONN
*I *7278:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6675:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7278:D 0.000103257
2 *6675:X 0.000103257
3 *7278:D *6675:A 5.56461e-05
4 *7278:D *7278:CLK 0.000386497
5 *7278:D *960:9 0.000269264
*RES
1 *6675:X *7278:D 25.25
*END
*D_NET *244 0.000379285
*CONN
*I *7279:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6677:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *7279:D 0.000139076
2 *6677:Y 0.000139076
3 *7279:D *6677:B 0.000101133
*RES
1 *6677:Y *7279:D 30.6625
*END
*D_NET *245 0.000608156
*CONN
*I *7280:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6687:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7280:D 0.000113132
2 *6687:X 0.000113132
3 *7280:D *7054:A1 0.000218302
4 *7280:D *562:28 9.60216e-05
5 *7280:D *1062:72 3.77659e-05
6 *7280:D *1131:17 2.98007e-05
*RES
1 *6687:X *7280:D 31.7717
*END
*D_NET *246 0.000684486
*CONN
*I *7281:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6689:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7281:D 0.00014284
2 *6689:X 0.00014284
3 *7281:D *7058:B2 3.6246e-05
4 *7281:D *634:15 0.000263323
5 *7281:D *832:36 3.20069e-06
6 *7281:D *1062:72 9.60366e-05
7 *7281:D *1110:12 0
*RES
1 *6689:X *7281:D 32.3264
*END
*D_NET *247 0.00114519
*CONN
*I *7282:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6695:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7282:D 0.000258707
2 *6695:X 0.000258707
3 *7282:D *931:8 0
4 *7282:D *1063:62 0
5 *7282:D *1110:11 0.000526628
6 *7282:D *1176:31 0.000101148
*RES
1 *6695:X *7282:D 35.0994
*END
*D_NET *248 0.000500427
*CONN
*I *7283:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6701:X O *D sky130_fd_sc_hd__a2bb2o_1
*CAP
1 *7283:D 0.000183745
2 *6701:X 0.000183745
3 *7283:D *6701:A1_N 5.07314e-05
4 *7283:D *524:17 1.87611e-05
5 *7283:D *1110:37 6.34446e-05
6 *7283:D *1123:22 0
*RES
1 *6701:X *7283:D 31.6618
*END
*D_NET *249 0.000570709
*CONN
*I *7284:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6703:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7284:D 0.000178754
2 *6703:X 0.000178754
3 *7284:D *7079:A1 0.000148129
4 *7284:D *578:24 6.50727e-05
5 *7284:D *1140:55 0
*RES
1 *6703:X *7284:D 32.0416
*END
*D_NET *250 0.000599033
*CONN
*I *7285:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6705:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7285:D 0.000229218
2 *6705:X 0.000229218
3 *7285:D *491:10 3.68867e-05
4 *7285:D *982:15 3.18826e-06
5 *7285:D *1060:12 0
6 *7285:D *1096:140 7.82095e-05
7 *7285:D *1096:148 2.23128e-05
*RES
1 *6705:X *7285:D 33.7059
*END
*D_NET *251 0.000933371
*CONN
*I *7286:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6707:X O *D sky130_fd_sc_hd__a2bb2o_1
*CAP
1 *7286:D 0.000131086
2 *6707:X 0.000131086
3 *7286:D *7089:A1 7.82633e-05
4 *7286:D *524:17 0.000319027
5 *7286:D *533:8 0.000140365
6 *7286:D *1125:84 0.000133544
*RES
1 *6707:X *7286:D 33.7115
*END
*D_NET *252 0.000729958
*CONN
*I *7287:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6710:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7287:D 0.000179679
2 *6710:X 0.000179679
3 *7287:D *6710:A2 2.20702e-05
4 *7287:D *6710:B1 6.50727e-05
5 *7287:D *7098:A1 7.09666e-06
6 *7287:D *7287:CLK 3.90252e-06
7 *7287:D *567:16 1.01315e-05
8 *7287:D *567:19 2.41274e-06
9 *7287:D *634:15 0.000160617
10 *7287:D *814:21 3.92179e-06
11 *7287:D *848:35 2.04854e-05
12 *7287:D *1096:1199 7.48886e-05
13 *7287:D *1125:84 0
*RES
1 *6710:X *7287:D 32.534
*END
*D_NET *253 0.00094718
*CONN
*I *7288:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6712:X O *D sky130_fd_sc_hd__a2bb2o_1
*CAP
1 *7288:D 0.000135683
2 *6712:X 0.000135683
3 *7288:D *6709:A 6.7671e-06
4 *7288:D *6712:A1_N 7.50872e-05
5 *7288:D *7288:CLK 6.08467e-05
6 *7288:D *572:33 0.000350884
7 *7288:D *572:36 3.31733e-05
8 *7288:D *574:10 2.22923e-05
9 *7288:D *895:22 2.85139e-05
10 *7288:D *1096:718 9.82494e-05
*RES
1 *6712:X *7288:D 33.1944
*END
*D_NET *254 0.000738293
*CONN
*I *7289:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6714:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7289:D 7.91349e-05
2 *6714:X 7.91349e-05
3 *7289:D *6714:B1 3.77659e-05
4 *7289:D *523:69 0.000223118
5 *7289:D *527:29 0.000223118
6 *7289:D *822:26 9.60216e-05
*RES
1 *6714:X *7289:D 31.7717
*END
*D_NET *255 0.000593628
*CONN
*I *7290:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6717:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7290:D 0.000159647
2 *6717:X 0.000159647
3 *7290:D *892:20 0.000229576
4 *7290:D *1096:713 0
5 *7290:D *1096:717 0
6 *7290:D *1099:47 4.47578e-05
*RES
1 *6717:X *7290:D 32.6023
*END
*D_NET *256 0.000879909
*CONN
*I *7291:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6719:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7291:D 0.00016337
2 *6719:X 0.00016337
3 *7291:D *7121:A1 2.16355e-05
4 *7291:D *576:12 4.24082e-05
5 *7291:D *586:10 0.000148261
6 *7291:D *1096:751 0.000103827
7 *7291:D *1113:12 0.000237038
*RES
1 *6719:X *7291:D 33.7026
*END
*D_NET *257 0.000587813
*CONN
*I *7292:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6721:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7292:D 0.000120316
2 *6721:X 0.000120316
3 *7292:D *587:15 6.50727e-05
4 *7292:D *880:5 6.92705e-05
5 *7292:D *921:27 5.40265e-05
6 *7292:D *1105:41 1.60502e-06
7 *7292:D *1113:12 0.000157205
*RES
1 *6721:X *7292:D 31.5225
*END
*D_NET *258 0.000690421
*CONN
*I *7293:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6723:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7293:D 9.78983e-05
2 *6723:X 9.78983e-05
3 *7293:D *884:15 3.3186e-05
4 *7293:D *1062:74 0.000148129
5 *7293:D *1063:70 0.000148129
6 *7293:D *1103:11 0.000165181
*RES
1 *6723:X *7293:D 32.0476
*END
*D_NET *259 0.000415015
*CONN
*I *7294:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6725:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7294:D 0.00014395
2 *6725:X 0.00014395
3 *7294:D *7145:A2 2.65667e-05
4 *7294:D *594:26 6.01598e-05
5 *7294:D *1113:12 4.03887e-05
*RES
1 *6725:X *7294:D 31.1072
*END
*D_NET *260 0.000214718
*CONN
*I *7295:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6727:X O *D sky130_fd_sc_hd__a2bb2o_1
*CAP
1 *7295:D 0.000106557
2 *6727:X 0.000106557
3 *7295:D *547:18 0
4 *7295:D *1096:713 0
5 *7295:D *1105:41 1.60502e-06
*RES
1 *6727:X *7295:D 29.5533
*END
*D_NET *261 0.000225133
*CONN
*I *7296:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6729:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7296:D 8.10309e-05
2 *6729:X 8.10309e-05
3 *7296:D *6729:A1 0
4 *7296:D *7152:A1 1.87611e-05
5 *7296:D *597:31 1.87611e-05
6 *7296:D *1096:773 2.55493e-05
*RES
1 *6729:X *7296:D 29.5533
*END
*D_NET *262 0.000249713
*CONN
*I *7297:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6732:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7297:D 0.000109775
2 *6732:X 0.000109775
3 *7297:D *1107:28 3.01634e-05
*RES
1 *6732:X *7297:D 30.1079
*END
*D_NET *263 0.000801201
*CONN
*I *7298:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6734:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7298:D 0.00027697
2 *6734:X 0.00027697
3 *7298:D *6734:B1 1.25326e-05
4 *7298:D *7299:D 0
5 *7298:D *924:8 7.02539e-05
6 *7298:D *1113:8 0.000145934
7 *7298:D *1113:12 1.85409e-05
*RES
1 *6734:X *7298:D 35.5062
*END
*D_NET *264 0.000662074
*CONN
*I *7299:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6736:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7299:D 0.000331037
2 *6736:X 0.000331037
3 *7299:D *925:8 0
4 *7298:D *7299:D 0
*RES
1 *6736:X *7299:D 35.3753
*END
*D_NET *265 0.000615948
*CONN
*I *7300:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6738:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7300:D 0.000138982
2 *6738:X 0.000138982
3 *7300:D *522:9 8.5545e-05
4 *7300:D *562:28 6.31809e-05
5 *7300:D *827:13 4.11136e-05
6 *7300:D *1062:72 0.000148144
*RES
1 *6738:X *7300:D 32.6023
*END
*D_NET *266 0.000373187
*CONN
*I *7301:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6740:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7301:D 0.000137896
2 *6740:X 0.000137896
3 *7301:D *413:14 1.57029e-05
4 *7301:D *594:26 3.1218e-05
5 *7301:D *1113:12 5.04734e-05
*RES
1 *6740:X *7301:D 31.0778
*END
*D_NET *267 0.000530768
*CONN
*I *7302:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6742:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7302:D 0.000135065
2 *6742:X 0.000135065
3 *7302:D *7297:CLK 0
4 *7302:D *421:13 4.40253e-05
5 *7302:D *594:31 0.000176469
6 *7302:D *1096:822 0
7 *7302:D *1107:28 4.01437e-05
*RES
1 *6742:X *7302:D 32.0476
*END
*D_NET *268 0.000746293
*CONN
*I *7303:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6744:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7303:D 0.000146295
2 *6744:X 0.000146295
3 *7303:D *827:14 3.77659e-05
4 *7303:D *1062:74 5.66868e-06
5 *7303:D *1099:30 0.000269781
6 *7303:D *1105:40 0.000140488
*RES
1 *6744:X *7303:D 32.3264
*END
*D_NET *269 0.00106578
*CONN
*I *7304:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6765:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *7304:D 0.000177078
2 *6765:X 0.000177078
3 *7304:D *6765:A1 0
4 *7304:D *6765:A2 0
5 *7304:D *6795:A1 0.000119246
6 *7304:D *619:8 0
7 *7304:D *636:68 0.000367984
8 *7304:D *1096:404 0.000224395
*RES
1 *6765:X *7304:D 34.4402
*END
*D_NET *270 0.00134778
*CONN
*I *7305:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6768:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *7305:D 0.00018168
2 *6768:X 0.00018168
3 *7305:D *6768:A2 2.20702e-05
4 *7305:D *6768:B1 0.000118166
5 *7305:D *7310:CLK 2.38624e-05
6 *7305:D *1007:33 0.000742567
7 *7305:D *1096:412 5.84636e-05
8 *7305:D *1096:447 1.92926e-05
*RES
1 *6768:X *7305:D 27.3539
*END
*D_NET *271 0.00270586
*CONN
*I *7306:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6773:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *7306:D 0.00055327
2 *6773:X 0.00055327
3 *7306:D *6789:A1 7.86825e-06
4 *7306:D *6789:B1 0.000133623
5 *7306:D *6789:B2 5.26029e-05
6 *7306:D *7308:CLK 0.00015759
7 *7306:D *998:39 0.000118166
8 *7306:D *1002:8 0.000410239
9 *7306:D *1008:41 0.000401508
10 *7306:D *1096:420 0.000317721
*RES
1 *6773:X *7306:D 47.0539
*END
*D_NET *272 0.000936045
*CONN
*I *7307:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6788:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *7307:D 0.000445858
2 *6788:Y 0.000445858
3 *7307:D *6788:A 2.99287e-05
4 *7307:D *6796:A 1.03414e-05
5 *7307:D *1001:8 0
6 *7307:D *1003:10 4.05943e-06
*RES
1 *6788:Y *7307:D 34.7136
*END
*D_NET *273 0.00483213
*CONN
*I *7308:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6790:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *7308:D 0.000222227
2 *6790:X 0.000659962
3 *273:17 0.000882189
4 *7308:D *7308:CLK 0.000326398
5 *7308:D *1063:9 4.2372e-05
6 *273:17 *6771:B2 0.000260388
7 *273:17 *6773:A1 0.000331734
8 *273:17 *6773:B1 0.000769869
9 *273:17 *6789:B2 0.000207542
10 *273:17 *7562:A 0.000141903
11 *273:17 *998:39 1.11047e-05
12 *273:17 *1004:32 0.000469513
13 *273:17 *1063:9 4.28035e-05
14 sram_din0[24] *273:17 0.000464127
*RES
1 *6790:X *273:17 43.7394
2 *273:17 *7308:D 15.5186
*END
*D_NET *274 0.000457413
*CONN
*I *7309:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6793:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *7309:D 0.0001355
2 *6793:X 0.0001355
3 *7309:D *6793:A2 0.000151726
4 *7309:D *6793:B1 3.18826e-06
5 *7309:D *1022:8 3.14978e-05
*RES
1 *6793:X *7309:D 31.1072
*END
*D_NET *275 0.0013015
*CONN
*I *7310:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6796:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *7310:D 0.000126575
2 *6796:Y 0.000126575
3 *7310:D *6796:A 0.000165521
4 *7310:D *7310:CLK 0.000882829
*RES
1 *6796:Y *7310:D 26.2447
*END
*D_NET *276 0.00450051
*CONN
*I *7311:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6803:X O *D sky130_fd_sc_hd__a32o_1
*CAP
1 *7311:D 0
2 *6803:X 0.000766147
3 *276:19 0.000766147
4 *276:19 *6757:B2 0.000399569
5 *276:19 *6768:A2 0.000116865
6 *276:19 *6801:A0 6.50727e-05
7 *276:19 *6801:S 0.000102721
8 *276:19 *6803:A2 1.41976e-05
9 *276:19 *6803:A3 0.0011534
10 *276:19 *6803:B2 2.16355e-05
11 *276:19 *6807:B 0.000417464
12 *276:19 *6828:A2 5.13902e-05
13 *276:19 *599:26 3.36542e-05
14 *276:19 *636:51 0.000531392
15 *276:19 *647:52 6.08467e-05
*RES
1 *6803:X *276:19 48.0691
2 *276:19 *7311:D 9.24915
*END
*D_NET *277 0.000781928
*CONN
*I *7312:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6808:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7312:D 0.000172978
2 *6808:X 0.000172978
3 *7312:D *611:25 0.000178097
4 *7312:D *611:31 0.000104483
5 *7312:D *1096:385 0.000153393
*RES
1 *6808:X *7312:D 24.605
*END
*D_NET *278 0.000993427
*CONN
*I *7313:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6811:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *7313:D 0.000265031
2 *6811:X 0.000265031
3 *7313:D *6810:A2 0
4 *7313:D *6811:B1 0
5 *7313:D *587:60 0.000229576
6 *7313:D *655:65 0.000233788
7 *7313:D *990:18 0
*RES
1 *6811:X *7313:D 35.0938
*END
*D_NET *279 0.000627915
*CONN
*I *7314:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6814:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *7314:D 0.000271754
2 *6814:Y 0.000271754
3 *7314:D *6813:B1 0
4 *7314:D *599:38 7.02539e-05
5 *7314:D *1096:364 1.41531e-05
*RES
1 *6814:Y *7314:D 33.43
*END
*D_NET *280 0.00100649
*CONN
*I *7315:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6817:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *7315:D 0.000202147
2 *6817:X 0.000202147
3 *7315:D *6817:B1 0
4 *7315:D *6818:A2 8.99353e-05
5 *7315:D *6818:B2 0
6 *7315:D *7315:CLK 0
7 *7315:D *7556:A 0
8 *7315:D *993:5 0.000234754
9 *7315:D *993:11 0.000277502
*RES
1 *6817:X *7315:D 34.8582
*END
*D_NET *281 0.000863524
*CONN
*I *7316:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6819:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *7316:D 0.000204594
2 *6819:X 0.000204594
3 *7316:D *6773:A1 0.000169379
4 *7316:D *6819:A1 0
5 *7316:D *6819:A2 1.16655e-05
6 *7316:D *1096:368 0.00027329
*RES
1 *6819:X *7316:D 25.8046
*END
*D_NET *282 0.000759981
*CONN
*I *7317:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6826:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7317:D 0.000295077
2 *6826:X 0.000295077
3 *7317:D *6825:B 0
4 *7317:D *6826:A 3.25584e-05
5 *7317:D *7317:CLK 0.000127164
6 *7317:D *500:28 1.01044e-05
7 *7317:D *966:12 0
*RES
1 *6826:X *7317:D 33.7054
*END
*D_NET *283 0.00346872
*CONN
*I *7318:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6828:X O *D sky130_fd_sc_hd__a32o_1
*CAP
1 *7318:D 0.000856665
2 *6828:X 0.0003124
3 *283:16 0.00116907
4 *7318:D *648:30 0.000292532
5 *283:16 *6770:A 0.000179271
6 *283:16 *6828:A2 5.08013e-05
7 *283:16 *502:9 0.000360145
8 *283:16 *589:68 6.50586e-05
9 *283:16 *645:37 0.000175689
10 *283:16 *664:28 0
11 *283:16 *668:18 7.09666e-06
*RES
1 *6828:X *283:16 37.7638
2 *283:16 *7318:D 29.1968
*END
*D_NET *284 0.000910227
*CONN
*I *7319:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6832:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7319:D 0.000208505
2 *6832:X 0.000208505
3 *7319:D *618:20 4.61732e-05
4 *7319:D *1096:485 0.0002817
5 *7319:D *1096:491 6.50586e-05
6 *7319:D *1096:500 0
7 *7319:D *1096:992 0.000100285
*RES
1 *6832:X *7319:D 33.749
*END
*D_NET *285 0.00126533
*CONN
*I *7320:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6836:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7320:D 0.000406955
2 *6836:X 0.000406955
3 *7320:D *6834:B1 0.000178493
4 *7320:D *6834:B2 7.65758e-05
5 *7320:D *7320:CLK 9.12416e-06
6 *7320:D *525:50 1.65445e-05
7 *7320:D *604:8 3.07997e-05
8 *7320:D *608:10 0
9 *7320:D *965:38 0.000104389
10 *7320:D *1105:57 3.54999e-05
*RES
1 *6836:X *7320:D 37.0275
*END
*D_NET *286 0.000943501
*CONN
*I *7321:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6840:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7321:D 0.000163746
2 *6840:X 0.000163746
3 *7321:D *524:33 0.000384069
4 *7321:D *529:8 0
5 *7321:D *589:58 9.60216e-05
6 *7321:D *1016:8 0.000135919
*RES
1 *6840:X *7321:D 33.4356
*END
*D_NET *287 0.00233309
*CONN
*I *7322:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6842:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *7322:D 0.000350038
2 *6842:X 0.000350038
3 *7322:D *6841:A1 0.000207177
4 *7322:D *6841:A2 6.50727e-05
5 *7322:D *6841:B2 6.08467e-05
6 *7322:D *6842:B1 0.000115934
7 *7322:D *994:11 1.9644e-05
8 *7322:D *994:26 7.96517e-05
9 *7322:D *1063:35 0.000938613
10 *7322:D *1089:14 4.93057e-05
11 *7322:D *1089:16 6.01588e-05
12 *7322:D *1122:20 3.66147e-05
*RES
1 *6842:X *7322:D 43.0492
*END
*D_NET *288 0.00361516
*CONN
*I *7323:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6844:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *7323:D 0
2 *6844:X 0.000678269
3 *288:11 0.000678269
4 *288:11 *7322:CLK 2.43314e-05
5 *288:11 *7323:CLK 0.000276225
6 *288:11 *571:29 7.014e-05
7 *288:11 *609:19 0.00149629
8 *288:11 *1067:8 0.000209793
9 *288:11 *1096:280 0.000181849
*RES
1 *6844:X *288:11 41.4058
2 *288:11 *7323:D 9.24915
*END
*D_NET *289 0.000655059
*CONN
*I *7324:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6847:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *7324:D 0.000142624
2 *6847:Y 0.000142624
3 *7324:D *587:38 5.96936e-05
4 *7324:D *1096:280 0
5 *7324:D *1096:305 0.000118485
6 *7324:D *1117:51 0.000108025
7 *7324:D *1120:55 2.27595e-05
8 *7324:D *1123:39 6.08467e-05
*RES
1 *6847:Y *7324:D 32.0416
*END
*D_NET *290 0.00123969
*CONN
*I *7325:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6849:X O *D sky130_fd_sc_hd__a32o_1
*CAP
1 *7325:D 0.000246231
2 *6849:X 0.000246231
3 *7325:D *6849:A3 3.34802e-05
4 *7325:D *696:39 7.02539e-05
5 *7325:D *1015:24 0.00017419
6 *7325:D *1110:50 0.000469308
7 *7325:D *1111:14 0
*RES
1 *6849:X *7325:D 35.7905
*END
*D_NET *291 0.000460338
*CONN
*I *7326:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6853:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7326:D 8.91218e-05
2 *6853:X 8.91218e-05
3 *7326:D *589:68 9.80389e-05
4 *7326:D *595:52 9.20275e-05
5 *7326:D *1133:18 9.20275e-05
*RES
1 *6853:X *7326:D 30.4214
*END
*D_NET *292 0.00157148
*CONN
*I *7327:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6856:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *7327:D 0.000421794
2 *6856:X 0.000421794
3 *7327:D *571:36 2.18292e-05
4 *7327:D *587:53 0.000127573
5 *7327:D *609:19 1.43848e-05
6 *7327:D *1096:316 0
7 *7327:D *1096:325 0.000127573
8 *7327:D *1133:14 3.53286e-06
9 *7327:D *1133:18 0.000433002
*RES
1 *6856:X *7327:D 38.7628
*END
*D_NET *293 0.00101288
*CONN
*I *7328:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6859:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *7328:D 0.000347618
2 *6859:X 0.000347618
3 *7328:D *7328:CLK 0.000109275
4 *7328:D *599:38 4.04556e-05
5 *7328:D *606:30 6.01329e-05
6 *7328:D *688:16 3.90348e-05
7 *7328:D *982:8 6.87503e-05
*RES
1 *6859:X *7328:D 34.7349
*END
*D_NET *294 0.00230929
*CONN
*I *7329:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6861:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *7329:D 0.000178303
2 *6861:X 0.000178303
3 *7329:D *6861:A1 0.000139435
4 *7329:D *587:60 0.000794411
5 *7329:D *655:45 0.000798637
6 *7329:D *989:14 0.000193395
7 *7329:D *1011:15 2.68066e-05
*RES
1 *6861:X *7329:D 39.9487
*END
*D_NET *295 0.0015274
*CONN
*I *7330:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6866:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7330:D 0.000337323
2 *6866:X 0.000337323
3 *7330:D *1006:7 0.000599096
4 *7330:D *1138:13 0.00015087
5 sram_din0[5] *7330:D 0
6 *7467:A *7330:D 0.000102788
*RES
1 *6866:X *7330:D 39.8065
*END
*D_NET *296 0.000727869
*CONN
*I *7331:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6870:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *7331:D 0.000300844
2 *6870:X 0.000300844
3 *7331:D *982:15 4.40253e-05
4 *7331:D *1009:10 8.21567e-05
5 *7331:D *1062:50 0
6 *7331:D *1071:8 0
*RES
1 *6870:X *7331:D 33.8803
*END
*D_NET *297 0.000551484
*CONN
*I *7332:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6873:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7332:D 0.000128111
2 *6873:X 0.000128111
3 *7332:D *7622:A 0
4 *7332:D *982:15 3.43646e-05
5 *7332:D *1010:14 0.000223132
6 *7332:D *1141:26 3.77659e-05
*RES
1 *6873:X *7332:D 31.7717
*END
*D_NET *298 0.000580168
*CONN
*I *7333:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6874:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *7333:D 9.01891e-05
2 *6874:X 9.01891e-05
3 *7333:D *7331:CLK 0.000201646
4 *7333:D *1014:21 2.22923e-05
5 *7333:D *1063:47 0.000175851
*RES
1 *6874:X *7333:D 31.2171
*END
*D_NET *299 0.000403014
*CONN
*I *7334:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6877:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7334:D 0.000121817
2 *6877:X 0.000121817
3 *7334:D *583:34 4.08482e-05
4 *7334:D *1096:263 0.000118532
5 *7334:D *1142:30 0
*RES
1 *6877:X *7334:D 30.6625
*END
*D_NET *300 0.00040788
*CONN
*I *7335:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6878:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *7335:D 9.46711e-05
2 *6878:X 9.46711e-05
3 *7335:D *571:29 0
4 *7335:D *692:7 0.000100285
5 *7335:D *692:17 1.79672e-05
6 *7335:D *695:20 0
7 *7335:D *1096:272 0.000100285
*RES
1 *6878:X *7335:D 30.4214
*END
*D_NET *301 0.00160293
*CONN
*I *7336:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6882:Y O *D sky130_fd_sc_hd__a311oi_1
*CAP
1 *7336:D 0.000509005
2 *6882:Y 0.000509005
3 *7336:D *6882:B1 2.16355e-05
4 *7336:D *6882:C1 6.08467e-05
5 *7336:D *702:21 0.00046988
6 *7336:D *1150:8 3.25584e-05
*RES
1 *6882:Y *7336:D 32.2488
*END
*D_NET *302 0.000724511
*CONN
*I *7337:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6886:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7337:D 0.000190307
2 *6886:X 0.000190307
3 *7337:D *523:15 0.000168313
4 *7337:D *557:54 1.87611e-05
5 *7337:D *832:10 0.000156823
*RES
1 *6886:X *7337:D 32.2164
*END
*D_NET *303 0.000519477
*CONN
*I *7338:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6889:Y O *D sky130_fd_sc_hd__a311oi_1
*CAP
1 *7338:D 8.57542e-05
2 *6889:Y 8.57542e-05
3 *7338:D *6889:B1 0.000156823
4 *7338:D *1044:18 0.000153225
5 *7338:D *1152:10 3.79207e-05
*RES
1 *6889:Y *7338:D 31.3182
*END
*D_NET *304 0.0013285
*CONN
*I *7339:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6899:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *7339:D 0.000488982
2 *6899:X 0.000488982
3 *7339:D *547:39 4.54818e-05
4 *7339:D *710:12 4.11147e-05
5 *7339:D *1096:572 2.02035e-05
6 *7339:D *1103:65 4.01315e-05
7 *7339:D *1154:14 0.000203607
*RES
1 *6899:X *7339:D 37.763
*END
*D_NET *305 0.000905144
*CONN
*I *7340:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6900:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *7340:D 0.000256097
2 *6900:Y 0.000256097
3 *7340:D *6900:A1 4.0752e-05
4 *7340:D *6900:B1 2.71182e-05
5 *7340:D *525:61 0.000178805
6 *7340:D *618:9 4.801e-05
7 *7340:D *1103:65 8.85525e-05
8 *7340:D *1155:5 9.71182e-06
*RES
1 *6900:Y *7340:D 35.8441
*END
*D_NET *306 0.00777362
*CONN
*I *7341:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6903:X O *D sky130_fd_sc_hd__o21ba_1
*CAP
1 *7341:D 0.000983826
2 *6903:X 0.00120426
3 *306:18 0.00218808
4 *7341:D *6608:A 0.000271058
5 *7341:D *6839:A 3.58208e-05
6 *7341:D *6839:B 0.000563884
7 *7341:D *6840:A 3.99086e-06
8 *7341:D *581:35 0.000217923
9 *306:18 *6834:A1 8.52027e-05
10 *306:18 *6838:B1 0.00011044
11 *306:18 *525:19 0.000421272
12 *306:18 *561:10 0.000337907
13 *306:18 *586:52 0.000337907
14 *306:18 *662:15 0.000866513
15 *306:18 *662:34 0.000105334
16 *306:18 *1111:14 4.0206e-05
*RES
1 *6903:X *306:18 36.3878
2 *306:18 *7341:D 33.3201
*END
*D_NET *307 0.000580376
*CONN
*I *7342:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6904:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *7342:D 9.93332e-05
2 *6904:Y 9.93332e-05
3 *7342:D *7432:CLK 8.52802e-05
4 *7342:D *981:11 6.50586e-05
5 *7342:D *1096:114 7.50722e-05
6 *7342:D *1116:5 6.67095e-06
7 *7342:D *1127:25 0.000149628
*RES
1 *6904:Y *7342:D 31.1072
*END
*D_NET *308 0.000865156
*CONN
*I *7343:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6907:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *7343:D 0.000194213
2 *6907:X 0.000194213
3 *7343:D *6906:C 0.000170504
4 *7343:D *6907:A2 4.2898e-05
5 *7343:D *702:15 0.000118796
6 *7343:D *967:12 0.000144531
*RES
1 *6907:X *7343:D 33.3256
*END
*D_NET *309 0.000686575
*CONN
*I *7344:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6910:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7344:D 0.000136182
2 *6910:X 0.000136182
3 *7344:D *6636:B1 0.000148114
4 *7344:D *6910:A 0.000144531
5 *7344:D *723:5 0.000111708
6 *7344:D *1096:565 6.67095e-06
7 *7344:D *1149:7 3.18826e-06
*RES
1 *6910:X *7344:D 31.6618
*END
*D_NET *310 0.00107374
*CONN
*I *7345:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6913:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *7345:D 0.000391216
2 *6913:Y 0.000391216
3 *7345:D *6913:A 6.50727e-05
4 *7345:D *6913:B 7.50872e-05
5 *7345:D *6915:B 0
6 *7345:D *7345:CLK 0.000151146
7 *7345:D *723:20 0
*RES
1 *6913:Y *7345:D 36.6852
*END
*D_NET *311 0.000814866
*CONN
*I *7346:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6919:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7346:D 0.000143193
2 *6919:X 0.000143193
3 *7346:D *7346:CLK 6.50586e-05
4 *7346:D *534:46 9.96342e-05
5 *7346:D *538:77 0
6 *7346:D *1145:7 0.000363787
*RES
1 *6919:X *7346:D 33.1944
*END
*D_NET *312 0.000569606
*CONN
*I *7347:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6923:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7347:D 0.000145353
2 *6923:X 0.000145353
3 *7347:D *7347:CLK 1.92926e-05
4 *7347:D *534:40 0.000259607
*RES
1 *6923:X *7347:D 32.0852
*END
*D_NET *313 0.000725803
*CONN
*I *7348:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6927:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7348:D 8.80307e-05
2 *6927:X 8.80307e-05
3 *7348:D *6927:A 0
4 *7348:D *1096:614 0.000228958
5 *7348:D *1096:939 9.60366e-05
6 *7348:D *1103:58 0.000224747
*RES
1 *6927:X *7348:D 31.7717
*END
*D_NET *314 0.000203497
*CONN
*I *7349:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6930:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7349:D 9.23682e-05
2 *6930:X 9.23682e-05
3 *7349:D *534:34 1.87611e-05
4 *7349:D *966:8 0
*RES
1 *6930:X *7349:D 29.5533
*END
*D_NET *315 0.000907261
*CONN
*I *7350:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6935:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7350:D 0.000105744
2 *6935:X 0.000105744
3 *7350:D *745:15 0.000301974
4 *7350:D *1096:1135 9.60366e-05
5 *7350:D *1098:55 0.000297763
*RES
1 *6935:X *7350:D 32.6398
*END
*D_NET *316 0.00105974
*CONN
*I *7351:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6940:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *7351:D 0.000138831
2 *6940:Y 0.000138831
3 *7351:D *6940:B1 0.000191095
4 *7351:D *538:46 0
5 *7351:D *745:15 0.00015759
6 *7351:D *1069:11 0.000280005
7 *7351:D *1098:55 0.000153393
*RES
1 *6940:Y *7351:D 34.298
*END
*D_NET *317 0.00188145
*CONN
*I *7352:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6944:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7352:D 0.000558957
2 *6944:X 0.000558957
3 *7352:D *6944:B1 0
4 *7352:D *6950:C 0
5 *7352:D *746:14 0.000143047
6 *7352:D *1096:192 0.000223132
7 *7352:D *1120:53 0.000122098
8 *7352:D *1137:11 0.000275256
*RES
1 *6944:X *7352:D 42.8582
*END
*D_NET *318 0.000922812
*CONN
*I *7353:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6949:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7353:D 0.000292957
2 *6949:X 0.000292957
3 *7353:D *1062:50 1.07248e-05
4 *7353:D *1066:8 3.91205e-05
5 *7353:D *1096:180 0.000227344
6 *7353:D *1138:13 0
7 *7467:A *7353:D 5.9708e-05
*RES
1 *6949:X *7353:D 35.0938
*END
*D_NET *319 0.000811019
*CONN
*I *7354:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6953:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *7354:D 0.000333593
2 *6953:Y 0.000333593
3 *7354:D *6953:A2 4.27003e-05
4 *7354:D *1141:26 0.000101133
*RES
1 *6953:Y *7354:D 35.0994
*END
*D_NET *320 0.000844787
*CONN
*I *7355:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6955:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7355:D 0.000189568
2 *6955:X 0.000189568
3 *7355:D *6954:B 4.27148e-05
4 *7355:D *524:27 0.000380222
5 *7355:D *1070:8 4.27148e-05
*RES
1 *6955:X *7355:D 33.4356
*END
*D_NET *321 0.00115184
*CONN
*I *7356:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6959:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *7356:D 0.000217472
2 *6959:Y 0.000217472
3 *7356:D *759:8 3.1741e-05
4 *7356:D *820:28 0.000115874
5 *7356:D *962:17 0.000381836
6 *7356:D *1123:22 0.000187446
*RES
1 *6959:Y *7356:D 35.0966
*END
*D_NET *322 0.00148241
*CONN
*I *7357:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6963:Y O *D sky130_fd_sc_hd__o21bai_1
*CAP
1 *7357:D 0.000375837
2 *6963:Y 0.000375837
3 *7357:D *7357:CLK 1.41976e-05
4 *7357:D *527:31 0.000211492
5 *7357:D *580:11 1.86677e-05
6 *7357:D *691:20 0.000400395
7 *7357:D *888:8 8.59811e-05
*RES
1 *6963:Y *7357:D 37.6792
*END
*D_NET *323 0.000849554
*CONN
*I *7358:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6967:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7358:D 0.00015383
2 *6967:X 0.00015383
3 *7358:D *522:30 0.000333559
4 *7358:D *557:6 0.000148144
5 *7358:D *562:16 1.07248e-05
6 *7358:D *820:36 0
7 *7358:D *1112:47 2.23124e-05
8 *7358:D *1143:56 2.71542e-05
*RES
1 *6967:X *7358:D 33.7115
*END
*D_NET *324 0.000605051
*CONN
*I *7359:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6971:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7359:D 0.000138098
2 *6971:X 0.000138098
3 *7359:D *523:53 7.84085e-06
4 *7359:D *528:24 7.43368e-05
5 *7359:D *557:52 4.5128e-05
6 *7359:D *691:16 0.000111722
7 *7359:D *762:8 8.98279e-05
*RES
1 *6971:X *7359:D 31.1448
*END
*D_NET *325 0.000753668
*CONN
*I *7360:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6976:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7360:D 0.000236169
2 *6976:X 0.000236169
3 *7360:D *6976:A 0.000111708
4 *7360:D *559:27 4.62192e-05
5 *7360:D *892:13 2.51527e-05
6 *7360:D *1103:50 9.82494e-05
*RES
1 *6976:X *7360:D 24.605
*END
*D_NET *326 0.00111985
*CONN
*I *7361:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6981:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7361:D 0.000514352
2 *6981:X 0.000514352
3 *7361:D *7361:CLK 3.70724e-05
4 *7361:D *595:37 7.13655e-06
5 *7361:D *645:59 1.61274e-05
6 *7361:D *740:31 1.20478e-05
7 *7361:D *1103:58 1.87611e-05
*RES
1 *6981:X *7361:D 36.7521
*END
*D_NET *327 0.000887418
*CONN
*I *7362:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6986:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7362:D 0.000247906
2 *6986:X 0.000247906
3 *7362:D *428:11 0.000363576
4 *7362:D *428:24 2.29814e-05
5 *7362:D *944:21 5.04829e-06
*RES
1 *6986:X *7362:D 24.7738
*END
*D_NET *328 0.000499922
*CONN
*I *7363:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6990:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *7363:D 0.00010269
2 *6990:Y 0.00010269
3 *7363:D *6965:C1 0
4 *7363:D *432:17 0.000106635
5 *7363:D *914:24 2.54559e-05
6 *7363:D *1096:694 0.000151726
7 *7363:D *1096:703 1.07248e-05
*RES
1 *6990:Y *7363:D 31.3182
*END
*D_NET *329 0.000566342
*CONN
*I *7364:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6996:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7364:D 7.24999e-05
2 *6996:X 7.24999e-05
3 *7364:D *6994:A 0
4 *7364:D *402:29 0.00015759
5 *7364:D *404:14 0.000153393
6 *7364:D *598:20 1.07248e-05
7 *7364:D *1096:853 9.96342e-05
*RES
1 *6996:X *7364:D 30.976
*END
*D_NET *330 0.000423788
*CONN
*I *7365:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7001:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7365:D 0.000133099
2 *7001:X 0.000133099
3 *7365:D *7001:A 0
4 *7365:D *1096:863 0.00015759
*RES
1 *7001:X *7365:D 30.976
*END
*D_NET *331 0.000504998
*CONN
*I *7366:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7005:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7366:D 0.000174802
2 *7005:X 0.000174802
3 *7366:D *7004:B 6.80864e-05
4 *7366:D *7367:CLK 1.87611e-05
5 *7366:D *791:44 2.55493e-05
6 *7366:D *946:8 0
7 *7366:D *946:12 0
8 *7366:D *1096:873 4.2996e-05
*RES
1 *7005:X *7366:D 32.8754
*END
*D_NET *332 0.000398551
*CONN
*I *7367:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7010:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7367:D 0.000151207
2 *7010:X 0.000151207
3 *7367:D *7009:A 0
4 *7367:D *7010:A 8.54125e-05
5 *7367:D *7367:CLK 1.07248e-05
*RES
1 *7010:X *7367:D 31.3182
*END
*D_NET *333 0.00183493
*CONN
*I *7368:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7015:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7368:D 0.000449193
2 *7015:X 0.000449193
3 *7368:D *7015:B1 0.000198737
4 *7368:D *7368:CLK 0.000275934
5 *7368:D *558:17 0.000311235
6 *7368:D *599:13 4.50998e-05
7 *7368:D *1022:18 2.47808e-05
8 *7368:D *1044:18 5.66868e-06
9 *7368:D *1096:631 7.50872e-05
*RES
1 *7015:X *7368:D 39.1093
*END
*D_NET *334 0.000759114
*CONN
*I *7369:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7018:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7369:D 0.000224533
2 *7018:X 0.000224533
3 *7369:D *7019:B 0.000153225
4 *7369:D *950:12 0.000156823
*RES
1 *7018:X *7369:D 34.0912
*END
*D_NET *335 0.000562992
*CONN
*I *7370:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7020:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7370:D 0.000232916
2 *7020:X 0.000232916
3 *7370:D *7370:CLK 7.02539e-05
4 *7370:D *740:56 0
5 *7370:D *803:8 2.69064e-05
6 *7370:D *950:8 0
7 *7370:D *950:12 0
*RES
1 *7020:X *7370:D 33.43
*END
*D_NET *336 0.00103763
*CONN
*I *7371:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7023:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7371:D 0.000340955
2 *7023:X 0.000340955
3 *7371:D *7022:B 0.000220183
4 *7371:D *7023:A1 5.8261e-05
5 *7371:D *7023:A2 1.47102e-05
6 *7371:D *534:34 1.53125e-05
7 *7371:D *951:11 4.72569e-05
*RES
1 *7023:X *7371:D 35.9238
*END
*D_NET *337 0.00108927
*CONN
*I *7372:D I *D sky130_fd_sc_hd__dfxtp_2
*I *7029:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7372:D 0.0002287
2 *7029:X 0.0002287
3 *7372:D *7029:A 0.00011818
4 *7372:D *7096:A2 3.58044e-05
5 *7372:D *962:17 0.000109321
6 *7372:D *1142:26 0.000368568
*RES
1 *7029:X *7372:D 27.0826
*END
*D_NET *338 0.00044718
*CONN
*I *7373:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7055:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7373:D 0.0001263
2 *7055:X 0.0001263
3 *7373:D *1018:8 3.18826e-06
4 *7373:D *1054:14 6.66393e-05
5 *7373:D *1061:11 5.96936e-05
6 *7373:D *1096:133 6.50586e-05
*RES
1 *7055:X *7373:D 31.1072
*END
*D_NET *339 0.000472132
*CONN
*I *7374:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7059:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7374:D 0.000124695
2 *7059:X 0.000124695
3 *7374:D *438:66 9.18559e-06
4 *7374:D *1030:9 1.87611e-05
5 *7374:D *1096:114 1.2601e-05
6 *7374:D *1096:119 3.4475e-05
7 *7374:D *1125:18 0.000144531
8 *7374:D *1136:27 3.18826e-06
*RES
1 *7059:X *7374:D 31.1072
*END
*D_NET *340 0.00047321
*CONN
*I *7375:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7073:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7375:D 0.000110654
2 *7073:X 0.000110654
3 *7375:D *7078:B1 6.50586e-05
4 *7375:D *7601:A 1.87611e-05
5 *7375:D *834:18 0.000113374
6 *7375:D *975:12 4.90264e-05
7 *7375:D *1096:103 5.68237e-06
*RES
1 *7073:X *7375:D 30.692
*END
*D_NET *341 0.00101817
*CONN
*I *7376:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7078:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7376:D 0.000436736
2 *7078:X 0.000436736
3 *7376:D *7078:A1 0.000144695
*RES
1 *7078:X *7376:D 28.7042
*END
*D_NET *342 0.00137822
*CONN
*I *7377:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7083:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7377:D 0.000116307
2 *7083:X 0.000116307
3 *7377:D *7214:A1 3.77804e-05
4 *7377:D *1048:19 3.77804e-05
5 *7377:D *1117:10 0.000530503
6 *7377:D *1120:23 0.000539544
*RES
1 *7083:X *7377:D 35.0994
*END
*D_NET *343 0.000692257
*CONN
*I *7378:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7087:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7378:D 9.08541e-05
2 *7087:X 9.08541e-05
3 *7378:D *834:18 2.22923e-05
4 *7378:D *844:22 0.000227344
5 *7378:D *1048:13 0.000223132
6 *7378:D *1182:22 3.77804e-05
*RES
1 *7087:X *7378:D 31.7717
*END
*D_NET *344 0.00159621
*CONN
*I *7379:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7091:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7379:D 0.000305369
2 *7091:X 0.000305369
3 *7379:D *6598:B1 0.000741304
4 *7379:D *968:17 0.000122083
5 *7379:D *975:12 9.96342e-05
6 *7379:D *975:25 2.24484e-05
*RES
1 *7091:X *7379:D 37.733
*END
*D_NET *345 0.000927125
*CONN
*I *7380:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7100:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7380:D 0.000234244
2 *7100:X 0.000234244
3 *7380:D *7100:A1 0
4 *7380:D *1112:21 0.000321279
5 *7380:D *1121:9 6.92705e-05
6 *7380:D *1177:22 6.80864e-05
*RES
1 *7100:X *7380:D 34.4349
*END
*D_NET *346 0.000614646
*CONN
*I *7381:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7110:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7381:D 0.000151456
2 *7110:X 0.000151456
3 *7381:D *7110:A1 0
4 *7381:D *1096:1569 6.50727e-05
5 *7381:D *1096:1576 3.66195e-05
6 *7381:D *1103:11 0.000210042
*RES
1 *7110:X *7381:D 32.4274
*END
*D_NET *347 0.00123633
*CONN
*I *7382:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7115:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7382:D 0.000184681
2 *7115:X 0.000184681
3 *7382:D *6743:B 0.000524044
4 *7382:D *7115:A1 3.34802e-05
5 *7382:D *7123:A2 5.4224e-06
6 *7382:D *7610:A 1.06441e-05
7 *7382:D *511:17 0.000140487
8 *7382:D *1108:29 8.73033e-05
9 *7382:D *1108:40 6.23875e-05
10 *7382:D *1124:20 3.20069e-06
*RES
1 *7115:X *7382:D 35.9299
*END
*D_NET *348 0.0010659
*CONN
*I *7383:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7119:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7383:D 0.000288676
2 *7119:X 0.000288676
3 *7383:D *7119:A1 0.000277502
4 *7383:D *1099:11 7.16434e-05
5 *7383:D *1099:19 0.000139403
*RES
1 *7119:X *7383:D 28.1496
*END
*D_NET *349 0.000682374
*CONN
*I *7384:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7123:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7384:D 0.000156238
2 *7123:X 0.000156238
3 *7384:D *511:17 0.000153225
4 *7384:D *514:22 0
5 *7384:D *1096:1603 0.000216674
*RES
1 *7123:X *7384:D 32.6023
*END
*D_NET *350 0.000804048
*CONN
*I *7385:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7132:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7385:D 0.000123916
2 *7132:X 0.000123916
3 *7385:D *7132:A2 0
4 *7385:D *7137:B1 0.000306271
5 *7385:D *437:10 2.55493e-05
6 *7385:D *898:18 0.000224395
*RES
1 *7132:X *7385:D 32.6398
*END
*D_NET *351 0.0009586
*CONN
*I *7386:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7137:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7386:D 0.000361016
2 *7137:X 0.000361016
3 *7386:D *7137:A1 0.000120546
4 *7386:D *1023:5 0.000116022
*RES
1 *7137:X *7386:D 28.1496
*END
*D_NET *352 0.000562374
*CONN
*I *7387:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7142:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7387:D 0.00020927
2 *7142:X 0.00020927
3 *7387:D *427:10 4.27003e-05
4 *7387:D *430:10 0.000101133
*RES
1 *7142:X *7387:D 32.3264
*END
*D_NET *353 0.000580688
*CONN
*I *7388:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7146:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7388:D 0.000135517
2 *7146:X 0.000135517
3 *7388:D *7154:A2 0
4 *7388:D *7154:B1 0.000258923
5 *7388:D *7388:CLK 5.07314e-05
*RES
1 *7146:X *7388:D 32.0852
*END
*D_NET *354 0.00116955
*CONN
*I *7389:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7154:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7389:D 0.000264977
2 *7154:X 0.000264977
3 *7389:D *416:8 0.000139435
4 *7389:D *420:10 0.000143047
5 *7389:D *1026:5 3.71723e-05
6 *7389:D *1096:1754 0.00031994
*RES
1 *7154:X *7389:D 35.9238
*END
*D_NET *355 0.000456821
*CONN
*I *7390:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7163:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7390:D 0.000154551
2 *7163:X 0.000154551
3 *7390:D *420:10 0.000144531
4 *7390:D *1027:7 3.18826e-06
*RES
1 *7163:X *7390:D 31.1072
*END
*D_NET *356 0.000440208
*CONN
*I *7391:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7168:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7391:D 0.000220104
2 *7168:X 0.000220104
3 *7391:D *7391:CLK 0
4 *7391:D *1028:9 0
*RES
1 *7168:X *7391:D 32.3264
*END
*D_NET *357 0.000688785
*CONN
*I *7392:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7173:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7392:D 0.000122178
2 *7173:X 0.000122178
3 *7392:D *7392:CLK 0.000223118
4 *7392:D *1037:19 0.000153225
5 *7392:D *1096:1838 6.80864e-05
*RES
1 *7173:X *7392:D 32.6023
*END
*D_NET *358 0.000462932
*CONN
*I *7393:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7177:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7393:D 0.000209087
2 *7177:X 0.000209087
3 *7393:D *7177:A1 0
4 *7393:D *1045:15 4.47578e-05
*RES
1 *7177:X *7393:D 32.6023
*END
*D_NET *359 0.0013151
*CONN
*I *7394:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7181:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7394:D 0.00022203
2 *7181:X 0.00022203
3 *7394:D *427:10 0.00015324
4 *7394:D *430:10 6.31809e-05
5 *7394:D *1032:8 2.41274e-06
6 *7394:D *1107:25 0.000652203
*RES
1 *7181:X *7394:D 37.0391
*END
*D_NET *360 0.000887269
*CONN
*I *7395:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7186:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7395:D 0.000241104
2 *7186:X 0.000241104
3 *7395:D *7186:A1 0
4 *7395:D *1027:13 0.000335791
5 *7395:D *1041:11 6.92705e-05
*RES
1 *7186:X *7395:D 34.4349
*END
*D_NET *361 0.000337308
*CONN
*I *7396:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7190:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7396:D 9.84003e-05
2 *7190:X 9.84003e-05
3 *7396:D *7190:A1 0
4 *7396:D *7396:CLK 0
5 *7396:D *1035:13 7.02539e-05
6 *7396:D *1107:23 7.02539e-05
*RES
1 *7190:X *7396:D 30.1079
*END
*D_NET *362 0.00158988
*CONN
*I *7397:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7192:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7397:D 0.000198525
2 *7192:X 0.000198525
3 *7397:D *7192:B1 1.84293e-05
4 *7397:D *431:49 9.60216e-05
5 *7397:D *470:26 0.000382454
6 *7397:D *1036:10 0.000107496
7 *7397:D *1096:1688 0.000227344
8 *7397:D *1096:1700 0.000361082
*RES
1 *7192:X *7397:D 36.3774
*END
*D_NET *363 0.000651098
*CONN
*I *7398:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7193:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7398:D 0.000281533
2 *7193:X 0.000281533
3 *7398:D *7192:B1 0
4 *7398:D *431:49 0
5 *7398:D *438:15 6.92705e-05
6 *7398:D *1096:1708 1.87611e-05
*RES
1 *7193:X *7398:D 33.5987
*END
*D_NET *364 0.00130917
*CONN
*I *7399:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7194:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7399:D 0.000286416
2 *7194:X 0.000286416
3 *7399:D *7193:B2 9.72539e-05
4 *7399:D *7194:C1 0.000153225
5 *7399:D *431:7 0.000154145
6 *7399:D *829:40 2.29056e-05
7 *7399:D *1025:23 0.000242713
8 *7399:D *1096:1627 6.60955e-05
*RES
1 *7194:X *7399:D 38.1483
*END
*D_NET *365 0.000781161
*CONN
*I *7400:D I *D sky130_fd_sc_hd__dfxtp_2
*I *7197:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7400:D 0.000133604
2 *7197:X 0.000133604
3 *7400:D *439:8 9.60366e-05
4 *7400:D *1025:21 0.000274007
5 *7400:D *1039:12 5.68225e-06
6 *7400:D *1040:24 0.000138228
*RES
1 *7197:X *7400:D 32.3264
*END
*D_NET *366 0.000205344
*CONN
*I *7401:D I *D sky130_fd_sc_hd__dfxtp_2
*I *7198:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7401:D 8.13216e-05
2 *7198:X 8.13216e-05
3 *7401:D *7198:A2 4.27003e-05
4 *7401:D *1060:16 0
*RES
1 *7198:X *7401:D 29.5533
*END
*D_NET *367 0.000434053
*CONN
*I *7402:D I *D sky130_fd_sc_hd__dfxtp_2
*I *7199:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7402:D 0.000174446
2 *7199:X 0.000174446
3 *7402:D *7199:A1 6.92705e-05
4 *7402:D *427:10 0
5 *7402:D *1041:9 1.58914e-05
*RES
1 *7199:X *7402:D 31.1448
*END
*D_NET *368 0.00156352
*CONN
*I *7403:D I *D sky130_fd_sc_hd__dfxtp_2
*I *7200:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7403:D 0.00049549
2 *7200:X 0.00049549
3 *7403:D *7199:B1 0.000213739
4 *7403:D *7200:A1 5.44672e-05
5 *7403:D *7201:A2 7.58595e-05
6 *7403:D *1043:5 0.00015261
7 *7403:D *1063:72 7.58595e-05
*RES
1 *7200:X *7403:D 41.8912
*END
*D_NET *369 0.000902514
*CONN
*I *7404:D I *D sky130_fd_sc_hd__dfxtp_2
*I *7201:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7404:D 0.000316805
2 *7201:X 0.000316805
3 *7404:D *7201:A1 4.01437e-05
4 *7404:D *7402:CLK 0
5 *7404:D *1027:7 0.000158811
6 *7404:D *1045:7 6.99486e-05
*RES
1 *7201:X *7404:D 37.0391
*END
*D_NET *370 0.000682744
*CONN
*I *7405:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7203:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *7405:D 0.000265143
2 *7203:Y 0.000265143
3 *7405:D *438:66 4.99151e-05
4 *7405:D *487:17 1.0397e-05
5 *7405:D *982:27 4.69495e-06
6 *7405:D *1017:8 3.54844e-05
7 *7405:D *1098:33 7.09666e-06
8 *7405:D *1125:18 2.13584e-05
9 *7405:D *1136:33 0
10 *7405:D *1173:44 4.75026e-06
11 *7405:D *1174:43 1.87611e-05
*RES
1 *7203:Y *7405:D 32.5165
*END
*D_NET *371 0.00151499
*CONN
*I *7406:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7207:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *7406:D 0.000155352
2 *7207:X 0.000155352
3 *7406:D *7207:A1 0.000532369
4 *7406:D *7543:A 0.000274007
5 *7406:D *1125:7 0.000293891
6 *7457:A *7406:D 0.000104015
*RES
1 *7207:X *7406:D 28.7042
*END
*D_NET *372 0.000897693
*CONN
*I *7407:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7211:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7407:D 0.000254156
2 *7211:X 0.000254156
3 *7407:D *7211:A 0.000122378
4 *7407:D *1127:11 0.000267002
*RES
1 *7211:X *7407:D 25.7142
*END
*D_NET *373 0.00047651
*CONN
*I *7408:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7213:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7408:D 0.000111463
2 *7213:X 0.000111463
3 *7408:D *7213:A 0.000117018
4 *7408:D *7605:A 1.03403e-05
5 *7408:D *1090:11 5.68237e-06
6 *7408:D *1120:8 0.000120544
*RES
1 *7213:X *7408:D 30.692
*END
*D_NET *374 0.00110722
*CONN
*I *7409:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7215:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7409:D 0.000271091
2 *7215:X 0.000271091
3 *7409:D *7410:D 0.00027103
4 *7409:D *7578:A 2.52775e-05
5 *7409:D *1096:1332 1.07248e-05
6 *7409:D *1096:1339 0.000148114
7 *7409:D *1173:17 0.000109889
*RES
1 *7215:X *7409:D 34.26
*END
*D_NET *375 0.00242523
*CONN
*I *7410:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7217:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7410:D 0.000523906
2 *7217:X 0.000523906
3 *7410:D *1110:5 0.000728276
4 sram_web0 *7410:D 9.04572e-05
5 wb_ack_o *7410:D 3.7098e-05
6 wb_data_o[0] *7410:D 0.000241341
7 *7409:D *7410:D 0.00027103
8 *7527:A *7410:D 9.22013e-06
*RES
1 *7217:X *7410:D 44.9667
*END
*D_NET *376 0.000968785
*CONN
*I *7411:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7219:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7411:D 0.000389331
2 *7219:X 0.000389331
3 *7411:D *7218:A0 2.55536e-05
4 *7411:D *7219:A 2.652e-05
5 *7411:D *446:10 2.78228e-05
6 *7411:D *1048:19 9.14669e-05
7 *7411:D *1096:1392 1.87611e-05
8 *7411:D *1120:8 0
9 *7411:D *1176:10 0
10 *7520:A *7411:D 0
*RES
1 *7219:X *7411:D 35.6778
*END
*D_NET *377 0.000724513
*CONN
*I *7412:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7222:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7412:D 0.000362257
2 *7222:X 0.000362257
*RES
1 *7222:X *7412:D 26.2688
*END
*D_NET *378 0.000558756
*CONN
*I *7413:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7224:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7413:D 0.000163328
2 *7224:X 0.000163328
3 *7413:D *7224:A 6.80864e-05
4 *7413:D *1096:1418 9.89555e-05
5 *7413:D *1112:21 6.50586e-05
6 *7413:D *1123:8 0
*RES
1 *7224:X *7413:D 33.1569
*END
*D_NET *379 0.000665833
*CONN
*I *7414:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7226:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7414:D 0.000173337
2 *7226:X 0.000173337
3 *7414:D *7226:A 0.000139023
4 *7414:D *1112:20 0.000139023
5 *7414:D *1114:25 4.11136e-05
*RES
1 *7226:X *7414:D 32.6023
*END
*D_NET *380 0.00219776
*CONN
*I *7415:D I *D sky130_fd_sc_hd__dfxtp_2
*I *7228:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7415:D 0.000471816
2 *7228:X 0.000471816
3 *7415:D *7228:A 0
4 *7415:D *7229:S 8.52968e-05
5 *7415:D *452:9 8.01837e-05
6 *7415:D *1105:26 0.000989109
7 *7415:D *1131:24 3.37543e-05
8 *7415:D *1156:20 6.5781e-05
*RES
1 *7228:X *7415:D 43.8281
*END
*D_NET *381 0.000584597
*CONN
*I *7416:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7230:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7416:D 0.000188807
2 *7230:X 0.000188807
3 *7416:D *7230:A 2.21765e-05
4 *7416:D *7416:CLK 0.00011818
5 *7416:D *1109:12 3.00073e-05
6 *7416:D *1109:21 3.66195e-05
7 *7416:D *1131:24 0
*RES
1 *7230:X *7416:D 32.982
*END
*D_NET *382 0.000521074
*CONN
*I *7417:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7233:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7417:D 0.000149866
2 *7233:X 0.000149866
3 *7417:D *1103:8 0.00015324
4 *7417:D *1114:18 6.81008e-05
*RES
1 *7233:X *7417:D 32.0476
*END
*D_NET *383 0.000866752
*CONN
*I *7418:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7235:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7418:D 0.000241956
2 *7235:X 0.000241956
3 *7418:D *7235:A 7.50872e-05
4 *7418:D *445:23 0
5 *7418:D *1021:11 6.50727e-05
6 *7418:D *1104:9 1.87611e-05
7 *7418:D *1131:34 0.000223919
*RES
1 *7235:X *7418:D 34.4293
*END
*D_NET *384 0.000587927
*CONN
*I *7419:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7237:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7419:D 0.000153027
2 *7237:X 0.000153027
3 *7419:D *7584:A 0.000265284
4 *7419:D *1025:23 1.65872e-05
5 *7419:D *1109:12 0
*RES
1 *7237:X *7419:D 32.0476
*END
*D_NET *385 0.0004855
*CONN
*I *7420:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7239:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7420:D 0.000109857
2 *7239:X 0.000109857
3 *7420:D *7239:A 0.000118485
4 *7420:D *7585:A 0.000127131
5 *7420:D *1036:11 1.87611e-05
6 *7420:D *1106:9 1.4091e-06
*RES
1 *7239:X *7420:D 30.692
*END
*D_NET *386 0.00171886
*CONN
*I *7421:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7241:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7421:D 0.000411535
2 *7241:X 0.000411535
3 *7421:D *7253:A 0.000459915
4 *7421:D *7421:CLK 6.92705e-05
5 *7421:D *1036:11 0.000210042
6 *7421:D *1096:1645 0.000122083
7 *7421:D *1162:5 3.44759e-05
8 *7421:D *1169:10 0
*RES
1 *7241:X *7421:D 37.1724
*END
*D_NET *387 0.00078521
*CONN
*I *7422:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7244:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7422:D 0.000178991
2 *7244:X 0.000178991
3 *7422:D *7422:CLK 4.4108e-05
4 *7422:D *7586:A 0.000383121
*RES
1 *7244:X *7422:D 26.1422
*END
*D_NET *388 0.000737267
*CONN
*I *7423:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7246:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7423:D 0.000143357
2 *7246:X 0.000143357
3 *7423:D *7245:A1 9.60366e-05
4 *7423:D *7587:A 0.000258479
5 *7423:D *464:19 9.60366e-05
*RES
1 *7246:X *7423:D 32.3264
*END
*D_NET *389 0.000976383
*CONN
*I *7424:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7248:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7424:D 0.000182342
2 *7248:X 0.000182342
3 *7424:D *7248:A 9.75356e-05
4 *7424:D *7427:CLK 0.000107496
5 *7424:D *464:19 1.2693e-05
6 *7424:D *1028:21 0.000304308
7 *7424:D *1043:8 8.96665e-05
*RES
1 *7248:X *7424:D 34.5686
*END
*D_NET *390 0.000496673
*CONN
*I *7425:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7250:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7425:D 0.000119549
2 *7250:X 0.000119549
3 *7425:D *7247:A1 3.18826e-06
4 *7425:D *7250:A 0.000110844
5 *7425:D *7426:CLK 1.87611e-05
6 *7425:D *1029:18 1.03403e-05
7 *7425:D *1114:8 0.000114441
*RES
1 *7250:X *7425:D 30.692
*END
*D_NET *391 0.000205496
*CONN
*I *7426:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7252:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7426:D 7.9361e-05
2 *7252:X 7.9361e-05
3 *7426:D *6601:B 4.05943e-06
4 *7426:D *7252:A 4.27148e-05
*RES
1 *7252:X *7426:D 29.5533
*END
*D_NET *392 0.000788424
*CONN
*I *7427:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7255:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7427:D 0.000231505
2 *7255:X 0.000231505
3 *7427:D *7427:CLK 0.000180515
4 *7427:D *1168:7 0.000144899
*RES
1 *7255:X *7427:D 25.1596
*END
*D_NET *393 0.000745609
*CONN
*I *7428:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7257:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7428:D 0.000215492
2 *7257:X 0.000215492
3 *7428:D *7428:CLK 6.50727e-05
4 *7428:D *1107:11 0.000249553
*RES
1 *7257:X *7428:D 24.605
*END
*D_NET *394 0.000705435
*CONN
*I *7429:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7259:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7429:D 0.000216507
2 *7259:X 0.000216507
3 *7429:D *7429:CLK 0.000272421
*RES
1 *7259:X *7429:D 33.1944
*END
*D_NET *395 0.00158687
*CONN
*I *7430:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7261:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *7430:D 0.000444783
2 *7261:Y 0.000444783
3 *7430:D *1000:9 0.000413252
4 *7430:D *1174:28 0
5 *7430:D *1175:36 0.000284048
*RES
1 *7261:Y *7430:D 40.0852
*END
*D_NET *396 0.000617929
*CONN
*I *7431:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7262:Y O *D sky130_fd_sc_hd__a21boi_1
*CAP
1 *7431:D 7.81752e-05
2 *7262:Y 7.81752e-05
3 *7431:D *7260:A1 1.07248e-05
4 *7431:D *975:12 2.22788e-05
5 *7431:D *1130:8 8.52968e-05
6 *7431:D *1172:9 0.000171639
7 *7431:D *1173:17 0.000171639
*RES
1 *7262:Y *7431:D 31.2171
*END
*D_NET *397 0.000550311
*CONN
*I *7432:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7264:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7432:D 0.000117052
2 *7264:X 0.000117052
3 *7432:D *7087:B1 9.22013e-06
4 *7432:D *7432:CLK 1.07248e-05
5 *7432:D *864:13 0.000101567
6 *7432:D *1117:17 1.65445e-05
7 *7432:D *1127:25 0.000148144
8 *7432:D *1128:16 3.00073e-05
*RES
1 *7264:X *7432:D 31.3182
*END
*D_NET *398 0.000512155
*CONN
*I *7433:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7266:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7433:D 0.000120262
2 *7266:X 0.000120262
3 *7433:D *7115:B1 6.08467e-05
4 *7433:D *633:18 9.96342e-05
5 *7433:D *891:8 7.50722e-05
6 *7433:D *1114:29 3.60789e-05
*RES
1 *7266:X *7433:D 31.5306
*END
*D_NET *399 0.001038
*CONN
*I *7434:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7268:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7434:D 0.000380196
2 *7268:X 0.000380196
3 *7434:D *6735:B 2.13584e-05
4 *7434:D *7268:A 2.652e-05
5 *7434:D *470:33 0.000179271
6 *7434:D *829:8 0
7 *7434:D *1102:9 4.61438e-05
8 *7434:D *1128:24 4.3116e-06
*RES
1 *7268:X *7434:D 36.0931
*END
*D_NET *400 0.00128219
*CONN
*I *7145:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7144:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7145:A3 0.000312143
2 *7144:X 0.000312143
3 *7145:A3 *7130:A1 0.000423936
4 *7145:A3 *7185:A2 0.000116986
5 *7145:A3 *435:21 0.000116986
*RES
1 *7144:X *7145:A3 34.3512
*END
*D_NET *401 0.00487111
*CONN
*I *7146:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7145:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7146:B1 0.000477948
2 *7145:X 0.000984315
3 *401:8 0.00146226
4 *7146:B1 *1025:11 0.000641449
5 *7146:B1 *1025:21 2.49938e-05
6 *401:8 *7152:B1 6.12531e-05
7 *401:8 *7171:A2 2.02035e-05
8 *401:8 *7171:B1 1.05295e-05
9 *401:8 *7172:A3 8.8567e-05
10 *401:8 *7185:A2 0.000640009
11 *401:8 *408:12 0.000250628
12 *401:8 *589:14 6.74667e-05
13 *401:8 *923:27 0.00011191
14 *401:8 *1025:11 2.95757e-05
*RES
1 *7145:X *401:8 48.3183
2 *401:8 *7146:B1 18.171
*END
*D_NET *402 0.0232817
*CONN
*I *7178:B1 I *D sky130_fd_sc_hd__a221o_2
*I *7187:B1 I *D sky130_fd_sc_hd__a221o_2
*I *7183:B1 I *D sky130_fd_sc_hd__a221o_2
*I *7148:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7147:Y O *D sky130_fd_sc_hd__nor3b_4
*CAP
1 *7178:B1 0
2 *7187:B1 0.000653305
3 *7183:B1 0.000199364
4 *7148:A 0.000199599
5 *7147:Y 0.000638195
6 *402:45 0.000935984
7 *402:42 0.00025031
8 *402:30 0.000518663
9 *402:29 0.000816781
10 *402:25 0.00255895
11 *402:18 0.00295245
12 *402:12 0.0016964
13 *7148:A *7149:B1 0.000267404
14 *7148:A *978:12 7.44269e-05
15 *7183:B1 *7183:B2 4.06348e-05
16 *7183:B1 *7183:C1 6.28168e-05
17 *7183:B1 *411:10 9.72496e-05
18 *7183:B1 *412:8 3.51113e-05
19 *7183:B1 *1011:18 0
20 *7187:B1 *7183:A1 8.62625e-06
21 *7187:B1 *7187:A2 8.86419e-05
22 *7187:B1 *7187:B2 3.27324e-05
23 *7187:B1 *1022:18 0.000165495
24 *402:12 *6720:B 6.50727e-05
25 *402:12 *6720:C 6.50586e-05
26 *402:12 *7042:A 0.000311249
27 *402:12 *7189:A1 0.000357912
28 *402:12 *435:21 7.97098e-06
29 *402:12 *437:10 0.000893198
30 *402:12 *563:22 9.82896e-06
31 *402:12 *563:27 0.000217951
32 *402:12 *845:23 1.61631e-05
33 *402:12 *905:8 0.000123597
34 *402:12 *927:19 0.000127194
35 *402:18 *7152:A1 6.08467e-05
36 *402:18 *7152:A2 4.87301e-05
37 *402:18 *7152:B1 5.05252e-05
38 *402:18 *7162:B1 7.14746e-05
39 *402:18 *408:12 0.000124506
40 *402:18 *589:14 2.97091e-05
41 *402:18 *595:17 0.000197245
42 *402:18 *822:57 0.000771444
43 *402:18 *908:37 0.000194894
44 *402:25 *6730:A 0.000277488
45 *402:25 *6996:A 6.50727e-05
46 *402:25 *404:14 6.50727e-05
47 *402:25 *404:25 0.00132676
48 *402:25 *425:11 7.02172e-06
49 *402:25 *425:26 0.00088476
50 *402:29 *7364:CLK 6.50727e-05
51 *402:29 *404:14 0.00149759
52 *402:29 *425:7 0.00146039
53 *402:29 *425:11 0.00014984
54 *402:30 *978:12 0.000103807
55 *402:42 *7178:B2 8.58398e-05
56 *402:42 *428:11 0.000714603
57 *402:42 *597:33 9.72064e-05
58 *402:45 *411:10 0.000222669
59 *402:45 *412:8 6.32319e-05
60 *7364:D *402:29 0.00015759
*RES
1 *7147:Y *402:12 41.2096
2 *402:12 *402:18 38.7254
3 *402:18 *402:25 47.5271
4 *402:25 *402:29 46.8187
5 *402:29 *402:30 4.32351
6 *402:30 *7148:A 19.7687
7 *402:30 *402:42 12.4332
8 *402:42 *402:45 8.40826
9 *402:45 *7183:B1 19.0989
10 *402:45 *7187:B1 34.4835
11 *402:42 *7178:B1 9.24915
*END
*D_NET *403 0.00456524
*CONN
*I *7164:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7159:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7169:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7174:B1 I *D sky130_fd_sc_hd__a221o_2
*I *7149:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7148:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7164:B1 0.000278103
2 *7159:B1 0
3 *7169:B1 0.00024223
4 *7174:B1 0
5 *7149:B1 0.000408618
6 *7148:X 6.72278e-05
7 *403:43 0.000347931
8 *403:23 0.000414463
9 *403:20 0.000477367
10 *403:5 0.000711152
11 *7149:B1 *7149:A1 0.000152968
12 *7149:B1 *7149:A2 1.67329e-05
13 *7149:B1 *7149:B2 4.80635e-06
14 *7164:B1 *7164:A1 7.74397e-05
15 *7164:B1 *7164:C1 0
16 *7164:B1 *598:10 6.24979e-05
17 *7164:B1 *598:16 1.41181e-05
18 *7164:B1 *967:12 1.07248e-05
19 *7169:B1 *7020:B1 1.43983e-05
20 *7169:B1 *7164:A2 1.09738e-05
21 *7169:B1 *7169:A1 3.42931e-05
22 *7169:B1 *7169:A2 6.50727e-05
23 *7169:B1 *7174:A1 0.000169041
24 *7169:B1 *7174:B2 1.67988e-05
25 *7169:B1 *411:21 2.652e-05
26 *403:20 *967:12 0.000405599
27 *403:20 *978:12 0
28 *403:23 *7174:B2 8.97849e-05
29 *403:23 *425:7 5.68799e-05
30 *403:43 *967:12 0.000122098
31 *403:43 *978:12 0
32 *7148:A *7149:B1 0.000267404
*RES
1 *7148:X *403:5 10.5271
2 *403:5 *7149:B1 20.727
3 *403:5 *403:20 11.7303
4 *403:20 *403:23 8.55102
5 *403:23 *7174:B1 9.24915
6 *403:23 *7169:B1 23.7705
7 *403:20 *403:43 2.24725
8 *403:43 *7159:B1 13.7491
9 *403:43 *7164:B1 19.9441
*END
*D_NET *404 0.0133622
*CONN
*I *7153:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7149:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7153:A2 0
2 *7149:X 0.000241248
3 *404:25 0.000732919
4 *404:14 0.00298178
5 *404:8 0.00249011
6 *404:8 *533:47 0
7 *404:8 *595:37 0.000165555
8 *404:14 *6996:A 8.62625e-06
9 *404:14 *546:8 2.82537e-05
10 *404:14 *1096:841 3.20069e-06
11 *404:14 *1096:853 2.82537e-05
12 *404:25 *6730:A 0.000277488
13 *404:25 *7153:A1 0
14 *404:25 *7153:B1 6.08467e-05
15 *404:25 *7184:A1 0.00123453
16 *404:25 *425:11 0.00106705
17 *404:25 *425:26 0.00099954
18 *7364:D *404:14 0.000153393
19 *402:25 *404:14 6.50727e-05
20 *402:25 *404:25 0.00132676
21 *402:29 *404:14 0.00149759
*RES
1 *7149:X *404:8 25.0642
2 *404:8 *404:14 48.8532
3 *404:14 *404:25 49.3838
4 *404:25 *7153:A2 9.24915
*END
*D_NET *405 0.00910666
*CONN
*I *7188:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7175:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7184:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7179:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7151:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7150:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7188:A2 0
2 *7175:A2 0.000193109
3 *7184:A2 2.80465e-05
4 *7179:A2 0.000208625
5 *7151:A 0
6 *7150:X 0.000163631
7 *405:27 0.000453833
8 *405:24 0.000491995
9 *405:21 0.00058078
10 *405:9 0.000662687
11 *7175:A2 *7156:A 2.24484e-05
12 *7175:A2 *7188:A1 0
13 *7175:A2 *406:27 8.52802e-05
14 *7175:A2 *422:26 1.43983e-05
15 *7175:A2 *434:5 0.000158371
16 *7175:A2 *855:39 0.000188957
17 *7175:A2 *909:8 0
18 *7179:A2 *7171:A3 3.67708e-05
19 *7179:A2 *7184:A3 0.000139435
20 *7179:A2 *410:33 0
21 *7179:A2 *414:14 5.47736e-05
22 *7179:A2 *414:27 8.82194e-05
23 *7179:A2 *419:6 5.68225e-06
24 *7179:A2 *422:36 0.000237038
25 *7184:A2 *7184:A1 3.75603e-05
26 *7184:A2 *7184:A3 0.00011818
27 *7184:A2 *414:14 0
28 *7184:A2 *425:26 1.41291e-05
29 *7184:A2 *589:7 0.000159322
30 *405:9 *7267:A0 0.000417478
31 *405:9 *438:8 3.12044e-05
32 *405:9 *835:25 0
33 *405:9 *1102:9 0.000172971
34 *405:21 *6585:A 0
35 *405:21 *7042:A 2.20702e-05
36 *405:21 *7042:C 0.000410992
37 *405:21 *7188:A1 7.34948e-06
38 *405:21 *7188:A3 1.00981e-05
39 *405:21 *7267:A0 5.31074e-05
40 *405:21 *406:27 0.0002646
41 *405:21 *434:5 4.41404e-05
42 *405:21 *437:10 0.000145045
43 *405:21 *595:13 0.00103118
44 *405:21 *1102:9 5.0715e-05
45 *405:24 *7156:A 0.000137936
46 *405:24 *855:39 4.98393e-05
47 *405:27 *7160:A 7.24449e-05
48 *405:27 *7184:A3 6.50586e-05
49 *405:27 *410:11 0.000222765
50 *405:27 *414:14 0.000426119
51 *405:27 *589:7 0.00132827
*RES
1 *7150:X *405:9 25.102
2 *405:9 *7151:A 9.24915
3 *405:9 *405:21 29.7418
4 *405:21 *405:24 7.1625
5 *405:24 *405:27 19.0885
6 *405:27 *7179:A2 25.8947
7 *405:27 *7184:A2 11.6605
8 *405:24 *7175:A2 20.809
9 *405:21 *7188:A2 9.24915
*END
*D_NET *406 0.0138882
*CONN
*I *7161:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7165:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7171:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7152:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7267:A0 I *D sky130_fd_sc_hd__mux2_1
*I *7151:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7161:A2 2.40945e-05
2 *7165:A2 0.000132321
3 *7171:A2 0.000582772
4 *7152:A2 0.000802539
5 *7267:A0 0.00108814
6 *7151:X 0
7 *406:59 0.000737211
8 *406:35 0.000824657
9 *406:33 0.000192895
10 *406:27 0.00191669
11 *406:4 0.00283603
12 *7152:A2 *7153:A1 3.07131e-05
13 *7152:A2 *7153:A3 0.000139312
14 *7152:A2 *7185:A1 0
15 *7152:A2 *7185:A2 2.05331e-05
16 *7152:A2 *7185:A3 5.89336e-05
17 *7152:A2 *413:14 0.000106511
18 *7152:A2 *414:44 3.91205e-05
19 *7152:A2 *595:17 0.000111802
20 *7152:A2 *911:42 6.08163e-05
21 *7161:A2 *7165:A3 1.60561e-05
22 *7161:A2 *7167:A2 0.000100396
23 *7161:A2 *911:42 5.0383e-05
24 *7165:A2 *7165:A3 0.000130414
25 *7165:A2 *7165:B1 0
26 *7165:A2 *7167:A3 3.00073e-05
27 *7165:A2 *414:44 2.82121e-05
28 *7171:A2 *7171:B1 7.86825e-06
29 *7171:A2 *7185:A2 0
30 *7171:A2 *417:26 0.000201088
31 *7267:A0 *7267:A1 1.41181e-05
32 *7267:A0 *7267:S 7.98425e-06
33 *7267:A0 *1102:9 0.000193373
34 *406:27 *7162:A1 0.000107496
35 *406:27 *7176:A3 0.000331839
36 *406:27 *7176:B1 1.65872e-05
37 *406:27 *7179:A1 1.19721e-05
38 *406:27 *410:11 0.000266846
39 *406:27 *410:20 0.000326398
40 *406:27 *414:44 0.000254261
41 *406:27 *416:8 8.34394e-05
42 *406:27 *422:8 7.92757e-06
43 *406:27 *427:7 6.92705e-05
44 *406:27 *434:5 0.00016531
45 *406:27 *855:39 3.71672e-05
46 *406:27 *909:8 0
47 *406:27 *1021:9 8.62625e-06
48 *406:33 *7162:A3 0.000263343
49 *406:33 *7167:A2 0.000360145
50 *406:33 *7167:A3 7.92757e-06
51 *406:33 *7172:B1 8.62625e-06
52 *406:33 *419:16 0.000155272
53 *406:59 *7165:A3 2.02035e-05
54 *406:59 *414:44 1.1095e-05
55 *406:59 *911:42 0
56 *7175:A2 *406:27 8.52802e-05
57 *401:8 *7171:A2 2.02035e-05
58 *402:18 *7152:A2 4.87301e-05
59 *405:9 *7267:A0 0.000417478
60 *405:21 *7267:A0 5.31074e-05
61 *405:21 *406:27 0.0002646
*RES
1 *7151:X *406:4 9.24915
2 *406:4 *7267:A0 36.087
3 *406:4 *406:27 48.5153
4 *406:27 *406:33 16.1288
5 *406:33 *406:35 4.5
6 *406:35 *7152:A2 36.36
7 *406:35 *406:59 0.793864
8 *406:59 *7171:A2 21.9096
9 *406:59 *7165:A2 17.7673
10 *406:33 *7161:A2 10.5271
*END
*D_NET *407 0.000441449
*CONN
*I *7153:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7152:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7153:A3 0.000132431
2 *7152:X 0.000132431
3 *7153:A3 *7153:A1 0
4 *7153:A3 *7184:A1 1.47046e-05
5 *7153:A3 *408:12 1.74351e-05
6 *7153:A3 *425:26 5.13446e-06
7 *7152:A2 *7153:A3 0.000139312
*RES
1 *7152:X *7153:A3 30.7509
*END
*D_NET *408 0.00532074
*CONN
*I *7154:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7153:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7154:B1 0.000766696
2 *7153:X 0.00120634
3 *408:12 0.00197304
4 *7154:B1 *7388:CLK 2.61012e-05
5 *408:12 *7153:A1 0.000247128
6 *408:12 *7162:B1 0.00011755
7 *408:12 *7172:A3 0.000200236
8 *408:12 *7185:A2 1.26629e-05
9 *408:12 *414:47 0
10 *408:12 *417:26 1.9101e-05
11 *408:12 *589:14 1.5714e-05
12 *408:12 *910:11 0
13 *408:12 *911:42 4.62365e-05
14 *408:12 *923:27 3.84518e-05
15 *7153:A3 *408:12 1.74351e-05
16 *7388:D *7154:B1 0.000258923
17 *401:8 *408:12 0.000250628
18 *402:18 *408:12 0.000124506
*RES
1 *7153:X *408:12 45.9904
2 *408:12 *7154:B1 26.1674
*END
*D_NET *409 0.00644803
*CONN
*I *7181:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7163:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7168:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7177:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7173:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7155:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7181:A2 0
2 *7163:A2 0.000417759
3 *7168:A2 2.06324e-05
4 *7177:A2 0
5 *7173:A2 0
6 *7155:X 0.000533187
7 *409:33 0.000706418
8 *409:30 0.00070492
9 *409:19 0.00106184
10 *409:10 0.00115813
11 *7163:A2 *7163:A1 0.00015321
12 *7163:A2 *420:10 0
13 *7163:A2 *1028:9 5.0715e-05
14 *409:10 *7173:A1 9.35753e-06
15 *409:10 *437:14 0
16 *409:10 *1039:19 0
17 *409:10 *1041:11 0.00011818
18 *409:10 *1096:1789 4.01437e-05
19 *409:10 *1096:1798 5.01375e-05
20 *409:10 *1096:1838 0.000106687
21 *409:19 *7173:A1 6.99486e-05
22 *409:19 *7173:B1 3.01683e-06
23 *409:19 *7177:A1 2.41483e-05
24 *409:19 *7177:B1 3.12751e-05
25 *409:19 *424:11 0.00011693
26 *409:30 *7177:A1 0.000171273
27 *409:30 *7177:B1 0.000284848
28 *409:30 *7391:CLK 7.58739e-05
29 *409:30 *1032:8 5.77352e-05
30 *409:30 *1032:17 0.000127179
31 *409:33 *1028:9 2.41483e-05
32 *409:33 *1028:11 0.000330336
*RES
1 *7155:X *409:10 31.7404
2 *409:10 *7173:A2 9.24915
3 *409:10 *409:19 16.8551
4 *409:19 *7177:A2 9.24915
5 *409:19 *409:30 23.8932
6 *409:30 *409:33 9.06656
7 *409:33 *7168:A2 9.82786
8 *409:33 *7163:A2 29.6642
9 *409:30 *7181:A2 9.24915
*END
*D_NET *410 0.00670894
*CONN
*I *7172:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7167:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7162:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7180:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7176:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7156:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7172:A1 5.67695e-05
2 *7167:A1 3.04025e-05
3 *7162:A1 0.000166481
4 *7180:A1 2.23716e-05
5 *7176:A1 0
6 *7156:X 0.000496337
7 *410:44 0.000184026
8 *410:33 0.000729315
9 *410:20 0.000603703
10 *410:11 0.000611687
11 *7162:A1 *7162:A3 0.000211492
12 *7162:A1 *7179:A1 1.80122e-05
13 *7162:A1 *414:44 1.67988e-05
14 *7162:A1 *416:8 0.000251669
15 *7167:A1 *7167:A2 1.19721e-05
16 *7167:A1 *7167:A3 8.15376e-05
17 *7167:A1 *7167:B1 5.04829e-06
18 *7172:A1 *7172:B1 0.000148129
19 *7172:A1 *416:8 6.14128e-05
20 *7180:A1 *7176:B1 5.41227e-05
21 *7180:A1 *7180:A3 2.57071e-05
22 *410:11 *7176:A3 0.000167076
23 *410:11 *425:26 0
24 *410:11 *430:10 4.01437e-05
25 *410:11 *913:10 0
26 *410:11 *1059:16 0.000383166
27 *410:20 *7171:A3 4.3116e-06
28 *410:20 *7176:B1 0.000395973
29 *410:20 *7180:A3 2.47663e-05
30 *410:20 *913:10 0
31 *410:33 *7171:A3 0
32 *410:33 *414:27 0
33 *410:33 *419:16 0.000160384
34 *410:33 *434:5 0.000570342
35 *410:44 *7171:A3 0
36 *410:44 *7172:B1 4.70104e-05
37 *410:44 *416:8 7.09395e-05
38 *410:44 *419:16 0.000134323
39 *7179:A2 *410:33 0
40 *405:27 *410:11 0.000222765
41 *406:27 *7162:A1 0.000107496
42 *406:27 *410:11 0.000266846
43 *406:27 *410:20 0.000326398
*RES
1 *7156:X *410:11 34.2754
2 *410:11 *7176:A1 9.24915
3 *410:11 *410:20 10.6589
4 *410:20 *7180:A1 14.7506
5 *410:20 *410:33 18.3472
6 *410:33 *7162:A1 19.4881
7 *410:33 *410:44 3.493
8 *410:44 *7167:A1 15.0513
9 *410:44 *7172:A1 16.4116
*END
*D_NET *411 0.00502465
*CONN
*I *7178:A2 I *D sky130_fd_sc_hd__a221o_2
*I *7164:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7169:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7159:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7174:A2 I *D sky130_fd_sc_hd__a221o_2
*I *7157:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7178:A2 5.2114e-05
2 *7164:A2 0.000268968
3 *7169:A2 0.000246331
4 *7159:A2 0.000140476
5 *7174:A2 4.05922e-06
6 *7157:X 0.000372139
7 *411:23 0.000386807
8 *411:21 0.000372698
9 *411:12 0.000274997
10 *411:10 0.000591461
11 *7159:A2 *7169:C1 0.000220183
12 *7159:A2 *412:31 0.000136498
13 *7159:A2 *413:5 3.98107e-05
14 *7159:A2 *421:5 4.89898e-06
15 *7164:A2 *7012:A 0.000224381
16 *7164:A2 *7020:B1 6.16549e-05
17 *7164:A2 *7164:A1 0
18 *7164:A2 *7169:B2 0.000217587
19 *7164:A2 *598:10 0
20 *7169:A2 *7020:B1 6.24164e-05
21 *7169:A2 *7169:B2 7.61196e-06
22 *7174:A2 *7174:A1 1.67329e-05
23 *7174:A2 *7174:B2 1.67329e-05
24 *7178:A2 *7178:A1 1.90494e-05
25 *7178:A2 *7178:B2 1.67329e-05
26 *7178:A2 *1011:18 7.08723e-06
27 *411:10 *7183:B2 3.66775e-05
28 *411:10 *412:8 0
29 *411:10 *820:50 0.00066862
30 *411:10 *1011:18 8.12906e-05
31 *411:12 *412:8 0
32 *411:12 *412:15 0
33 *411:12 *412:24 0
34 *411:12 *1011:18 4.80597e-05
35 *411:21 *412:24 0
36 *411:21 *1011:18 6.08697e-06
37 *7169:B1 *7164:A2 1.09738e-05
38 *7169:B1 *7169:A2 6.50727e-05
39 *7169:B1 *411:21 2.652e-05
40 *7183:B1 *411:10 9.72496e-05
41 *402:45 *411:10 0.000222669
*RES
1 *7157:X *411:10 27.4834
2 *411:10 *411:12 4.11588
3 *411:12 *7174:A2 13.9481
4 *411:12 *411:21 2.24725
5 *411:21 *411:23 4.5
6 *411:23 *7159:A2 14.5962
7 *411:23 *7169:A2 14.4275
8 *411:21 *7164:A2 22.2616
9 *411:10 *7178:A2 15.0595
*END
*D_NET *412 0.00453809
*CONN
*I *7169:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7159:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7164:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7174:C1 I *D sky130_fd_sc_hd__a221o_2
*I *7178:C1 I *D sky130_fd_sc_hd__a221o_2
*I *7158:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7169:C1 7.05949e-05
2 *7159:C1 0
3 *7164:C1 0.000251526
4 *7174:C1 2.80011e-05
5 *7178:C1 0
6 *7158:X 0.00043699
7 *412:31 0.000580477
8 *412:24 0.000489149
9 *412:15 0.000253256
10 *412:8 0.000572642
11 *7164:C1 *7159:B2 0.00021218
12 *7164:C1 *7164:B2 0.000208806
13 *7164:C1 *598:16 0.000158885
14 *7164:C1 *598:20 2.65667e-05
15 *7164:C1 *740:52 1.82155e-05
16 *7164:C1 *978:12 0.000137921
17 *7169:C1 *7020:B1 9.40969e-05
18 *7169:C1 *598:10 1.32509e-05
19 *412:8 *7183:C1 6.74478e-05
20 *412:8 *435:9 0.000154366
21 *412:8 *598:10 0.000146917
22 *412:8 *832:65 3.20069e-06
23 *412:15 *598:10 6.19019e-05
24 *412:24 *598:10 2.75427e-05
25 *412:31 *7159:B2 4.65059e-05
26 *412:31 *413:5 2.26248e-05
27 *7159:A2 *7169:C1 0.000220183
28 *7159:A2 *412:31 0.000136498
29 *7164:B1 *7164:C1 0
30 *7183:B1 *412:8 3.51113e-05
31 *402:45 *412:8 6.32319e-05
32 *411:10 *412:8 0
33 *411:12 *412:8 0
34 *411:12 *412:15 0
35 *411:12 *412:24 0
36 *411:21 *412:24 0
*RES
1 *7158:X *412:8 27.5219
2 *412:8 *7178:C1 13.7491
3 *412:8 *412:15 3.90826
4 *412:15 *7174:C1 14.4819
5 *412:15 *412:24 2.24725
6 *412:24 *412:31 10.2631
7 *412:31 *7164:C1 27.5588
8 *412:31 *7159:C1 9.24915
9 *412:24 *7169:C1 17.1378
*END
*D_NET *413 0.0178244
*CONN
*I *7162:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7159:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7162:A2 0
2 *7159:X 0
3 *413:14 0.00242247
4 *413:5 0.00317094
5 *413:4 0.000748473
6 *413:5 *6742:B1 0.00150696
7 *413:5 *6996:A 2.15348e-05
8 *413:5 *7159:B2 0.00134639
9 *413:5 *421:5 0.00548644
10 *413:5 *533:43 0.00245128
11 *413:5 *595:27 5.65165e-05
12 *413:5 *595:31 9.82896e-06
13 *413:14 *7185:A1 1.32714e-07
14 *413:14 *7185:B1 0.00017
15 *413:14 *7301:CLK 1.43848e-05
16 *413:14 *414:44 3.20069e-06
17 *413:14 *419:16 0
18 *413:14 *595:27 0.000212005
19 *413:14 *928:7 1.92046e-05
20 *7152:A2 *413:14 0.000106511
21 *7159:A2 *413:5 3.98107e-05
22 *7301:D *413:14 1.57029e-05
23 *412:31 *413:5 2.26248e-05
*RES
1 *7159:X *413:4 9.24915
2 *413:4 *413:5 64.5028
3 *413:5 *413:14 46.3802
4 *413:14 *7162:A2 9.24915
*END
*D_NET *414 0.00861327
*CONN
*I *7165:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7171:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7161:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7179:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7175:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7160:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7165:B1 8.06047e-05
2 *7171:B1 0.000348827
3 *7161:B1 0
4 *7179:B1 0
5 *7175:B1 0.000292599
6 *7160:X 0.000286128
7 *414:47 0.000454387
8 *414:44 0.000758774
9 *414:27 0.000730108
10 *414:14 0.000736226
11 *7165:B1 *7165:A1 2.57847e-05
12 *7165:B1 *7165:A3 3.39313e-06
13 *7165:B1 *7172:A3 2.57847e-05
14 *7171:B1 *7171:A1 7.37379e-05
15 *7171:B1 *7171:A3 1.53785e-05
16 *7171:B1 *911:42 0.000111802
17 *7171:B1 *923:27 0.000367233
18 *7171:B1 *1096:797 0
19 *7175:B1 *419:13 0.000260388
20 *7175:B1 *422:26 0.00097974
21 *7175:B1 *434:5 0.000194681
22 *414:14 *7160:A 0.000111708
23 *414:14 *7184:A3 0.000111722
24 *414:14 *425:26 0.000365587
25 *414:27 *419:16 0
26 *414:44 *7162:A3 0.000164829
27 *414:44 *7165:A1 0.000114584
28 *414:44 *7165:A3 9.91282e-05
29 *414:44 *7167:A3 7.50872e-05
30 *414:44 *7172:A2 0.000155052
31 *414:44 *7172:A3 0.000121564
32 *414:44 *7179:A1 0.0005768
33 *414:47 *7165:A3 2.41598e-05
34 *414:47 *911:42 7.26959e-06
35 *7152:A2 *414:44 3.91205e-05
36 *7162:A1 *414:44 1.67988e-05
37 *7165:A2 *7165:B1 0
38 *7165:A2 *414:44 2.82121e-05
39 *7171:A2 *7171:B1 7.86825e-06
40 *7179:A2 *414:14 5.47736e-05
41 *7179:A2 *414:27 8.82194e-05
42 *7184:A2 *414:14 0
43 *401:8 *7171:B1 1.05295e-05
44 *405:27 *414:14 0.000426119
45 *406:27 *414:44 0.000254261
46 *406:59 *414:44 1.1095e-05
47 *408:12 *414:47 0
48 *410:33 *414:27 0
49 *413:14 *414:44 3.20069e-06
*RES
1 *7160:X *414:14 24.716
2 *414:14 *7175:B1 26.6979
3 *414:14 *414:27 8.40826
4 *414:27 *7179:B1 9.24915
5 *414:27 *414:44 32.6181
6 *414:44 *414:47 6.74725
7 *414:47 *7161:B1 9.24915
8 *414:47 *7171:B1 27.0928
9 *414:44 *7165:B1 15.8893
*END
*D_NET *415 0.00148159
*CONN
*I *7162:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7161:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7162:A3 0.000190023
2 *7161:X 0.000190023
3 *7162:A3 *7167:A3 0.000211492
4 *7162:A3 *7172:A2 0.000202283
5 *7162:A3 *7172:B1 2.39535e-05
6 *7162:A3 *7179:A1 2.41483e-05
7 *7162:A1 *7162:A3 0.000211492
8 *406:33 *7162:A3 0.000263343
9 *414:44 *7162:A3 0.000164829
*RES
1 *7161:X *7162:A3 36.7356
*END
*D_NET *416 0.00371695
*CONN
*I *7163:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7162:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7163:B1 0
2 *7162:X 0.0011279
3 *416:8 0.0011279
4 *416:8 *7154:A1 7.58595e-05
5 *416:8 *7154:A2 6.28407e-05
6 *416:8 *7163:A1 5.22654e-06
7 *416:8 *7171:A3 0
8 *416:8 *7172:A2 0
9 *416:8 *7388:CLK 0
10 *416:8 *420:10 0.000630154
11 *416:8 *898:45 8.01716e-05
12 *7162:A1 *416:8 0.000251669
13 *7172:A1 *416:8 6.14128e-05
14 *7389:D *416:8 0.000139435
15 *406:27 *416:8 8.34394e-05
16 *410:44 *416:8 7.09395e-05
*RES
1 *7162:X *416:8 46.6236
2 *416:8 *7163:B1 13.7491
*END
*D_NET *417 0.0152984
*CONN
*I *7167:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7164:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7167:A2 0.000198694
2 *7164:X 0.00126574
3 *417:26 0.00351299
4 *417:11 0.00458003
5 *7167:A2 *7167:A3 8.9031e-06
6 *7167:A2 *7167:B1 6.08467e-05
7 *7167:A2 *7171:A3 0.00105832
8 *7167:A2 *911:42 9.64386e-06
9 *7167:A2 *923:27 0.000365048
10 *417:11 *7008:A2 0.00042812
11 *417:11 *7169:B2 0
12 *417:11 *740:52 0.000738238
13 *417:11 *947:22 0.000144528
14 *417:26 *6999:A1 3.15932e-05
15 *417:26 *6999:A2 2.65667e-05
16 *417:26 *6999:B1 4.54879e-05
17 *417:26 *6999:C1 6.50586e-05
18 *417:26 *7005:B1 0.000171288
19 *417:26 *7006:C 0.0010441
20 *417:26 *7185:A2 0
21 *417:26 *594:39 0.000472804
22 *417:26 *791:7 0.000340511
23 *417:26 *791:28 2.15184e-05
24 *417:26 *923:27 1.5714e-05
25 *417:26 *1096:853 0
26 *7161:A2 *7167:A2 0.000100396
27 *7167:A1 *7167:A2 1.19721e-05
28 *7171:A2 *417:26 0.000201088
29 *406:33 *7167:A2 0.000360145
30 *408:12 *417:26 1.9101e-05
*RES
1 *7164:X *417:11 40.6444
2 *417:11 *417:26 45.7504
3 *417:26 *7167:A2 26.1433
*END
*D_NET *418 0.00131094
*CONN
*I *7167:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7165:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7167:A3 0.000442601
2 *7165:X 0.000442601
3 *7167:A3 *7167:B1 7.92757e-06
4 *7167:A3 *7171:A3 2.85531e-06
5 *7162:A3 *7167:A3 0.000211492
6 *7165:A2 *7167:A3 3.00073e-05
7 *7167:A1 *7167:A3 8.15376e-05
8 *7167:A2 *7167:A3 8.9031e-06
9 *406:33 *7167:A3 7.92757e-06
10 *414:44 *7167:A3 7.50872e-05
*RES
1 *7165:X *7167:A3 35.6185
*END
*D_NET *419 0.00514639
*CONN
*I *7176:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7185:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7172:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7167:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7180:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7166:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7176:B1 0.000178725
2 *7185:B1 0.000265684
3 *7172:B1 7.80267e-05
4 *7167:B1 2.26212e-05
5 *7180:B1 0
6 *7166:X 0.00026757
7 *419:16 0.000337416
8 *419:13 0.000655703
9 *419:8 0.000153251
10 *419:6 0.000446295
11 *7172:B1 *7172:A2 3.97254e-05
12 *7176:B1 *7180:A3 6.23875e-05
13 *7176:B1 *422:36 7.52542e-05
14 *7176:B1 *913:10 0
15 *7185:B1 *7185:A1 5.07363e-05
16 *7185:B1 *7185:A2 1.6554e-05
17 *7185:B1 *7185:A3 4.94217e-05
18 *7185:B1 *434:5 0.00033061
19 *7185:B1 *911:42 1.54299e-05
20 *419:6 *7160:A 0
21 *419:6 *7166:A 3.67528e-06
22 *419:6 *7180:A2 0.000117607
23 *419:6 *7184:A3 5.82465e-05
24 *419:6 *422:36 1.55462e-05
25 *419:6 *822:50 0
26 *419:13 *434:5 0.000235436
27 *419:16 *7172:A2 1.61918e-05
28 *7162:A3 *7172:B1 2.39535e-05
29 *7167:A1 *7167:B1 5.04829e-06
30 *7167:A2 *7167:B1 6.08467e-05
31 *7167:A3 *7167:B1 7.92757e-06
32 *7172:A1 *7172:B1 0.000148129
33 *7175:B1 *419:13 0.000260388
34 *7179:A2 *419:6 5.68225e-06
35 *7180:A1 *7176:B1 5.41227e-05
36 *406:27 *7176:B1 1.65872e-05
37 *406:33 *7172:B1 8.62625e-06
38 *406:33 *419:16 0.000155272
39 *410:20 *7176:B1 0.000395973
40 *410:33 *419:16 0.000160384
41 *410:44 *7172:B1 4.70104e-05
42 *410:44 *419:16 0.000134323
43 *413:14 *7185:B1 0.00017
44 *413:14 *419:16 0
45 *414:27 *419:16 0
*RES
1 *7166:X *419:6 20.5642
2 *419:6 *419:8 4.5
3 *419:8 *7180:B1 9.24915
4 *419:8 *419:13 6.26943
5 *419:13 *419:16 11.315
6 *419:16 *7167:B1 14.4725
7 *419:16 *7172:B1 17.2421
8 *419:13 *7185:B1 27.1993
9 *419:6 *7176:B1 20.7386
*END
*D_NET *420 0.00346114
*CONN
*I *7168:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7167:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7168:B1 0
2 *7167:X 2.50391e-05
3 *420:10 0.00123745
4 *420:7 0.00126249
5 *420:7 *7171:A3 1.84293e-05
6 *420:10 *7163:A1 0
7 *420:10 *7391:CLK 0
8 *420:10 *1024:9 0
9 *7163:A2 *420:10 0
10 *7389:D *420:10 0.000143047
11 *7390:D *420:10 0.000144531
12 *416:8 *420:10 0.000630154
*RES
1 *7167:X *420:7 14.4725
2 *420:7 *420:10 41.2132
3 *420:10 *7168:B1 9.24915
*END
*D_NET *421 0.0222599
*CONN
*I *7172:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7169:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7172:A2 0.000333649
2 *7169:X 0
3 *421:13 0.00285509
4 *421:10 0.00257378
5 *421:5 0.00369639
6 *421:4 0.00364405
7 *7172:A2 *7172:A3 0.000277488
8 *421:5 *7020:B1 0.000583244
9 *421:10 *929:9 7.14746e-05
10 *421:10 *1107:28 0
11 *421:13 *7179:A1 0.00190384
12 *421:13 *594:31 0.000297357
13 *421:13 *1096:822 7.48797e-05
14 *7159:A2 *421:5 4.89898e-06
15 *7162:A3 *7172:A2 0.000202283
16 *7172:B1 *7172:A2 3.97254e-05
17 *7302:D *421:13 4.40253e-05
18 *413:5 *421:5 0.00548644
19 *414:44 *7172:A2 0.000155052
20 *416:8 *7172:A2 0
21 *419:16 *7172:A2 1.61918e-05
*RES
1 *7169:X *421:4 9.24915
2 *421:4 *421:5 65.612
3 *421:5 *421:10 10.4167
4 *421:10 *421:13 46.8187
5 *421:13 *7172:A2 24.7517
*END
*D_NET *422 0.0101123
*CONN
*I *7175:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7171:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7179:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7184:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7188:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7170:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7175:A3 0
2 *7171:A3 0.00118151
3 *7179:A3 0
4 *7184:A3 9.63375e-05
5 *7188:A3 0.000389291
6 *7170:X 0.000213365
7 *422:36 0.00129515
8 *422:26 0.000970682
9 *422:21 0.000760704
10 *422:8 0.000602656
11 *7171:A3 *913:10 0
12 *7171:A3 *923:27 1.92172e-05
13 *7184:A3 *425:26 2.41483e-05
14 *7188:A3 *7042:A 3.75603e-05
15 *7188:A3 *7042:B 7.65861e-05
16 *7188:A3 *7188:A1 7.77695e-05
17 *7188:A3 *7188:B1 2.16355e-05
18 *7188:A3 *595:13 5.51483e-06
19 *7188:A3 *855:39 0.000425065
20 *7188:A3 *927:19 0.000144727
21 *422:8 *7132:B1 0.000459901
22 *422:8 *7176:A3 0.000107496
23 *422:8 *855:39 0.000153257
24 *422:8 *905:8 0
25 *422:8 *927:19 5.22654e-06
26 *422:8 *1021:9 6.47268e-05
27 *422:26 *434:5 1.10258e-05
28 *7167:A2 *7171:A3 0.00105832
29 *7167:A3 *7171:A3 2.85531e-06
30 *7171:B1 *7171:A3 1.53785e-05
31 *7175:A2 *422:26 1.43983e-05
32 *7175:B1 *422:26 0.00097974
33 *7176:B1 *422:36 7.52542e-05
34 *7179:A2 *7171:A3 3.67708e-05
35 *7179:A2 *7184:A3 0.000139435
36 *7179:A2 *422:36 0.000237038
37 *7184:A2 *7184:A3 0.00011818
38 *405:21 *7188:A3 1.00981e-05
39 *405:27 *7184:A3 6.50586e-05
40 *406:27 *422:8 7.92757e-06
41 *410:20 *7171:A3 4.3116e-06
42 *410:33 *7171:A3 0
43 *410:44 *7171:A3 0
44 *414:14 *7184:A3 0.000111722
45 *416:8 *7171:A3 0
46 *419:6 *7184:A3 5.82465e-05
47 *419:6 *422:36 1.55462e-05
48 *420:7 *7171:A3 1.84293e-05
*RES
1 *7170:X *422:8 21.9871
2 *422:8 *7188:A3 24.7705
3 *422:8 *422:21 4.5
4 *422:21 *422:26 17.8587
5 *422:26 *7184:A3 18.2442
6 *422:26 *422:36 4.32351
7 *422:36 *7179:A3 13.7491
8 *422:36 *7171:A3 34.9306
9 *422:21 *7175:A3 9.24915
*END
*D_NET *423 0.00232824
*CONN
*I *7172:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7171:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7172:A3 0.000689562
2 *7171:X 0.000689562
3 *7172:A3 *7165:A1 5.74376e-05
4 *7172:A3 *7165:A3 6.74827e-06
5 *7172:A3 *898:45 0.000171288
6 *7165:B1 *7172:A3 2.57847e-05
7 *7172:A2 *7172:A3 0.000277488
8 *401:8 *7172:A3 8.8567e-05
9 *408:12 *7172:A3 0.000200236
10 *414:44 *7172:A3 0.000121564
*RES
1 *7171:X *7172:A3 44.133
*END
*D_NET *424 0.00913006
*CONN
*I *7173:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7172:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7173:B1 5.5485e-05
2 *7172:X 0.00166898
3 *424:11 0.00192438
4 *424:7 0.00353788
5 *424:7 *7043:A 7.85867e-05
6 *424:7 *898:32 0.000707213
7 *424:7 *898:45 6.99542e-05
8 *424:11 *7173:A1 0.000222149
9 *424:11 *7201:A1 0
10 *424:11 *437:14 0
11 *424:11 *439:8 0.000327461
12 *424:11 *439:20 0.000222684
13 *424:11 *440:12 0
14 *424:11 *440:21 0
15 *424:11 *898:32 0
16 *424:11 *1026:12 0.000195339
17 *424:11 *1039:19 0
18 *424:11 *1045:15 0
19 *409:19 *7173:B1 3.01683e-06
20 *409:19 *424:11 0.00011693
*RES
1 *7172:X *424:7 41.0935
2 *424:7 *424:11 49.4237
3 *424:11 *7173:B1 10.8527
*END
*D_NET *425 0.0182964
*CONN
*I *7176:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7174:X O *D sky130_fd_sc_hd__a221o_2
*CAP
1 *7176:A2 0
2 *7174:X 0
3 *425:26 0.00094677
4 *425:11 0.00348456
5 *425:7 0.00414224
6 *425:4 0.00160445
7 *425:7 *7174:B2 0.000226475
8 *425:7 *944:10 0.000118166
9 *425:11 *7184:A1 0.000942094
10 *425:11 *7364:CLK 2.65831e-05
11 *425:26 *7153:A1 5.64484e-05
12 *425:26 *7160:A 0.000111708
13 *425:26 *7184:A1 0.000172939
14 *425:26 *7185:A3 0.000769134
15 *425:26 *430:10 0.000123582
16 *425:26 *589:7 7.02172e-06
17 *425:26 *913:10 0.000331044
18 *425:26 *1096:788 0.000101133
19 *425:26 *1113:12 9.75356e-05
20 *7153:A3 *425:26 5.13446e-06
21 *7184:A2 *425:26 1.41291e-05
22 *7184:A3 *425:26 2.41483e-05
23 *402:25 *425:11 7.02172e-06
24 *402:25 *425:26 0.00088476
25 *402:29 *425:7 0.00146039
26 *402:29 *425:11 0.00014984
27 *403:23 *425:7 5.68799e-05
28 *404:25 *425:11 0.00106705
29 *404:25 *425:26 0.00099954
30 *410:11 *425:26 0
31 *414:14 *425:26 0.000365587
*RES
1 *7174:X *425:4 9.24915
2 *425:4 *425:7 45.3931
3 *425:7 *425:11 48.2052
4 *425:11 *425:26 47.3197
5 *425:26 *7176:A2 13.7491
*END
*D_NET *426 0.00130485
*CONN
*I *7176:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7175:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7176:A3 0.000246209
2 *7175:X 0.000246209
3 *7176:A3 *7132:B1 8.90486e-05
4 *7176:A3 *855:39 0.000116971
5 *406:27 *7176:A3 0.000331839
6 *410:11 *7176:A3 0.000167076
7 *422:8 *7176:A3 0.000107496
*RES
1 *7175:X *7176:A3 37.1242
*END
*D_NET *427 0.00609882
*CONN
*I *7177:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7176:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7177:B1 0.000601209
2 *7176:X 3.91978e-05
3 *427:10 0.00177468
4 *427:7 0.00121267
5 *7177:B1 *7177:A1 0.000228593
6 *427:10 *7199:A1 0.000102707
7 *427:10 *430:10 0.000519799
8 *427:10 *1032:8 0
9 *427:10 *1032:17 0
10 *427:10 *1059:16 0.000696311
11 *427:10 *1060:16 0.000342319
12 *7387:D *427:10 4.27003e-05
13 *7394:D *427:10 0.00015324
14 *7402:D *427:10 0
15 *406:27 *427:7 6.92705e-05
16 *409:19 *7177:B1 3.12751e-05
17 *409:30 *7177:B1 0.000284848
*RES
1 *7176:X *427:7 14.4725
2 *427:7 *427:10 45.781
3 *427:10 *7177:B1 20.3894
*END
*D_NET *428 0.0192599
*CONN
*I *7180:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7178:X O *D sky130_fd_sc_hd__a221o_2
*CAP
1 *7180:A2 0.000227557
2 *7178:X 0.00154446
3 *428:27 0.00247029
4 *428:24 0.00431579
5 *428:11 0.00361752
6 *7180:A2 *7160:A 0
7 *7180:A2 *913:10 0
8 *428:11 *7178:A1 0.000167076
9 *428:11 *7178:B2 1.15389e-05
10 *428:11 *597:33 0.000490606
11 *428:24 *6987:B 0
12 *428:24 *6993:A2 0.000370815
13 *428:24 *6993:B1 6.50727e-05
14 *428:24 *6994:A 3.91685e-05
15 *428:24 *6994:B 1.19721e-05
16 *428:24 *6999:C1 1.17108e-05
17 *428:24 *597:33 0.00111433
18 *428:24 *910:11 0
19 *428:24 *944:21 0.000202424
20 *428:24 *1096:822 2.33103e-06
21 *428:27 *595:17 0.0030887
22 *428:27 *597:31 0.00028978
23 *7362:D *428:11 0.000363576
24 *7362:D *428:24 2.29814e-05
25 *402:42 *428:11 0.000714603
26 *419:6 *7180:A2 0.000117607
*RES
1 *7178:X *428:11 45.3948
2 *428:11 *428:24 32.7529
3 *428:24 *428:27 44.6003
4 *428:27 *7180:A2 19.3184
*END
*D_NET *429 0.00052683
*CONN
*I *7180:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7179:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7180:A3 0.000206984
2 *7179:X 0.000206984
3 *7180:A3 *913:10 0
4 *7176:B1 *7180:A3 6.23875e-05
5 *7180:A1 *7180:A3 2.57071e-05
6 *410:20 *7180:A3 2.47663e-05
*RES
1 *7179:X *7180:A3 31.5781
*END
*D_NET *430 0.00455762
*CONN
*I *7181:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7180:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7181:B1 0
2 *7180:X 0.000138071
3 *430:10 0.00132295
4 *430:7 0.00146102
5 *430:7 *434:5 0.00021569
6 *430:10 *7199:A1 0.00022778
7 *430:10 *7391:CLK 0
8 *430:10 *913:10 0.000175033
9 *430:10 *1024:9 0
10 *430:10 *1032:8 6.80864e-05
11 *430:10 *1040:9 0.000101148
12 *430:10 *1059:16 0
13 *7387:D *430:10 0.000101133
14 *7394:D *430:10 6.31809e-05
15 *410:11 *430:10 4.01437e-05
16 *425:26 *430:10 0.000123582
17 *427:10 *430:10 0.000519799
*RES
1 *7180:X *430:7 16.1364
2 *430:7 *430:10 47.0267
3 *430:10 *7181:B1 9.24915
*END
*D_NET *431 0.00665434
*CONN
*I *7190:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7186:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7192:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7193:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7194:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7182:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7190:A2 0.000303915
2 *7186:A2 9.66156e-05
3 *7192:A2 7.87083e-06
4 *7193:A2 0.000118521
5 *7194:A2 0.000156147
6 *7182:X 0.000264772
7 *431:49 0.000773246
8 *431:38 0.000482325
9 *431:25 0.000309933
10 *431:7 0.000510592
11 *7186:A2 *1026:15 0.000326398
12 *7190:A2 *1026:15 0.00048572
13 *7192:A2 *7192:B1 1.67329e-05
14 *7193:A2 *7193:A1 7.21568e-05
15 *7193:A2 *7193:B2 3.27324e-05
16 *7193:A2 *438:15 0.000243411
17 *7193:A2 *1038:22 6.08467e-05
18 *7193:A2 *1040:25 3.98262e-05
19 *7194:A2 *7194:A1 0.000133195
20 *7194:A2 *7194:B1 6.7346e-05
21 *7194:A2 *7194:C1 0.000113034
22 *7194:A2 *470:30 9.0714e-05
23 *7194:A2 *1038:22 6.82657e-05
24 *431:7 *7182:A 6.50586e-05
25 *431:7 *1025:23 0.00115451
26 *431:25 *438:16 7.77309e-06
27 *431:25 *470:30 3.94365e-05
28 *431:25 *1038:22 0.000139435
29 *431:38 *7192:C1 5.01668e-05
30 *431:38 *438:16 1.44611e-05
31 *431:38 *470:30 5.75903e-05
32 *431:49 *7396:CLK 0
33 *431:49 *470:30 3.89332e-06
34 *431:49 *1036:10 9.75356e-05
35 *7397:D *431:49 9.60216e-05
36 *7398:D *431:49 0
37 *7399:D *431:7 0.000154145
*RES
1 *7182:X *431:7 26.1192
2 *431:7 *7194:A2 20.6168
3 *431:7 *431:25 3.07775
4 *431:25 *7193:A2 18.5778
5 *431:25 *431:38 3.493
6 *431:38 *7192:A2 13.9481
7 *431:38 *431:49 14.2218
8 *431:49 *7186:A2 12.7456
9 *431:49 *7190:A2 27.3176
*END
*D_NET *432 0.0170118
*CONN
*I *7185:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7183:X O *D sky130_fd_sc_hd__a221o_2
*CAP
1 *7185:A2 0.00108365
2 *7183:X 0.00181212
3 *432:21 0.00179933
4 *432:17 0.00108615
5 *432:14 0.00218259
6 *7185:A2 *7144:B1 0
7 *7185:A2 *7145:A1 4.3116e-06
8 *7185:A2 *7152:B1 0
9 *7185:A2 *7153:A1 1.3019e-05
10 *7185:A2 *7185:A3 2.80489e-06
11 *7185:A2 *435:21 6.39281e-05
12 *432:14 *7169:A1 6.01588e-05
13 *432:14 *7183:A1 1.37274e-05
14 *432:14 *589:45 1.30304e-05
15 *432:14 *779:20 0
16 *432:14 *819:39 0.00170039
17 *432:14 *901:25 3.29488e-05
18 *432:17 *6988:A2 0.000111708
19 *432:17 *435:9 0.00222464
20 *432:17 *776:13 0.000538827
21 *432:17 *914:24 0.000127051
22 *432:17 *943:7 4.31539e-05
23 *432:17 *943:17 9.40969e-05
24 *432:17 *943:27 0.000178114
25 *432:21 *7130:A1 7.39264e-05
26 *432:21 *435:9 0.000642554
27 *432:21 *594:26 0.000251239
28 *432:21 *914:24 0.00114704
29 *432:21 *921:27 0.00079792
30 *7145:A3 *7185:A2 0.000116986
31 *7152:A2 *7185:A2 2.05331e-05
32 *7171:A2 *7185:A2 0
33 *7185:B1 *7185:A2 1.6554e-05
34 *7363:D *432:17 0.000106635
35 *401:8 *7185:A2 0.000640009
36 *408:12 *7185:A2 1.26629e-05
37 *417:26 *7185:A2 0
*RES
1 *7183:X *432:14 31.8585
2 *432:14 *432:17 25.0054
3 *432:17 *432:21 42.3818
4 *432:21 *7185:A2 39.4781
*END
*D_NET *433 0.00210794
*CONN
*I *7185:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7184:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7185:A3 0.000567885
2 *7184:X 0.000567885
3 *7185:A3 *7185:A1 9.18762e-05
4 *7152:A2 *7185:A3 5.89336e-05
5 *7185:A2 *7185:A3 2.80489e-06
6 *7185:B1 *7185:A3 4.94217e-05
7 *425:26 *7185:A3 0.000769134
*RES
1 *7184:X *7185:A3 38.8
*END
*D_NET *434 0.0126178
*CONN
*I *7186:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7185:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7186:B1 0
2 *7185:X 0
3 *434:10 0.0013461
4 *434:5 0.00266587
5 *434:4 0.00131976
6 *434:5 *481:36 0.0029596
7 *434:5 *1102:9 0.00227984
8 *434:10 *7186:A1 5.22654e-06
9 *434:10 *7396:CLK 0.00011191
10 *434:10 *470:30 0
11 *434:10 *1054:26 0
12 *434:10 *1096:1627 3.93117e-06
13 *434:10 *1096:1630 0
14 *434:10 *1096:1682 0
15 *7175:A2 *434:5 0.000158371
16 *7175:B1 *434:5 0.000194681
17 *7185:B1 *434:5 0.00033061
18 *405:21 *434:5 4.41404e-05
19 *406:27 *434:5 0.00016531
20 *410:33 *434:5 0.000570342
21 *419:13 *434:5 0.000235436
22 *422:26 *434:5 1.10258e-05
23 *430:7 *434:5 0.00021569
*RES
1 *7185:X *434:4 9.24915
2 *434:4 *434:5 60.066
3 *434:5 *434:10 41.5607
4 *434:10 *7186:B1 9.24915
*END
*D_NET *435 0.0240819
*CONN
*I *7189:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7187:X O *D sky130_fd_sc_hd__a221o_2
*CAP
1 *7189:A2 0
2 *7187:X 4.98087e-05
3 *435:21 0.00130961
4 *435:9 0.00760291
5 *435:8 0.00634311
6 *435:8 *803:14 3.00073e-05
7 *435:8 *1044:18 1.79807e-05
8 *435:9 *6978:A 2.85274e-05
9 *435:9 *6980:A 0.000119076
10 *435:9 *6991:B 1.18677e-05
11 *435:9 *7013:B 2.41483e-05
12 *435:9 *7126:A 2.16355e-05
13 *435:9 *7130:A1 0.000895417
14 *435:9 *7143:A1 0.000164829
15 *435:9 *7143:A2 1.65872e-05
16 *435:9 *7158:A 1.41307e-05
17 *435:9 *7187:B2 0.000271058
18 *435:9 *7187:C1 0.00028122
19 *435:9 *776:13 5.60798e-05
20 *435:9 *943:27 2.63704e-05
21 *435:21 *7141:A3 6.3657e-05
22 *435:21 *7145:A1 0.000444572
23 *435:21 *7145:B1 0.000113968
24 *435:21 *7185:A1 0.000571279
25 *435:21 *7189:A1 3.38808e-05
26 *435:21 *7189:B1 0.000174836
27 *435:21 *822:5 0.000483474
28 *435:21 *822:50 0.000213725
29 *435:21 *845:23 0.000940547
30 *435:21 *899:30 2.41483e-05
31 *435:21 *899:58 0.000102017
32 *435:21 *908:5 0.000313495
33 *435:21 *908:9 0.000107496
34 *7145:A3 *435:21 0.000116986
35 *7185:A2 *435:21 6.39281e-05
36 *402:12 *435:21 7.97098e-06
37 *412:8 *435:9 0.000154366
38 *432:17 *435:9 0.00222464
39 *432:21 *435:9 0.000642554
*RES
1 *7187:X *435:8 19.6659
2 *435:8 *435:9 102.77
3 *435:9 *435:21 48.7806
4 *435:21 *7189:A2 9.24915
*END
*D_NET *436 0.00031217
*CONN
*I *7189:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7188:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7189:A3 4.36549e-05
2 *7188:X 4.36549e-05
3 *7189:A3 *7042:A 7.86847e-05
4 *7189:A3 *827:21 2.04806e-05
5 *7189:A3 *909:8 0.000125695
*RES
1 *7188:X *7189:A3 29.7455
*END
*D_NET *437 0.00912217
*CONN
*I *7190:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7189:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7190:B1 0.000571718
2 *7189:X 0.00122892
3 *437:14 0.00152239
4 *437:10 0.00217959
5 *7190:B1 *7404:CLK 0.000479276
6 *7190:B1 *1027:7 0.000167385
7 *7190:B1 *1027:13 3.75603e-05
8 *437:10 *6720:B 0.000231859
9 *437:10 *6720:C 0.000123582
10 *437:10 *6724:B 0
11 *437:10 *7132:A2 0
12 *437:14 *6724:B 0.000218371
13 *437:14 *7385:CLK 0.000117906
14 *437:14 *438:8 0
15 *437:14 *439:8 0
16 *437:14 *1039:12 0.000181098
17 *437:14 *1039:19 0.000998716
18 *437:14 *1058:16 0
19 *437:14 *1096:1709 0
20 *437:14 *1096:1714 0
21 *437:14 *1096:1739 0
22 *437:14 *1096:1776 0
23 *437:14 *1096:1789 0
24 *7385:D *437:10 2.55493e-05
25 *402:12 *437:10 0.000893198
26 *405:21 *437:10 0.000145045
27 *409:10 *437:14 0
28 *424:11 *437:14 0
*RES
1 *7189:X *437:10 41.8005
2 *437:10 *437:14 34.8989
3 *437:14 *7190:B1 24.971
*END
*D_NET *438 0.0228358
*CONN
*I *7203:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *7197:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7192:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7193:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7194:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7191:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *7203:B1 0
2 *7197:C1 1.75467e-05
3 *7192:C1 0.000253711
4 *7193:C1 0
5 *7194:C1 0.000346958
6 *7191:X 0
7 *438:66 0.00119973
8 *438:58 0.00325014
9 *438:57 0.00214499
10 *438:16 0.000379887
11 *438:15 0.000816105
12 *438:8 0.0015647
13 *438:4 0.00111227
14 *7192:C1 *7192:B1 0.000262658
15 *7192:C1 *7192:B2 1.43779e-05
16 *7192:C1 *1036:11 3.70451e-05
17 *7194:C1 *7193:B2 6.28189e-05
18 *7194:C1 *7194:A1 8.08997e-05
19 *7194:C1 *7194:B1 9.31675e-06
20 *7194:C1 *7194:B2 1.15389e-05
21 *7194:C1 *829:40 8.09499e-06
22 *7194:C1 *1038:22 0.000210977
23 *7197:C1 *7197:B2 6.50727e-05
24 *7197:C1 *1040:25 1.15389e-05
25 *7197:C1 *1096:1739 0.00011818
26 *438:8 *7147:B 0
27 *438:8 *7155:A 0
28 *438:8 *7191:A 2.22198e-05
29 *438:8 *835:25 0
30 *438:8 *1058:16 0.00191323
31 *438:8 *1096:1714 4.55235e-05
32 *438:15 *7193:A1 0.000207125
33 *438:15 *7197:B2 0
34 *438:15 *1040:25 9.52303e-05
35 *438:15 *1096:1739 0.000118166
36 *438:16 *7192:B1 9.98029e-06
37 *438:16 *7193:B2 5.22654e-06
38 *438:57 *838:25 1.82679e-05
39 *438:58 *6694:A 0.000392408
40 *438:58 *6694:C 0
41 *438:58 *6704:C 0.000157738
42 *438:58 *7067:B2 0.000145074
43 *438:58 *7072:A2 0
44 *438:58 *7072:A3 3.90891e-05
45 *438:58 *527:8 0
46 *438:58 *569:17 0.000370698
47 *438:58 *569:26 0.000180507
48 *438:58 *570:8 0
49 *438:58 *577:8 0
50 *438:58 *860:10 5.79544e-05
51 *438:58 *1058:16 0.00440576
52 *438:58 *1108:40 0.000292194
53 *438:66 *7059:A2 6.50727e-05
54 *438:66 *626:11 0.000447628
55 *438:66 *1000:9 0.000459901
56 *438:66 *1017:8 0.000201699
57 *438:66 *1017:20 0.000210475
58 *438:66 *1096:119 0.000184931
59 *438:66 *1098:33 6.94963e-05
60 *438:66 *1125:18 0
61 *438:66 *1136:33 0
62 *7193:A2 *438:15 0.000243411
63 *7194:A2 *7194:C1 0.000113034
64 *7374:D *438:66 9.18559e-06
65 *7398:D *438:15 6.92705e-05
66 *7399:D *7194:C1 0.000153225
67 *7405:D *438:66 4.99151e-05
68 *405:9 *438:8 3.12044e-05
69 *431:25 *438:16 7.77309e-06
70 *431:38 *7192:C1 5.01668e-05
71 *431:38 *438:16 1.44611e-05
72 *437:14 *438:8 0
*RES
1 *7191:X *438:4 9.24915
2 *438:4 *438:8 43.2217
3 *438:8 *438:15 20.2459
4 *438:15 *438:16 1.00149
5 *438:16 *7194:C1 24.2187
6 *438:16 *7193:C1 13.7491
7 *438:15 *7192:C1 21.3736
8 *438:8 *7197:C1 10.5271
9 *438:4 *438:57 5.778
10 *438:57 *438:58 79.4842
11 *438:58 *438:66 47.2329
12 *438:66 *7203:B1 9.24915
*END
*D_NET *439 0.00565947
*CONN
*I *7199:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7198:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7201:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7200:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7197:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7195:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7199:A2 0.000344123
2 *7198:A2 0.000254429
3 *7201:A2 0.00021541
4 *7200:A2 7.86085e-06
5 *7197:A2 1.39369e-05
6 *7195:X 0.000218335
7 *439:41 0.000847197
8 *439:23 0.000333456
9 *439:20 0.000703881
10 *439:8 0.000577324
11 *7197:A2 *7197:B1 0.00014472
12 *7197:A2 *1096:1739 0.00014472
13 *7198:A2 *7198:A1 1.41976e-05
14 *7198:A2 *7198:B2 1.90494e-05
15 *7198:A2 *7387:CLK 8.36326e-05
16 *7198:A2 *855:46 0
17 *7198:A2 *1040:9 2.53528e-06
18 *7198:A2 *1060:16 0
19 *7198:A2 *1096:1754 7.2401e-05
20 *7199:A2 *7199:A1 1.67329e-05
21 *7199:A2 *7199:B2 1.67329e-05
22 *7199:A2 *855:46 0
23 *7199:A2 *1060:16 0
24 *7199:A2 *1096:1754 0.00015709
25 *7200:A2 *7200:B2 5.94977e-06
26 *7200:A2 *1106:9 2.84359e-06
27 *7201:A2 *7200:A1 0.000137389
28 *7201:A2 *7201:A1 1.07248e-05
29 *7201:A2 *7201:B1 5.04879e-05
30 *7201:A2 *7201:B2 1.67329e-05
31 *7201:A2 *440:28 4.09467e-05
32 *7201:A2 *855:58 5.94977e-06
33 *7201:A2 *1026:12 0
34 *7201:A2 *1063:72 0
35 *439:8 *1039:12 4.02608e-05
36 *439:8 *1039:19 0
37 *439:20 *1026:12 7.88559e-05
38 *439:20 *1039:19 0
39 *439:23 *7200:A1 1.07248e-05
40 *439:23 *440:21 6.31809e-05
41 *439:23 *440:28 1.2693e-05
42 *439:23 *1063:72 0
43 *439:41 *1026:12 0.000116256
44 *439:41 *1096:1754 0.000113968
45 *7400:D *439:8 9.60366e-05
46 *7401:D *7198:A2 4.27003e-05
47 *7403:D *7201:A2 7.58595e-05
48 *424:11 *439:8 0.000327461
49 *424:11 *439:20 0.000222684
50 *437:14 *439:8 0
*RES
1 *7195:X *439:8 21.0117
2 *439:8 *7197:A2 15.3044
3 *439:8 *439:20 14.1231
4 *439:20 *439:23 7.57775
5 *439:23 *7200:A2 13.9481
6 *439:23 *7201:A2 20.7631
7 *439:20 *439:41 6.82404
8 *439:41 *7198:A2 25.2631
9 *439:41 *7199:A2 27.0957
*END
*D_NET *440 0.00534355
*CONN
*I *7198:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7201:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7199:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7200:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7197:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7196:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7198:B1 7.02533e-05
2 *7201:B1 7.01116e-05
3 *7199:B1 0.000352174
4 *7200:B1 0
5 *7197:B1 0.00024748
6 *7196:X 9.02763e-05
7 *440:28 0.000539142
8 *440:21 0.000384901
9 *440:12 0.000644304
10 *440:8 0.000289309
11 *7197:B1 *1040:25 0.000107496
12 *7197:B1 *1096:1739 0.000225976
13 *7198:B1 *7198:B2 5.61615e-05
14 *7198:B1 *1025:21 0.000170544
15 *7198:B1 *1040:24 0.000360145
16 *7199:B1 *7199:B2 7.20477e-05
17 *7199:B1 *7199:C1 0.000171288
18 *7201:B1 *7200:C1 2.32176e-05
19 *7201:B1 *1026:12 0
20 *440:8 *1063:72 0.000174175
21 *440:12 *1025:21 0.000277488
22 *440:12 *1040:24 0.00027329
23 *440:12 *1063:72 0
24 *440:21 *1026:12 0
25 *440:21 *1063:72 0
26 *440:28 *7200:A1 0.000148114
27 *440:28 *7200:C1 6.98888e-05
28 *440:28 *1026:12 0
29 *7197:A2 *7197:B1 0.00014472
30 *7201:A2 *7201:B1 5.04879e-05
31 *7201:A2 *440:28 4.09467e-05
32 *7403:D *7199:B1 0.000213739
33 *424:11 *440:12 0
34 *424:11 *440:21 0
35 *439:23 *440:21 6.31809e-05
36 *439:23 *440:28 1.2693e-05
*RES
1 *7196:X *440:8 21.3269
2 *440:8 *440:12 9.68906
3 *440:12 *7197:B1 21.1278
4 *440:12 *440:21 6.81502
5 *440:21 *7200:B1 13.7491
6 *440:21 *440:28 4.73876
7 *440:28 *7199:B1 22.8157
8 *440:28 *7201:B1 15.9964
9 *440:8 *7198:B1 13.3002
*END
*D_NET *441 0.000397255
*CONN
*I *7203:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *7202:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7203:A1 5.99649e-05
2 *7202:Y 5.99649e-05
3 *7203:A1 *7203:A2 0.000138663
4 *7203:A1 *1017:8 0.000138663
*RES
1 *7202:Y *7203:A1 30.1608
*END
*D_NET *442 0.00923007
*CONN
*I *7205:A2 I *D sky130_fd_sc_hd__o21a_1
*I *7208:A_N I *D sky130_fd_sc_hd__and3b_2
*I *7204:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *7205:A2 0.000433424
2 *7208:A_N 0
3 *7204:Y 0.000775617
4 *442:15 0.00178999
5 *442:10 0.00213219
6 *7205:A2 *6630:A 8.78837e-05
7 *7205:A2 *7205:B1 2.41483e-05
8 *7205:A2 *7208:C 0.000478278
9 *7205:A2 *445:5 0.000381471
10 *7205:A2 *478:18 3.71504e-05
11 *7205:A2 *479:19 0.000260388
12 *7205:A2 *479:24 6.08467e-05
13 *7205:A2 *514:15 0.000507219
14 *7205:A2 *520:12 9.82896e-06
15 *7205:A2 *834:13 0.00102248
16 *7205:A2 *1131:17 0.000196737
17 *442:10 *7204:A 0.000419679
18 *442:10 *7210:A0 5.04879e-05
19 *442:10 *7214:A0 6.71192e-05
20 *442:10 *7611:A 0.000148951
21 *442:10 *982:27 2.65831e-05
22 *442:10 *1096:71 5.42963e-05
23 *442:10 *1096:74 0
24 *442:10 *1096:86 0
25 *442:15 *7078:A2 0
26 *442:15 *7091:A1 0
27 *442:15 *7100:B1 0.000200236
28 *442:15 *445:5 6.50727e-05
29 *442:15 *968:17 0
30 *442:15 *1049:19 0
31 *442:15 *1096:86 0
32 *442:15 *1177:22 0
*RES
1 *7204:Y *442:10 34.881
2 *442:10 *442:15 37.381
3 *442:15 *7208:A_N 9.24915
4 *442:15 *7205:A2 33.3141
*END
*D_NET *443 0.00195013
*CONN
*I *7206:B I *D sky130_fd_sc_hd__nor2_1
*I *7205:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *7206:B 0.000511597
2 *7205:X 0.000511597
3 *7206:B *511:10 7.50872e-05
4 *7206:B *520:12 6.50727e-05
5 *7206:B *632:12 1.23455e-05
6 *7206:B *969:20 0.000774434
7 *7206:B *1128:23 0
*RES
1 *7205:X *7206:B 43.757
*END
*D_NET *444 0.00666679
*CONN
*I *7260:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *7207:B1 I *D sky130_fd_sc_hd__o21a_1
*I *7262:B1_N I *D sky130_fd_sc_hd__a21boi_1
*I *7206:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *7260:B1 1.46212e-05
2 *7207:B1 0.000206623
3 *7262:B1_N 4.22056e-05
4 *7206:Y 0.00123494
5 *444:29 0.000592413
6 *444:11 0.000456962
7 *444:8 0.0013337
8 *7207:B1 *7207:A2 8.21849e-06
9 *7207:B1 *7260:A1 7.77309e-06
10 *7207:B1 *7405:CLK 7.09395e-05
11 *7207:B1 *7542:A 3.91685e-05
12 *7207:B1 *975:12 0.000126899
13 *7207:B1 *1098:33 0.000116971
14 *7207:B1 *1135:36 1.2693e-05
15 *7260:B1 *7260:A1 7.32658e-06
16 *7262:B1_N *1098:11 0.000113968
17 *444:8 *7091:B1 0.000115632
18 *444:8 *7263:A1 0.000781623
19 *444:8 *969:20 0
20 *444:8 *1056:10 0.000431675
21 *444:8 *1156:40 0.000159249
22 *444:11 *6594:A 0.000211492
23 *444:11 *1097:11 1.67988e-05
24 *444:29 *7260:A1 0.000456525
25 *444:29 *1097:11 5.85276e-05
26 *444:29 *1098:11 4.66492e-05
27 *7498:A *444:8 3.20069e-06
*RES
1 *7206:Y *444:8 48.8364
2 *444:8 *444:11 7.44181
3 *444:11 *444:29 11.4779
4 *444:29 *7262:B1_N 10.5271
5 *444:29 *7207:B1 25.0642
6 *444:11 *7260:B1 9.82786
*END
*D_NET *445 0.0122387
*CONN
*I *7242:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7253:A I *D sky130_fd_sc_hd__clkbuf_4
*I *7231:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7220:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7209:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7208:X O *D sky130_fd_sc_hd__and3b_2
*CAP
1 *7242:A 0.000265012
2 *7253:A 0.000343741
3 *7231:A 1.84885e-05
4 *7220:A 0.000171321
5 *7209:A 6.99567e-05
6 *7208:X 0.000210812
7 *445:32 0.000904813
8 *445:23 0.00189769
9 *445:14 0.00315303
10 *445:5 0.00167933
11 *7209:A *446:5 0.000117376
12 *7209:A *1131:23 4.17467e-05
13 *7220:A *7580:A 0.000174699
14 *7220:A *452:9 6.08467e-05
15 *7231:A *1038:22 0.000224395
16 *7231:A *1040:25 0.000224395
17 *7242:A *7243:S 0.000370684
18 *7242:A *464:8 1.92336e-05
19 *7242:A *1079:11 0.000183475
20 *7242:A *1131:34 0
21 *7253:A *1106:9 0.000160425
22 *445:5 *1131:17 2.20702e-05
23 *445:5 *1131:23 0.00034957
24 *445:14 *7383:CLK 0.000235535
25 *445:14 *1048:19 0
26 *445:14 *1096:1566 0.000137287
27 *445:14 *1131:24 0
28 *445:14 *1177:22 0.000296286
29 *445:23 *7229:A0 0
30 *445:23 *7234:A0 0
31 *445:23 *1131:34 0
32 *445:23 *1159:18 0
33 *445:32 *7240:S 0
34 *445:32 *1079:11 0
35 *445:32 *1131:34 0
36 *7205:A2 *445:5 0.000381471
37 *7418:D *445:23 0
38 *7421:D *7253:A 0.000459915
39 *7477:A *445:23 0
40 *442:15 *445:5 6.50727e-05
*RES
1 *7208:X *445:5 18.8462
2 *445:5 *7209:A 11.6364
3 *445:5 *445:14 41.6284
4 *445:14 *7220:A 18.9094
5 *445:14 *445:23 35.8827
6 *445:23 *7231:A 16.1364
7 *445:23 *445:32 6.81502
8 *445:32 *7253:A 24.4554
9 *445:32 *7242:A 22.6783
*END
*D_NET *446 0.00872223
*CONN
*I *7218:S I *D sky130_fd_sc_hd__mux2_1
*I *7212:S I *D sky130_fd_sc_hd__mux2_1
*I *7210:S I *D sky130_fd_sc_hd__mux2_1
*I *7214:S I *D sky130_fd_sc_hd__mux2_1
*I *7216:S I *D sky130_fd_sc_hd__mux2_1
*I *7209:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7218:S 0
2 *7212:S 5.73986e-05
3 *7210:S 4.17799e-05
4 *7214:S 0.000422211
5 *7216:S 0
6 *7209:X 0.000375574
7 *446:16 0.00053137
8 *446:12 0.000800634
9 *446:10 0.00120746
10 *446:5 0.000875941
11 *7210:S *7210:A0 0.000122378
12 *7210:S *1030:9 3.83336e-05
13 *7210:S *1126:5 0.00043038
14 *7212:S *7212:A0 0.00011818
15 *7214:S *7214:A0 7.76105e-06
16 *7214:S *7214:A1 0.000371516
17 *7214:S *7217:A 6.42019e-05
18 *446:5 *1131:23 0.000219249
19 *446:10 *7212:A0 0
20 *446:10 *7216:A1 0.000468832
21 *446:10 *7218:A0 7.21868e-05
22 *446:10 *7218:A1 5.07314e-05
23 *446:10 *7219:A 2.65831e-05
24 *446:10 *1120:8 0
25 *446:10 *1176:10 0
26 *446:12 *7210:A1 0
27 *446:12 *7211:A 5.88662e-05
28 *446:12 *7212:A0 0
29 *446:12 *7212:A1 0.000271793
30 *446:12 *7216:A1 0.00136308
31 *446:12 *7604:A 0
32 *446:16 *7216:A1 0.000177787
33 *7209:A *446:5 0.000117376
34 *7411:D *446:10 2.78228e-05
35 *7489:A *446:12 4.28475e-05
36 *7517:A *446:12 0
37 *7519:A *446:10 0
38 *7527:A *7210:S 0.000220183
39 *7527:A *446:16 0
40 *225:8 *7214:S 0.000139764
*RES
1 *7209:X *446:5 18.8462
2 *446:5 *446:10 19.3764
3 *446:10 *446:12 24.2556
4 *446:12 *446:16 7.57775
5 *446:16 *7216:S 9.24915
6 *446:16 *7214:S 21.1128
7 *446:12 *7210:S 18.3548
8 *446:10 *7212:S 15.6059
9 *446:5 *7218:S 9.24915
*END
*D_NET *447 0.000649338
*CONN
*I *7211:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7210:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7211:A 0.000121849
2 *7210:X 0.000121849
3 *7211:A *7210:A1 0
4 *7211:A *1127:11 0.000224395
5 *7407:D *7211:A 0.000122378
6 *446:12 *7211:A 5.88662e-05
*RES
1 *7210:X *7211:A 32.1327
*END
*D_NET *448 0.000931791
*CONN
*I *7213:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7212:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7213:A 0.000210783
2 *7212:X 0.000210783
3 *7213:A *7216:A1 0.000312509
4 *7213:A *1120:8 8.06983e-05
5 *7408:D *7213:A 0.000117018
*RES
1 *7212:X *7213:A 34.3456
*END
*D_NET *449 0.000502063
*CONN
*I *7215:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7214:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7215:A 0.000157983
2 *7214:X 0.000157983
3 *7215:A *7216:A0 2.65904e-05
4 *7215:A *7217:A 0.000113968
5 *7215:A *1096:1339 4.5539e-05
*RES
1 *7214:X *7215:A 31.0235
*END
*D_NET *450 0.00245232
*CONN
*I *7217:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7216:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7217:A 0.00043803
2 *7216:X 0.00043803
3 *7217:A *7214:A0 6.36477e-05
4 *7217:A *7214:A1 0.000161509
5 *7217:A *7216:A0 0.000530137
6 *7217:A *1096:1339 0
7 *7214:S *7217:A 6.42019e-05
8 *7215:A *7217:A 0.000113968
9 *225:8 *7217:A 0.000642796
*RES
1 *7216:X *7217:A 44.2188
*END
*D_NET *451 0.000600415
*CONN
*I *7219:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7218:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7219:A 0.000259577
2 *7218:X 0.000259577
3 *7219:A *7218:A1 2.81584e-05
4 *7219:A *1120:8 0
5 *7411:D *7219:A 2.652e-05
6 *7520:A *7219:A 0
7 *446:10 *7219:A 2.65831e-05
*RES
1 *7218:X *7219:A 32.548
*END
*D_NET *452 0.00495773
*CONN
*I *7229:S I *D sky130_fd_sc_hd__mux2_1
*I *7225:S I *D sky130_fd_sc_hd__mux2_1
*I *7223:S I *D sky130_fd_sc_hd__mux2_1
*I *7221:S I *D sky130_fd_sc_hd__mux2_1
*I *7227:S I *D sky130_fd_sc_hd__mux2_1
*I *7220:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7229:S 0.000166847
2 *7225:S 0
3 *7223:S 2.87479e-05
4 *7221:S 0.000448222
5 *7227:S 2.25273e-05
6 *7220:X 0
7 *452:20 0.000606617
8 *452:18 0.000724985
9 *452:9 0.000760426
10 *452:5 0.000309408
11 *7221:S *7221:A0 0.000122378
12 *7221:S *7223:A0 0
13 *7221:S *7224:A 0.000163154
14 *7221:S *7607:A 1.92336e-05
15 *7221:S *1123:9 0.000175485
16 *7221:S *1176:10 0
17 *7223:S *7223:A0 0.000164829
18 *7223:S *7224:A 6.50586e-05
19 *7223:S *1101:13 4.0752e-05
20 *7227:S *7227:A0 2.85139e-05
21 *7227:S *7580:A 0.000118166
22 *7229:S *7227:A0 0
23 *7229:S *1131:24 0.000140745
24 *452:9 *7227:A0 1.43983e-05
25 *452:9 *7580:A 0.00046929
26 *452:9 *1131:24 3.49272e-05
27 *452:18 *7225:A0 1.79672e-05
28 *452:18 *7225:A1 0
29 *452:18 *7228:A 4.01301e-05
30 *452:18 *7610:A 0
31 *452:18 *1112:20 0
32 *452:20 *7224:A 7.77309e-06
33 *452:20 *1112:20 0
34 wb_data_o[9] *452:18 2.36701e-05
35 *7220:A *452:9 6.08467e-05
36 *7415:D *7229:S 8.52968e-05
37 *7415:D *452:9 8.01837e-05
38 *7525:A *452:18 1.71535e-05
*RES
1 *7220:X *452:5 13.7491
2 *452:5 *452:9 11.077
3 *452:9 *7227:S 10.5271
4 *452:9 *452:18 17.9591
5 *452:18 *452:20 3.07775
6 *452:20 *7221:S 26.134
7 *452:20 *7223:S 15.5817
8 *452:18 *7225:S 13.7491
9 *452:5 *7229:S 19.3184
*END
*D_NET *453 0.000597725
*CONN
*I *7222:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7221:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7222:A 0.000213226
2 *7221:X 0.000213226
3 *7222:A *1123:9 0.000171273
4 *7222:A *1176:10 0
*RES
1 *7221:X *7222:A 31.9934
*END
*D_NET *454 0.0014544
*CONN
*I *7224:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7223:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7224:A 0.000317631
2 *7223:X 0.000317631
3 *7224:A *7223:A0 4.33819e-05
4 *7224:A *7608:A 5.43595e-05
5 *7224:A *1101:13 0.000377259
6 *7224:A *1112:20 4.00638e-05
7 *7221:S *7224:A 0.000163154
8 *7223:S *7224:A 6.50586e-05
9 *7413:D *7224:A 6.80864e-05
10 *452:20 *7224:A 7.77309e-06
*RES
1 *7223:X *7224:A 39.1948
*END
*D_NET *455 0.00120609
*CONN
*I *7226:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7225:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7226:A 0.000177778
2 *7225:X 0.000177778
3 *7226:A *1051:20 0.000160617
4 *7226:A *1103:8 0.000319359
5 *7226:A *1112:20 7.09154e-05
6 *7226:A *1115:15 0.000160617
7 *7414:D *7226:A 0.000139023
*RES
1 *7225:X *7226:A 35.3154
*END
*D_NET *456 0.00200237
*CONN
*I *7228:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7227:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7228:A 0.000616579
2 *7227:X 0.000616579
3 *7228:A *7580:A 0.000629908
4 *7228:A *1099:11 9.91731e-05
5 *7228:A *1131:24 0
6 *7415:D *7228:A 0
7 *452:18 *7228:A 4.01301e-05
*RES
1 *7227:X *7228:A 41.0358
*END
*D_NET *457 0.001064
*CONN
*I *7230:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7229:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7230:A 0.000389032
2 *7229:X 0.000389032
3 *7230:A *7232:S 0
4 *7230:A *1020:34 0.000115615
5 *7230:A *1109:12 0.000148144
6 *7230:A *1112:20 0
7 *7230:A *1131:24 0
8 *7416:D *7230:A 2.21765e-05
9 *7475:A *7230:A 0
*RES
1 *7229:X *7230:A 38.2438
*END
*D_NET *458 0.00846562
*CONN
*I *7240:S I *D sky130_fd_sc_hd__mux2_1
*I *7236:S I *D sky130_fd_sc_hd__mux2_1
*I *7234:S I *D sky130_fd_sc_hd__mux2_1
*I *7232:S I *D sky130_fd_sc_hd__mux2_1
*I *7238:S I *D sky130_fd_sc_hd__mux2_1
*I *7231:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7240:S 0.000171437
2 *7236:S 7.62714e-06
3 *7234:S 0.000205649
4 *7232:S 0.000340159
5 *7238:S 0
6 *7231:X 0
7 *458:22 0.000651899
8 *458:19 0.000459692
9 *458:5 0.000730857
10 *458:4 0.000556319
11 *7232:S *7232:A0 1.36007e-05
12 *7232:S *7232:A1 9.12416e-06
13 *7232:S *1102:8 5.88662e-05
14 *7232:S *1109:12 0
15 *7232:S *1112:16 0.000276139
16 *7234:S *7234:A0 5.28741e-05
17 *7234:S *7234:A1 0.000210449
18 *7234:S *1023:5 0.000991561
19 *7236:S *7236:A0 6.50727e-05
20 *7236:S *7418:CLK 5.08751e-05
21 *7240:S *7240:A0 0.000118166
22 *7240:S *1036:11 6.75302e-05
23 *7240:S *1161:18 0.00027329
24 *7240:S *1163:20 0
25 *458:5 *7238:A0 0.000377245
26 *458:5 *1038:22 0.000893081
27 *458:5 *1038:32 1.67988e-05
28 *458:19 *7236:A0 6.50586e-05
29 *458:19 *7238:A0 1.67988e-05
30 *458:19 *7238:A1 0.000217937
31 *458:19 *7239:A 6.08467e-05
32 *458:19 *7418:CLK 0.000164829
33 *458:19 *7585:A 0.000163997
34 *458:19 *1096:1470 0.000415346
35 *458:19 *1096:1484 1.3807e-05
36 *458:19 *1104:9 3.58208e-05
37 *458:19 *1112:16 0.000595234
38 *458:22 *1109:12 0
39 *458:22 *1112:16 5.302e-05
40 *7230:A *7232:S 0
41 *7476:A *7232:S 6.46168e-05
42 *7503:A *7232:S 0
43 *445:32 *7240:S 0
*RES
1 *7231:X *458:4 9.24915
2 *458:4 *458:5 14.0339
3 *458:5 *7238:S 9.24915
4 *458:5 *458:19 25.3207
5 *458:19 *458:22 7.1625
6 *458:22 *7232:S 24.7167
7 *458:22 *7234:S 25.2029
8 *458:19 *7236:S 9.97254
9 *458:4 *7240:S 24.2687
*END
*D_NET *459 0.0011435
*CONN
*I *7233:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7232:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7233:A 0.00021709
2 *7232:X 0.00021709
3 *7233:A *1020:34 2.72636e-05
4 *7233:A *1103:8 0.00034103
5 *7233:A *1112:16 0.00034103
*RES
1 *7232:X *7233:A 35.3154
*END
*D_NET *460 0.000501439
*CONN
*I *7235:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7234:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7235:A 9.1946e-05
2 *7234:X 9.1946e-05
3 *7235:A *1021:11 0.000116764
4 *7235:A *1131:34 0.000125695
5 *7418:D *7235:A 7.50872e-05
*RES
1 *7234:X *7235:A 31.0235
*END
*D_NET *461 0.000769716
*CONN
*I *7237:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7236:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7237:A 0.000240793
2 *7236:X 0.000240793
3 *7237:A *7236:A1 4.31703e-05
4 *7237:A *1096:1470 0.000120681
5 *7237:A *1114:14 0.000124279
*RES
1 *7236:X *7237:A 33.7966
*END
*D_NET *462 0.000985365
*CONN
*I *7239:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7238:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7239:A 0.000192909
2 *7238:X 0.000192909
3 *7239:A *7238:A1 1.65872e-05
4 *7239:A *7585:A 8.33404e-05
5 *7239:A *1112:16 0.000320287
6 *7420:D *7239:A 0.000118485
7 *458:19 *7239:A 6.08467e-05
*RES
1 *7238:X *7239:A 34.3456
*END
*D_NET *463 0.00126659
*CONN
*I *7241:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7240:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7241:A 0.000335019
2 *7240:X 0.000335019
3 *7241:A *1079:11 4.55535e-05
4 *7241:A *1161:18 0.000324151
5 *7241:A *1163:20 0.000226845
*RES
1 *7240:X *7241:A 35.3182
*END
*D_NET *464 0.00771494
*CONN
*I *7243:S I *D sky130_fd_sc_hd__mux2_1
*I *7251:S I *D sky130_fd_sc_hd__mux2_1
*I *7249:S I *D sky130_fd_sc_hd__mux2_1
*I *7247:S I *D sky130_fd_sc_hd__mux2_1
*I *7245:S I *D sky130_fd_sc_hd__mux2_1
*I *7242:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7243:S 0.000234003
2 *7251:S 0.000530205
3 *7249:S 2.06324e-05
4 *7247:S 0.000123711
5 *7245:S 8.76795e-05
6 *7242:X 0
7 *464:31 0.000817873
8 *464:19 0.000700788
9 *464:8 0.00103667
10 *464:4 0.000872951
11 *7243:S *7243:A0 5.56461e-05
12 *7243:S *1079:11 0.000236278
13 *7245:S *7586:A 7.48797e-05
14 *7247:S *7247:A0 1.19721e-05
15 *7247:S *7247:A1 2.16355e-05
16 *7247:S *7248:A 5.97576e-05
17 *7251:S *6601:B 1.61631e-05
18 *7251:S *7249:A0 5.8256e-05
19 *7251:S *7251:A0 5.56461e-05
20 *7251:S *7252:A 0.000227182
21 *464:8 *7245:A1 1.44467e-05
22 *464:8 *1043:8 0
23 *464:8 *1079:11 0.000110814
24 *464:8 *1112:6 0.000177787
25 *464:19 *7245:A1 8.0123e-05
26 *464:19 *1028:21 0.000153225
27 *464:19 *1043:8 0
28 *464:19 *1112:6 0.000720881
29 *464:31 *7249:A0 2.42138e-05
30 *464:31 *7249:A1 9.82459e-05
31 *464:31 *1028:21 0.000186445
32 *464:31 *1043:8 0
33 *464:31 *1109:10 6.12686e-06
34 *464:31 *1112:6 0.000402054
35 *7242:A *7243:S 0.000370684
36 *7242:A *464:8 1.92336e-05
37 *7423:D *464:19 9.60366e-05
38 *7424:D *464:19 1.2693e-05
*RES
1 *7242:X *464:4 9.24915
2 *464:4 *464:8 16.0656
3 *464:8 *7245:S 16.1605
4 *464:8 *464:19 13.0438
5 *464:19 *7247:S 16.691
6 *464:19 *464:31 14.1175
7 *464:31 *7249:S 9.82786
8 *464:31 *7251:S 22.7525
9 *464:4 *7243:S 17.8093
*END
*D_NET *465 0.000208374
*CONN
*I *7244:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7243:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7244:A 0.000104187
2 *7243:X 0.000104187
3 *7244:A *1131:34 0
*RES
1 *7243:X *7244:A 29.7455
*END
*D_NET *466 0.00125558
*CONN
*I *7246:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7245:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7246:A 0.000233617
2 *7245:X 0.000233617
3 *7246:A *7245:A1 2.65831e-05
4 *7246:A *1107:11 0.000317707
5 *7246:A *1112:6 0.000131777
6 *7246:A *1114:8 0.000312275
*RES
1 *7245:X *7246:A 36.564
*END
*D_NET *467 0.000888163
*CONN
*I *7248:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7247:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7248:A 0.000252867
2 *7247:X 0.000252867
3 *7248:A *7427:CLK 6.50586e-05
4 *7248:A *1028:21 1.55462e-05
5 *7248:A *1043:8 0.000144531
6 *7247:S *7248:A 5.97576e-05
7 *7424:D *7248:A 9.75356e-05
*RES
1 *7247:X *7248:A 33.2714
*END
*D_NET *468 0.000907982
*CONN
*I *7250:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7249:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7250:A 0.000175962
2 *7249:X 0.000175962
3 *7250:A *1041:29 0.000104372
4 *7250:A *1109:10 3.28898e-06
5 *7250:A *1112:6 0.000299786
6 *7250:A *1114:8 3.77665e-05
7 *7425:D *7250:A 0.000110844
*RES
1 *7249:X *7250:A 33.791
*END
*D_NET *469 0.00114742
*CONN
*I *7252:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7251:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7252:A 0.000278627
2 *7251:X 0.000278627
3 *7252:A *1084:10 0.000320272
4 *7251:S *7252:A 0.000227182
5 *7426:D *7252:A 4.27148e-05
*RES
1 *7251:X *7252:A 35.4548
*END
*D_NET *470 0.0210713
*CONN
*I *7267:S I *D sky130_fd_sc_hd__mux2_1
*I *7265:S I *D sky130_fd_sc_hd__mux2_1
*I *7263:S I *D sky130_fd_sc_hd__mux2_1
*I *7254:S I *D sky130_fd_sc_hd__mux2_1
*I *7256:S I *D sky130_fd_sc_hd__mux2_1
*I *7253:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *7267:S 7.02268e-05
2 *7265:S 4.44667e-05
3 *7263:S 8.47541e-05
4 *7254:S 0.000330102
5 *7256:S 1.59132e-05
6 *7253:X 9.29933e-05
7 *470:41 0.00114844
8 *470:33 0.00184513
9 *470:30 0.00162431
10 *470:26 0.00198268
11 *470:8 0.000666754
12 *470:5 0.0015793
13 *7254:S *6601:A 8.52802e-05
14 *7254:S *494:8 0.000163632
15 *7254:S *1086:8 5.68696e-05
16 *7254:S *1165:18 0.000170577
17 *7254:S *1169:8 4.20662e-05
18 *7254:S *1169:10 9.22013e-06
19 *7256:S *7395:CLK 2.65831e-05
20 *7256:S *1027:13 2.65831e-05
21 *7263:S *867:13 0.000205101
22 *7265:S *887:15 0.0002646
23 *7265:S *1101:17 0.000115615
24 *7267:S *7267:A1 6.08467e-05
25 *470:5 *1106:9 5.4694e-06
26 *470:8 *494:8 0.000178555
27 *470:8 *1169:10 0.00027774
28 *470:26 *1096:1682 2.65831e-05
29 *470:26 *1096:1688 6.27331e-05
30 *470:26 *1106:9 7.31475e-05
31 *470:30 *7194:A1 5.2504e-06
32 *470:30 *7267:A1 0.000111802
33 *470:30 *7268:A 7.98171e-06
34 *470:30 *1036:10 3.92275e-05
35 *470:30 *1054:26 0.00156836
36 *470:30 *1057:28 0.000983395
37 *470:33 *7193:B2 0.00019411
38 *470:33 *7266:A 0.000148129
39 *470:33 *633:18 0.00107437
40 *470:33 *1128:24 0.000641513
41 *470:41 *7024:A 9.11425e-05
42 *470:41 *7053:B1 0.000367019
43 *470:41 *7054:C1 0.000143032
44 *470:41 *7193:B2 0.000321045
45 *470:41 *7206:A 7.14746e-05
46 *470:41 *521:18 0.000165495
47 *470:41 *521:29 0.000174205
48 *470:41 *524:8 0.000348411
49 *470:41 *627:8 0.000130777
50 *470:41 *633:18 0.0009268
51 *470:41 *829:8 0
52 *470:41 *837:18 0.000158661
53 *470:41 *840:14 1.85012e-05
54 *470:41 *1057:21 0.00087982
55 *470:41 *1125:42 0.000130613
56 *470:41 *1125:43 1.5254e-05
57 *470:41 *1125:53 2.8059e-05
58 *470:41 *1128:24 0.000229238
59 *7194:A2 *470:30 9.0714e-05
60 *7267:A0 *7267:S 7.98425e-06
61 *7397:D *470:26 0.000382454
62 *7434:D *470:33 0.000179271
63 *431:25 *470:30 3.94365e-05
64 *431:38 *470:30 5.75903e-05
65 *431:49 *470:30 3.89332e-06
66 *434:10 *470:30 0
*RES
1 *7253:X *470:5 10.5271
2 *470:5 *470:8 15.4675
3 *470:8 *7256:S 14.4725
4 *470:8 *7254:S 24.3014
5 *470:5 *470:26 22.9707
6 *470:26 *470:30 38.7595
7 *470:30 *470:33 33.7386
8 *470:33 *470:41 49.1763
9 *470:41 *7263:S 11.6364
10 *470:33 *7265:S 16.691
11 *470:30 *7267:S 11.1059
*END
*D_NET *471 0.000574504
*CONN
*I *7255:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7254:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7255:A 0.000287252
2 *7254:X 0.000287252
3 *7255:A *1035:16 0
*RES
1 *7254:X *7255:A 32.548
*END
*D_NET *472 0.0014866
*CONN
*I *7257:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7256:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7257:A 0.000449574
2 *7256:X 0.000449574
3 *7257:A *7428:CLK 0
4 *7257:A *1027:13 0.000587456
*RES
1 *7256:X *7257:A 36.015
*END
*D_NET *473 0.00571836
*CONN
*I *7259:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7258:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *7259:A 0.000170892
2 *7258:X 0
3 *473:5 0.00210038
4 *473:4 0.00192948
5 *7259:A *6660:A 0
6 *7259:A *7429:CLK 0.000377476
7 *473:5 *6657:A 6.3657e-05
8 *473:5 *6912:A0 0.000647008
9 *473:5 *6912:S 0.000284637
10 *473:5 *7274:CLK 2.65831e-05
11 *473:5 *1096:1063 0.000118245
12 *7274:D *7259:A 0
*RES
1 *7258:X *473:4 9.24915
2 *473:4 *473:5 46.7555
3 *473:5 *7259:A 24.6489
*END
*D_NET *474 0.000311497
*CONN
*I *7261:B I *D sky130_fd_sc_hd__nor2_1
*I *7260:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *7261:B 4.25838e-05
2 *7260:Y 4.25838e-05
3 *7261:B *6588:A 7.50722e-05
4 *7261:B *1173:44 2.04806e-05
5 *7261:B *1175:36 0.000130777
*RES
1 *7260:Y *7261:B 29.7455
*END
*D_NET *475 0.00112431
*CONN
*I *7264:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7263:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7264:A 0.000192433
2 *7263:X 0.000192433
3 *7264:A *6702:B 1.07248e-05
4 *7264:A *1018:22 2.79471e-05
5 *7264:A *1120:30 0.000111708
6 *7264:A *1125:42 0.000258002
7 *7264:A *1127:25 0.000331059
*RES
1 *7263:X *7264:A 34.7608
*END
*D_NET *476 0.000996317
*CONN
*I *7266:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7265:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7266:A 8.36376e-05
2 *7265:X 8.36376e-05
3 *7266:A *7092:A 0.000264586
4 *7266:A *1103:11 0.000264586
5 *7266:A *1128:24 0.000151741
6 *470:33 *7266:A 0.000148129
*RES
1 *7265:X *7266:A 33.1026
*END
*D_NET *477 0.000519846
*CONN
*I *7268:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7267:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7268:A 0.00021037
2 *7267:X 0.00021037
3 *7268:A *7267:A1 1.41307e-05
4 *7268:A *829:8 5.04734e-05
5 *7434:D *7268:A 2.652e-05
6 *470:30 *7268:A 7.98171e-06
*RES
1 *7267:X *7268:A 31.5781
*END
*D_NET *478 0.00490903
*CONN
*I *6630:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6624:A I *D sky130_fd_sc_hd__nor2_2
*I *6605:B_N I *D sky130_fd_sc_hd__nor2b_4
*I *6577:A I *D sky130_fd_sc_hd__or2_1
*I *6620:B2 I *D sky130_fd_sc_hd__o32a_1
*I *6575:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6630:A 0.0001077
2 *6624:A 0
3 *6605:B_N 0.000234162
4 *6577:A 8.19377e-05
5 *6620:B2 0.000103671
6 *6575:X 0
7 *478:23 0.00043271
8 *478:18 0.000174303
9 *478:15 0.000336542
10 *478:5 0.000274822
11 *6577:A *6577:B 0.000217923
12 *6605:B_N *6577:B 6.08467e-05
13 *6605:B_N *6580:A 5.0715e-05
14 *6605:B_N *6631:A 6.08467e-05
15 *6605:B_N *515:6 3.55296e-05
16 *6605:B_N *526:18 0.000160617
17 *6605:B_N *969:11 0.000139435
18 *6605:B_N *1119:9 3.81056e-05
19 *6605:B_N *1175:21 1.5613e-05
20 *6620:B2 *479:16 0
21 *6620:B2 *515:6 0.000172706
22 *6630:A *479:19 0.000207266
23 *6630:A *514:15 0.000430541
24 *478:15 *6621:C 7.52398e-05
25 *478:15 *479:16 0
26 *478:15 *515:6 0.000325949
27 *478:15 *834:13 0.000113374
28 *478:18 *479:19 4.66492e-05
29 *478:18 *479:24 6.50586e-05
30 *478:18 *514:15 0.000107496
31 *478:23 *6577:B 0.000137419
32 *478:23 *479:24 0.000219701
33 *478:23 *1171:45 0.00035712
34 *7205:A2 *6630:A 8.78837e-05
35 *7205:A2 *478:18 3.71504e-05
*RES
1 *6575:X *478:5 13.7491
2 *478:5 *6620:B2 16.8269
3 *478:5 *478:15 10.8998
4 *478:15 *478:18 2.41132
5 *478:18 *478:23 15.3998
6 *478:23 *6577:A 11.6364
7 *478:23 *6605:B_N 25.6861
8 *478:18 *6624:A 9.24915
9 *478:15 *6630:A 14.4094
*END
*D_NET *479 0.00547246
*CONN
*I *6631:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6624:B I *D sky130_fd_sc_hd__nor2_2
*I *6577:B I *D sky130_fd_sc_hd__or2_1
*I *6605:A I *D sky130_fd_sc_hd__nor2b_4
*I *6620:B1 I *D sky130_fd_sc_hd__o32a_1
*I *6576:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6631:A 0.00015002
2 *6624:B 0
3 *6577:B 0.000140018
4 *6605:A 0
5 *6620:B1 2.68387e-05
6 *6576:X 0.000102554
7 *479:24 0.000222149
8 *479:19 0.000129727
9 *479:16 0.000501083
10 *479:5 0.000432861
11 *6577:B *515:6 0.000163982
12 *6577:B *1171:45 7.77309e-06
13 *6577:B *1175:21 0.000163912
14 *6620:B1 *6620:A2 0.000122378
15 *6620:B1 *6620:A3 3.75603e-05
16 *6620:B1 *1175:21 1.61631e-05
17 *6620:B1 *1176:27 5.0715e-05
18 *6631:A *526:18 9.54448e-05
19 *6631:A *812:16 0.000181333
20 *6631:A *969:11 0.00017632
21 *6631:A *1119:9 0.000304777
22 *479:5 *6576:A 0.000154145
23 *479:5 *1175:21 9.55447e-05
24 *479:5 *1176:27 6.22703e-05
25 *479:16 *6576:A 3.31882e-05
26 *479:16 *6621:C 8.36615e-05
27 *479:16 *515:6 0
28 *479:16 *812:16 0.000181333
29 *479:16 *969:11 0.000134323
30 *479:16 *1175:21 0.00014934
31 *479:24 *515:6 0.000216103
32 *6577:A *6577:B 0.000217923
33 *6605:B_N *6577:B 6.08467e-05
34 *6605:B_N *6631:A 6.08467e-05
35 *6620:B2 *479:16 0
36 *6630:A *479:19 0.000207266
37 *7205:A2 *479:19 0.000260388
38 *7205:A2 *479:24 6.08467e-05
39 *478:15 *479:16 0
40 *478:18 *479:19 4.66492e-05
41 *478:18 *479:24 6.50586e-05
42 *478:23 *6577:B 0.000137419
43 *478:23 *479:24 0.000219701
*RES
1 *6576:X *479:5 12.7456
2 *479:5 *6620:B1 11.1059
3 *479:5 *479:16 14.637
4 *479:16 *479:19 7.44181
5 *479:19 *479:24 9.13165
6 *479:24 *6605:A 13.7491
7 *479:24 *6577:B 20.8779
8 *479:19 *6624:B 9.24915
9 *479:16 *6631:A 20.7386
*END
*D_NET *480 0.00939855
*CONN
*I *6778:B I *D sky130_fd_sc_hd__nand2_1
*I *6578:A I *D sky130_fd_sc_hd__buf_2
*I *6623:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6577:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6778:B 0.000169178
2 *6578:A 1.76235e-05
3 *6623:A1 4.89579e-05
4 *6577:X 0.000875937
5 *480:23 0.000302624
6 *480:14 0.000605575
7 *480:10 0.00131673
8 *6578:A *6623:A2 0
9 *6578:A *497:20 0
10 *6623:A1 *6623:A2 5.51483e-06
11 *6623:A1 *497:20 1.41976e-05
12 *6778:B *6602:D 0.000139435
13 *6778:B *6778:A 0.0002817
14 *6778:B *481:7 0.000102003
15 *6778:B *1021:11 0.000277502
16 *6778:B *1023:5 2.32702e-05
17 *6778:B *1157:30 8.62625e-06
18 *480:10 *6580:A 0.000139848
19 *480:10 *7039:D 0.000130777
20 *480:10 *481:19 0.00044655
21 *480:10 *498:28 0
22 *480:10 *515:6 0.00175589
23 *480:10 *1124:10 0.000182401
24 *480:10 *1175:21 0.000268812
25 *480:14 *7031:A 7.65861e-05
26 *480:14 *481:14 0.000266713
27 *480:14 *481:19 0.000758224
28 *480:14 *497:20 0.00096562
29 *480:14 *512:8 2.47663e-05
30 *480:14 *515:6 1.87269e-05
31 *480:23 *6623:A2 7.34948e-06
32 *480:23 *481:7 0.00011818
33 *480:23 *497:20 1.09551e-05
34 *480:23 *1023:5 3.82761e-05
*RES
1 *6577:X *480:10 48.7387
2 *480:10 *480:14 24.6031
3 *480:14 *6623:A1 9.97254
4 *480:14 *480:23 3.52053
5 *480:23 *6578:A 9.82786
6 *480:23 *6778:B 25.6861
*END
*D_NET *481 0.0191867
*CONN
*I *6604:A1 I *D sky130_fd_sc_hd__a211o_1
*I *6780:A1 I *D sky130_fd_sc_hd__a2111o_1
*I *7170:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6585:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6579:B I *D sky130_fd_sc_hd__and2_1
*I *6578:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6604:A1 0.000238441
2 *6780:A1 0
3 *7170:A 0.000141655
4 *6585:A 0.0010752
5 *6579:B 0
6 *6578:X 0.000133974
7 *481:36 0.00349795
8 *481:33 0.00232541
9 *481:28 9.60958e-05
10 *481:19 0.00106469
11 *481:14 0.00136088
12 *481:7 0.000616825
13 *6585:A *6720:C 0
14 *6585:A *7106:A 0.000177787
15 *6585:A *485:8 0.000109827
16 *6585:A *485:41 1.19737e-05
17 *6585:A *587:14 0
18 *6585:A *828:14 8.90837e-05
19 *6585:A *883:27 0.000393907
20 *6585:A *897:12 9.64062e-05
21 *6585:A *1063:72 0
22 *6604:A1 *6602:D 0.000168812
23 *6604:A1 *512:8 0.000236506
24 *6604:A1 *1104:9 0.000127271
25 *7170:A *7132:A2 3.67528e-06
26 *7170:A *7132:B1 3.86121e-05
27 *7170:A *828:14 3.68867e-05
28 *7170:A *1021:9 0.000167076
29 *481:14 *6629:B 7.14746e-05
30 *481:14 *512:8 0.000268744
31 *481:14 *1021:11 0.000111722
32 *481:19 *6629:B 0.000210977
33 *481:19 *6629:D 5.34259e-05
34 *481:19 *7031:B 0
35 *481:19 *498:28 0.000557829
36 *481:19 *1112:21 0.000113968
37 *481:19 *1124:10 0.000178789
38 *481:28 *6629:C 4.66492e-05
39 *481:28 *6780:A2 8.92609e-06
40 *481:28 *513:9 6.08467e-05
41 *481:28 *632:8 0.000158371
42 *481:28 *1102:9 2.15348e-05
43 *481:33 *6629:B 1.55462e-05
44 *481:33 *6629:C 8.62625e-06
45 *481:33 *6778:A 6.02789e-05
46 *481:33 *6780:B1 1.07248e-05
47 *481:33 *512:8 9.2346e-06
48 *481:36 *1102:9 0.000358791
49 *6778:B *481:7 0.000102003
50 *405:21 *6585:A 0
51 *434:5 *481:36 0.0029596
52 *480:10 *481:19 0.00044655
53 *480:14 *481:14 0.000266713
54 *480:14 *481:19 0.000758224
55 *480:23 *481:7 0.00011818
*RES
1 *6578:X *481:7 16.1364
2 *481:7 *481:14 16.8488
3 *481:14 *481:19 41.6607
4 *481:19 *6579:B 9.24915
5 *481:14 *481:28 6.91132
6 *481:28 *481:33 10.4167
7 *481:33 *481:36 45.1549
8 *481:36 *6585:A 42.1573
9 *481:36 *7170:A 18.2442
10 *481:28 *6780:A1 9.24915
11 *481:7 *6604:A1 22.1478
*END
*D_NET *482 0.00139273
*CONN
*I *6580:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6579:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6580:A 0.0002634
2 *6579:X 0.0002634
3 *6580:A *7039:A_N 0.000374457
4 *6580:A *7039:D 0.000123582
5 *6580:A *498:28 4.72872e-05
6 *6580:A *515:6 1.18802e-05
7 *6580:A *1175:21 0.000118166
8 *6605:B_N *6580:A 5.0715e-05
9 *480:10 *6580:A 0.000139848
*RES
1 *6579:X *6580:A 37.6676
*END
*D_NET *483 0.00324416
*CONN
*I *6583:A I *D sky130_fd_sc_hd__buf_2
*I *6748:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6753:B I *D sky130_fd_sc_hd__and2_1
*I *6582:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6583:A 3.91473e-06
2 *6748:A1 0.000224678
3 *6753:B 0.000190904
4 *6582:X 0.000215716
5 *483:19 0.000228592
6 *483:8 0.00040662
7 *6583:A *6885:A 3.04234e-06
8 *6583:A *484:5 6.13007e-06
9 *6748:A1 *6885:A 0.000312592
10 *6748:A1 *6885:B 0
11 *6748:A1 *484:5 0.00075051
12 *6748:A1 *706:7 1.777e-05
13 *6748:A1 *706:11 0.000224442
14 *6753:B *6898:C1 0.000330596
15 *6753:B *525:54 5.76123e-05
16 *6753:B *608:10 5.56461e-05
17 *6753:B *1149:29 0
18 *483:8 *525:54 5.47736e-05
19 *483:8 *1149:29 0.000160617
*RES
1 *6582:X *483:8 18.2442
2 *483:8 *6753:B 20.3233
3 *483:8 *483:19 4.5
4 *483:19 *6748:A1 22.5596
5 *483:19 *6583:A 9.45411
*END
*D_NET *484 0.014059
*CONN
*I *6909:B I *D sky130_fd_sc_hd__and3_1
*I *6749:B I *D sky130_fd_sc_hd__nand2_2
*I *6897:C1 I *D sky130_fd_sc_hd__a211o_1
*I *6584:A I *D sky130_fd_sc_hd__clkinv_4
*I *6612:B I *D sky130_fd_sc_hd__and2_2
*I *6583:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6909:B 0
2 *6749:B 0.000246443
3 *6897:C1 0
4 *6584:A 0
5 *6612:B 7.45968e-05
6 *6583:X 0
7 *484:50 0.000952934
8 *484:23 0.000273322
9 *484:11 0.000693157
10 *484:5 0.000873923
11 *484:4 0.00118142
12 *6612:B *832:17 0.000263955
13 *6612:B *1096:655 0.000527891
14 *6749:B *6748:A2 6.08467e-05
15 *6749:B *6898:C1 0.00045305
16 *6749:B *6905:A 0.000107496
17 *6749:B *6905:B 4.43826e-05
18 *6749:B *6907:A1 1.03403e-05
19 *6749:B *547:39 1.41853e-05
20 *6749:B *604:8 8.5044e-05
21 *6749:B *717:11 8.12985e-05
22 *6749:B *1022:14 0.000155272
23 *6749:B *1033:26 0.00015887
24 *484:5 *6748:A2 3.95571e-05
25 *484:5 *6748:B1 9.40969e-05
26 *484:5 *6885:A 2.15532e-05
27 *484:5 *6898:A2 0.000465078
28 *484:5 *707:7 0.00125654
29 *484:11 *6748:A2 5.51483e-06
30 *484:11 *6896:A 0.000414018
31 *484:11 *6897:B1 0.000544481
32 *484:11 *522:58 4.91225e-06
33 *484:11 *707:7 0.00049362
34 *484:11 *832:17 0.000307059
35 *484:11 *1096:655 0.000680647
36 *484:23 *6897:B1 3.75603e-05
37 *484:50 *6636:B1 0
38 *484:50 *6885:A 0.000108585
39 *484:50 *6898:A2 0.000160617
40 *484:50 *618:16 0.000144531
41 *484:50 *618:20 0.000111311
42 *484:50 *702:9 0.00045658
43 *484:50 *1096:570 0.0014094
44 *484:50 *1096:600 0.000288261
45 *6583:A *484:5 6.13007e-06
46 *6748:A1 *484:5 0.00075051
*RES
1 *6583:X *484:4 9.24915
2 *484:4 *484:5 18.4707
3 *484:5 *484:11 23.5104
4 *484:11 *6612:B 14.964
5 *484:11 *6584:A 9.24915
6 *484:5 *484:23 0.988641
7 *484:23 *6897:C1 9.24915
8 *484:23 *6749:B 29.4289
9 *484:4 *484:50 44.0437
10 *484:50 *6909:B 9.24915
*END
*D_NET *485 0.0138331
*CONN
*I *7139:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7112:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7080:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7047:B I *D sky130_fd_sc_hd__and3_1
*I *6586:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6585:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7139:A 0.000254014
2 *7112:A 1.98947e-05
3 *7080:A 0.000905895
4 *7047:B 0
5 *6586:A 0.000275031
6 *6585:X 0
7 *485:41 0.00177506
8 *485:19 0.00104349
9 *485:8 0.00165541
10 *485:5 0.00274393
11 *6586:A *7065:A 0.000107586
12 *6586:A *833:9 0.000224395
13 *6586:A *853:18 1.2601e-05
14 *6586:A *1063:70 0
15 *7080:A *7069:A 0.000423922
16 *7080:A *833:9 0.000102003
17 *7080:A *842:47 0.000309363
18 *7080:A *853:8 0.00011818
19 *7080:A *853:54 0.000370815
20 *7112:A *880:5 6.08467e-05
21 *7112:A *902:24 2.16355e-05
22 *7139:A *7131:A1 2.26985e-05
23 *7139:A *7131:A3 5.2504e-06
24 *7139:A *7131:B1 0.000186445
25 *7139:A *576:62 2.24484e-05
26 *7139:A *594:14 0.0002646
27 *7139:A *822:26 0
28 *7139:A *889:39 3.93117e-06
29 *7139:A *902:24 5.82465e-05
30 *7139:A *906:24 6.25562e-05
31 *485:8 *7065:A 0
32 *485:8 *7106:A 4.28891e-05
33 *485:8 *7281:CLK 0
34 *485:8 *848:35 2.7961e-05
35 *485:8 *897:12 9.12416e-06
36 *485:8 *1063:70 0.00136491
37 *485:8 *1096:1231 2.30154e-05
38 *485:8 *1096:1247 0.000163329
39 *485:8 *1096:1256 0.000150408
40 *485:19 *833:9 0.000199541
41 *485:41 *6718:A 0.000409343
42 *485:41 *7131:B1 9.10158e-05
43 *485:41 *576:62 0.000160352
44 *485:41 *822:26 0
45 *485:41 *897:12 1.91246e-05
46 *6585:A *485:8 0.000109827
47 *6585:A *485:41 1.19737e-05
*RES
1 *6585:X *485:5 13.7491
2 *485:5 *485:8 44.5352
3 *485:8 *6586:A 24.9599
4 *485:8 *485:19 2.38721
5 *485:19 *7047:B 9.24915
6 *485:19 *7080:A 29.6971
7 *485:5 *485:41 12.4948
8 *485:41 *7112:A 14.4725
9 *485:41 *7139:A 22.4801
*END
*D_NET *486 0.00797078
*CONN
*I *7075:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7070:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6596:B I *D sky130_fd_sc_hd__and2_1
*I *6587:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6599:B I *D sky130_fd_sc_hd__and2_1
*I *6586:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7075:A3 0
2 *7070:A3 0
3 *6596:B 6.01143e-05
4 *6587:A 0.000173588
5 *6599:B 0.00011417
6 *6586:X 0.000161126
7 *486:27 0.000233702
8 *486:18 0.00061509
9 *486:16 0.000747849
10 *486:11 0.000408055
11 *6587:A *487:9 0.000164829
12 *6587:A *1064:7 0.000221329
13 *6596:B *1064:7 0.000217937
14 *6596:B *1176:31 6.50727e-05
15 *6599:B *1063:62 0
16 *6599:B *1176:31 2.95757e-05
17 *486:11 *7075:A1 4.81015e-05
18 *486:11 *524:13 6.08467e-05
19 *486:11 *852:19 4.66492e-05
20 *486:11 *853:18 0.000176908
21 *486:11 *1063:62 5.76123e-05
22 *486:11 *1117:26 0.000211478
23 *486:16 *571:11 0.000362218
24 *486:16 *578:8 5.29763e-05
25 *486:16 *852:19 0.000207266
26 *486:16 *1117:26 0.000211478
27 *486:16 *1176:31 0.000560954
28 *486:18 *571:11 0.000587015
29 *486:18 *577:8 0
30 *486:18 *578:8 0.00010731
31 *486:18 *1176:31 0.00129771
32 sram_wmask0[3] *6599:B 0.000198752
33 sram_wmask0[3] *486:18 0.000200252
34 *7465:A *6587:A 0.000370815
*RES
1 *6586:X *486:11 24.4375
2 *486:11 *486:16 17.0242
3 *486:16 *486:18 23.4251
4 *486:18 *6599:B 17.2421
5 *486:18 *486:27 4.5
6 *486:27 *6587:A 17.2065
7 *486:27 *6596:B 12.2151
8 *486:16 *7070:A3 13.7491
9 *486:11 *7075:A3 9.24915
*END
*D_NET *487 0.00670495
*CONN
*I *6594:B I *D sky130_fd_sc_hd__and2_1
*I *6592:B I *D sky130_fd_sc_hd__and2_1
*I *6590:B I *D sky130_fd_sc_hd__and2_1
*I *6588:B I *D sky130_fd_sc_hd__and2_1
*I *7260:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6587:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6594:B 0.000206841
2 *6592:B 0.00018352
3 *6590:B 0
4 *6588:B 0
5 *7260:A2 0.000226507
6 *6587:X 0
7 *487:37 0.000390361
8 *487:17 0.000610546
9 *487:9 0.00066918
10 *487:4 0.000285141
11 *6592:B *6593:A 6.50586e-05
12 *6592:B *6599:A 7.22498e-05
13 *6592:B *1058:16 8.01741e-05
14 *6594:B *502:37 6.73186e-05
15 *6594:B *1058:16 7.20535e-05
16 *7260:A2 *6588:A 4.81012e-05
17 *7260:A2 *1056:10 2.23919e-05
18 *7260:A2 *1097:11 0.000160617
19 *7260:A2 *1156:40 8.62625e-06
20 *487:9 *982:15 0.000177491
21 *487:9 *1064:7 0.000507622
22 *487:17 *6611:A 0.000733876
23 *487:17 *502:45 0.000785463
24 *487:17 *982:27 0.000670127
25 *487:17 *1098:33 2.41483e-05
26 sram_wmask0[2] *487:9 0.00033061
27 sram_wmask0[3] *6594:B 0
28 *6587:A *487:9 0.000164829
29 *7405:D *487:17 1.0397e-05
30 *7465:A *6592:B 0
31 *7465:A *487:9 0.000131697
*RES
1 *6587:X *487:4 9.24915
2 *487:4 *487:9 14.2268
3 *487:9 *487:17 18.1331
4 *487:17 *7260:A2 22.7442
5 *487:17 *6588:B 9.24915
6 *487:9 *6590:B 9.24915
7 *487:4 *487:37 4.5
8 *487:37 *6592:B 19.0748
9 *487:37 *6594:B 19.0748
*END
*D_NET *488 0.000944116
*CONN
*I *6589:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6588:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6589:A 0.000222794
2 *6588:X 0.000222794
3 *6589:A *6611:A 0.000264586
4 *6589:A *969:20 0.000116971
5 *7498:A *6589:A 0.000116971
*RES
1 *6588:X *6589:A 32.6874
*END
*D_NET *489 0.00120353
*CONN
*I *6591:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6590:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6591:A 9.99956e-05
2 *6590:X 9.99956e-05
3 *6591:A *1137:21 0
4 *6591:A *1156:55 0.000441022
5 *6591:A *1174:43 0.000436825
6 sram_wmask0[2] *6591:A 0.000125695
*RES
1 *6590:X *6591:A 34.3512
*END
*D_NET *490 0.00137167
*CONN
*I *6593:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6592:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6593:A 0.000394294
2 *6592:X 0.000394294
3 *6593:A *6599:A 0.000106845
4 *6593:A *6600:A 0.000387915
5 *6593:A *7537:A 2.32594e-05
6 *6592:B *6593:A 6.50586e-05
*RES
1 *6592:X *6593:A 30.3379
*END
*D_NET *491 0.00445166
*CONN
*I *6595:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6594:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6595:A 0
2 *6594:X 0.0012622
3 *491:10 0.0012622
4 *491:10 *6597:A 0
5 *491:10 *502:37 0.00175312
6 *491:10 *1096:148 0
7 *7285:D *491:10 3.68867e-05
8 *7466:A *491:10 0.000137258
*RES
1 *6594:X *491:10 42.8437
2 *491:10 *6595:A 9.24915
*END
*D_NET *492 0.00110647
*CONN
*I *6597:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6596:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6597:A 0.000455484
2 *6596:X 0.000455484
3 *6597:A *7619:A 0.000127164
4 *6597:A *982:15 1.22567e-05
5 *6597:A *1064:7 4.7451e-05
6 *6597:A *1096:148 8.62625e-06
7 *491:10 *6597:A 0
*RES
1 *6596:X *6597:A 35.4604
*END
*D_NET *493 0.00176258
*CONN
*I *6600:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6599:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6600:A 0.000551208
2 *6599:X 0.000551208
3 *6600:A *7074:A1 6.10997e-05
4 *6600:A *7537:A 2.52287e-06
5 *6600:A *7619:A 0.000135206
6 *6600:A *1063:57 2.93863e-05
7 *6600:A *1063:62 4.4037e-05
8 *6593:A *6600:A 0.000387915
*RES
1 *6599:X *6600:A 39.7522
*END
*D_NET *494 0.00531732
*CONN
*I *6604:A2 I *D sky130_fd_sc_hd__a211o_1
*I *6623:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6601:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6604:A2 0
2 *6623:A2 0.000141605
3 *6601:X 0.00012978
4 *494:8 0.00146029
5 *494:7 0.00144846
6 *6623:A2 *6623:B1 6.50586e-05
7 *6623:A2 *497:20 0.000166197
8 *6623:A2 *1023:5 8.39059e-05
9 *6623:A2 *1159:29 0.000200236
10 *6623:A2 *1162:31 3.66465e-05
11 *494:8 *6601:A 0
12 *494:8 *1159:29 0.000372943
13 *494:8 *1162:31 0.000158233
14 *494:8 *1165:27 0.000698918
15 *494:8 *1169:10 0
16 *6578:A *6623:A2 0
17 *6623:A1 *6623:A2 5.51483e-06
18 *7254:S *494:8 0.000163632
19 *470:8 *494:8 0.000178555
20 *480:23 *6623:A2 7.34948e-06
*RES
1 *6601:X *494:7 15.5817
2 *494:7 *494:8 42.1115
3 *494:8 *6623:A2 20.2081
4 *494:8 *6604:A2 13.7491
*END
*D_NET *495 0.000950103
*CONN
*I *6604:B1 I *D sky130_fd_sc_hd__a211o_1
*I *6602:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6604:B1 0.000231123
2 *6602:X 0.000231123
3 *6604:B1 *6602:D 0.000253916
4 *6604:B1 *6604:C1 0.000116971
5 *6604:B1 *1165:27 0.000116971
*RES
1 *6602:X *6604:B1 32.6874
*END
*D_NET *496 0.00125278
*CONN
*I *6604:C1 I *D sky130_fd_sc_hd__a211o_1
*I *6603:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6604:C1 0.000458294
2 *6603:X 0.000458294
3 *6604:C1 *512:8 9.14201e-05
4 *6604:C1 *1025:23 6.44964e-06
5 *6604:C1 *1159:29 4.43826e-05
6 *6604:C1 *1165:27 7.69735e-05
7 *6604:B1 *6604:C1 0.000116971
*RES
1 *6603:X *6604:C1 37.1186
*END
*D_NET *497 0.00419461
*CONN
*I *6606:B I *D sky130_fd_sc_hd__or3b_1
*I *7031:A I *D sky130_fd_sc_hd__nor2_2
*I *6604:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *6606:B 7.65377e-05
2 *7031:A 0.000138808
3 *6604:X 0.000755529
4 *497:20 0.000970874
5 *6606:B *6606:C_N 0.000111708
6 *7031:A *6606:C_N 7.89747e-05
7 *7031:A *6607:A 5.04829e-06
8 *7031:A *7035:A 2.15348e-05
9 *7031:A *515:6 8.01687e-05
10 *497:20 *6606:A 0
11 *497:20 *6623:B1 6.08467e-05
12 *497:20 *6626:B 7.14746e-05
13 *497:20 *6627:A 4.58259e-05
14 *497:20 *512:8 1.18938e-05
15 *497:20 *515:6 6.28484e-05
16 *497:20 *1104:9 5.20546e-06
17 *497:20 *1159:29 4.2814e-05
18 *497:20 *1162:31 0.000162402
19 *497:20 *1165:27 0.000122098
20 *497:20 *1165:32 0.000136463
21 *6578:A *497:20 0
22 *6623:A1 *497:20 1.41976e-05
23 *6623:A2 *497:20 0.000166197
24 *480:14 *7031:A 7.65861e-05
25 *480:14 *497:20 0.00096562
26 *480:23 *497:20 1.09551e-05
*RES
1 *6604:X *497:20 48.7227
2 *497:20 *7031:A 18.1077
3 *497:20 *6606:B 15.0271
*END
*D_NET *498 0.0109239
*CONN
*I *7051:A I *D sky130_fd_sc_hd__nor2_1
*I *7035:A I *D sky130_fd_sc_hd__nand2_1
*I *6606:C_N I *D sky130_fd_sc_hd__or3b_1
*I *7039:B I *D sky130_fd_sc_hd__nand4b_1
*I *7032:B I *D sky130_fd_sc_hd__nand4_4
*I *6605:Y O *D sky130_fd_sc_hd__nor2b_4
*CAP
1 *7051:A 0.000861351
2 *7035:A 0.000758154
3 *6606:C_N 0.000228327
4 *7039:B 0
5 *7032:B 0.000179125
6 *6605:Y 0.000205591
7 *498:28 0.00170742
8 *498:19 0.000789563
9 *498:8 0.000368891
10 *498:5 0.00118808
11 *6606:C_N *6606:A 0.00011818
12 *6606:C_N *6607:A 1.89836e-05
13 *6606:C_N *1105:26 1.21461e-06
14 *6606:C_N *1156:20 0.000115934
15 *7032:B *7032:A 0.000127171
16 *7032:B *7039:D 6.08467e-05
17 *7035:A *6781:A 0.000171288
18 *7035:A *6781:B 4.0752e-05
19 *7035:A *7031:B 2.65831e-05
20 *7035:A *7035:B 4.80635e-06
21 *7035:A *633:5 0.000142479
22 *7035:A *633:18 2.20583e-05
23 *7035:A *821:5 6.3657e-05
24 *7051:A *7051:B 6.69978e-05
25 *7051:A *526:18 0.000584153
26 *498:5 *526:18 0.000311249
27 *498:8 *7039:A_N 0.00024065
28 *498:8 *812:16 0.000332543
29 *498:8 *969:11 0.000127179
30 *498:8 *981:8 4.3116e-06
31 *498:19 *7039:A_N 0.000141001
32 *498:19 *981:8 4.01573e-05
33 *498:28 *7031:B 2.36813e-05
34 *498:28 *7039:A_N 2.24484e-05
35 *498:28 *981:8 0.000148948
36 *498:28 *1096:1576 0.000444831
37 *498:28 *1096:1588 0.000364316
38 *498:28 *1156:39 5.36397e-05
39 *6580:A *498:28 4.72872e-05
40 *6606:B *6606:C_N 0.000111708
41 *7031:A *6606:C_N 7.89747e-05
42 *7031:A *7035:A 2.15348e-05
43 *480:10 *498:28 0
44 *481:19 *498:28 0.000557829
*RES
1 *6605:Y *498:5 12.7456
2 *498:5 *498:8 10.8998
3 *498:8 *7032:B 18.3789
4 *498:8 *498:19 2.6625
5 *498:19 *7039:B 13.7491
6 *498:19 *498:28 32.0776
7 *498:28 *6606:C_N 15.9526
8 *498:28 *7035:A 27.0447
9 *498:5 *7051:A 24.6093
*END
*D_NET *499 0.000707821
*CONN
*I *6607:A I *D sky130_fd_sc_hd__buf_2
*I *6606:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *6607:A 0.000264049
2 *6606:X 0.000264049
3 *6607:A *6606:A 2.85274e-05
4 *6607:A *515:6 0
5 *6607:A *1105:26 0.000127164
6 *6606:C_N *6607:A 1.89836e-05
7 *7031:A *6607:A 5.04829e-06
*RES
1 *6606:X *6607:A 32.6874
*END
*D_NET *500 0.020903
*CONN
*I *6647:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6619:A1 I *D sky130_fd_sc_hd__a41o_1
*I *6609:A I *D sky130_fd_sc_hd__and2_1
*I *6903:A1 I *D sky130_fd_sc_hd__o21ba_1
*I *6932:B I *D sky130_fd_sc_hd__and3_1
*I *6608:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6647:A1 0.000113168
2 *6619:A1 0.000429665
3 *6609:A 1.67629e-05
4 *6903:A1 0
5 *6932:B 0.000877743
6 *6608:X 0
7 *500:33 0.000783191
8 *500:28 0.00297724
9 *500:26 0.00254794
10 *500:20 0.00120024
11 *500:17 0.0013003
12 *500:5 0.000998443
13 *6609:A *6609:B 6.50586e-05
14 *6619:A1 *6609:B 2.41483e-05
15 *6619:A1 *6619:A3 9.9774e-06
16 *6619:A1 *6619:B1 0.000481928
17 *6619:A1 *6636:A1 2.39581e-05
18 *6619:A1 *6643:C 5.75508e-05
19 *6619:A1 *501:5 0.000128091
20 *6647:A1 *6647:A2 3.14978e-05
21 *6647:A1 *6647:A3 0.000118128
22 *6647:A1 *6647:B1 0
23 *6647:A1 *6648:C 0.000171288
24 *6932:B *7326:CLK 4.31539e-05
25 *6932:B *529:8 0.000349993
26 *6932:B *529:17 3.31882e-05
27 *6932:B *741:7 2.85274e-05
28 *6932:B *965:43 0.000150252
29 *6932:B *1078:8 0.000378084
30 *500:17 *529:8 0.000435243
31 *500:17 *1078:8 0.000428115
32 *500:20 *6880:A 0.000457669
33 *500:20 *6880:B 0.000125507
34 *500:20 *6880:C_N 0.000430366
35 *500:20 *634:19 0.00085589
36 *500:20 *740:14 0.00100536
37 *500:28 *6647:A3 9.40969e-05
38 *500:28 *6648:C 6.50586e-05
39 *500:28 *6826:A 0.00033061
40 *500:28 *619:15 0.00146991
41 *500:28 *619:22 0.00185558
42 *500:33 *6647:A3 0
43 *500:33 *508:8 0
44 *7317:D *500:28 1.01044e-05
*RES
1 *6608:X *500:5 13.7491
2 *500:5 *6932:B 32.3796
3 *500:5 *500:17 12.1455
4 *500:17 *500:20 39.0152
5 *500:20 *6903:A1 9.24915
6 *500:20 *500:26 0.578717
7 *500:26 *500:28 72.8219
8 *500:28 *500:33 16.6455
9 *500:33 *6609:A 9.97254
10 *500:33 *6619:A1 22.222
11 *500:28 *6647:A1 13.8789
*END
*D_NET *501 0.00211777
*CONN
*I *6643:C I *D sky130_fd_sc_hd__and3_1
*I *6610:A I *D sky130_fd_sc_hd__buf_4
*I *6609:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6643:C 0.000323218
2 *6610:A 0
3 *6609:X 2.20251e-05
4 *501:5 0.000345243
5 *6643:C *6615:D 6.94062e-07
6 *6643:C *6619:B1 0.000224395
7 *6643:C *6636:A1 0.000377259
8 *6643:C *6647:A2 2.65831e-05
9 *6643:C *952:21 0.000320287
10 *6643:C *953:10 1.07248e-05
11 *501:5 *6636:A1 0.0002817
12 *6619:A1 *6643:C 5.75508e-05
13 *6619:A1 *501:5 0.000128091
*RES
1 *6609:X *501:5 12.191
2 *501:5 *6610:A 9.24915
3 *501:5 *6643:C 30.3503
*END
*D_NET *502 0.0502088
*CONN
*I *6670:A I *D sky130_fd_sc_hd__and2_1
*I *6641:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6642:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6933:B I *D sky130_fd_sc_hd__nor2_1
*I *6611:A I *D sky130_fd_sc_hd__inv_2
*I *6610:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *6670:A 0.000190462
2 *6641:A2 4.96136e-05
3 *6642:A2 0
4 *6933:B 0
5 *6611:A 0.000844675
6 *6610:X 0.000392552
7 *502:71 0.000529305
8 *502:60 0.0005683
9 *502:45 0.00153049
10 *502:37 0.00218237
11 *502:36 0.00367201
12 *502:30 0.00295132
13 *502:20 0.00200761
14 *502:9 0.00459626
15 *502:8 0.00403613
16 *6611:A *7204:A 6.92705e-05
17 *6611:A *7405:CLK 4.88955e-05
18 *6611:A *7546:A 9.95922e-06
19 *6611:A *982:27 0.000463031
20 *6611:A *1096:35 0.000627633
21 *6611:A *1097:11 6.50586e-05
22 *6641:A2 *6619:A2 2.41274e-06
23 *6641:A2 *529:66 0.000165521
24 *6670:A *6619:A2 6.93663e-05
25 *6670:A *6619:A3 0.000224395
26 *6670:A *6619:A4 0.000224395
27 *6670:A *6642:B1 0
28 *6670:A *529:66 0.00015709
29 *6670:A *952:21 0
30 *502:8 *6619:B1 1.07248e-05
31 *502:8 *6642:B1 0
32 *502:8 *952:10 0
33 *502:8 *952:21 0
34 *502:9 *6827:S 6.08467e-05
35 *502:9 *622:10 0.00162098
36 *502:9 *622:54 0.00228018
37 *502:9 *636:13 0.000441061
38 *502:9 *664:5 0.00281816
39 *502:9 *664:28 0.000224381
40 *502:9 *997:18 0.000331942
41 *502:20 *529:17 0.000884803
42 *502:20 *636:13 0.000150487
43 *502:20 *1067:8 2.45847e-05
44 *502:20 *1143:30 0
45 *502:30 *597:69 6.44576e-05
46 *502:30 *610:12 9.35753e-06
47 *502:30 *745:15 0.000266832
48 *502:30 *1014:9 0.000216088
49 *502:30 *1014:37 0.000546718
50 *502:30 *1067:8 3.55432e-05
51 *502:30 *1071:8 0
52 *502:30 *1098:55 0.000519467
53 *502:30 *1135:18 0.000286219
54 *502:30 *1135:65 9.40059e-05
55 *502:30 *1143:30 0
56 *502:36 *6875:B1 0.000223775
57 *502:36 *577:25 2.85274e-05
58 *502:36 *577:46 4.38712e-05
59 *502:36 *691:30 0
60 *502:36 *692:22 0.000412036
61 *502:36 *976:19 1.27831e-06
62 *502:36 *1009:24 3.14281e-05
63 *502:36 *1013:13 0.000602808
64 *502:36 *1013:25 0.000260388
65 *502:36 *1014:21 6.49003e-05
66 *502:37 *6594:A 0.00100167
67 *502:37 *694:13 0.000995201
68 *502:37 *823:17 0.000326398
69 *502:37 *976:9 0.00401674
70 *502:37 *1062:50 0.000661482
71 *502:45 *982:27 4.23622e-05
72 *502:45 *1057:14 0.000205769
73 *502:60 *6642:B1 2.57986e-05
74 *502:71 *6642:A1 0.000205962
75 *502:71 *6642:B1 0
76 sram_wmask0[0] *6611:A 0.000423922
77 sram_wmask0[2] *502:45 2.95757e-05
78 sram_wmask0[3] *502:37 1.03403e-05
79 *6589:A *6611:A 0.000264586
80 *6594:B *502:37 6.73186e-05
81 *7270:D *502:8 0
82 *7450:A *6611:A 6.50586e-05
83 *283:16 *502:9 0.000360145
84 *487:17 *6611:A 0.000733876
85 *487:17 *502:45 0.000785463
86 *491:10 *502:37 0.00175312
*RES
1 *6610:X *502:8 27.5557
2 *502:8 *502:9 107.485
3 *502:9 *502:20 25.5927
4 *502:20 *502:30 40.3194
5 *502:30 *502:36 46.0774
6 *502:36 *502:37 68.385
7 *502:37 *502:45 22.5294
8 *502:45 *6611:A 38.836
9 *502:20 *6933:B 13.7491
10 *502:8 *502:60 6.26943
11 *502:60 *6642:A2 9.24915
12 *502:60 *502:71 16.2625
13 *502:71 *6641:A2 11.0817
14 *502:71 *6670:A 25.962
*END
*D_NET *503 0.0160745
*CONN
*I *6613:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6612:X O *D sky130_fd_sc_hd__and2_2
*CAP
1 *6613:A 5.87126e-05
2 *6612:X 4.24874e-05
3 *503:8 0.00597707
4 *503:7 0.00596084
5 *503:7 *1131:7 6.50586e-05
6 *503:8 *6820:A 0
7 *503:8 *6862:A 0
8 *503:8 *6977:D 0.000343314
9 *503:8 *6991:D 0
10 *503:8 *7002:C 0.000413811
11 *503:8 *7005:A1 0
12 *503:8 *7006:A 4.60777e-05
13 *503:8 *7006:D 0
14 *503:8 *7008:A2 0.000247231
15 *503:8 *7138:B2 3.7902e-05
16 *503:8 *7159:B2 2.81627e-06
17 *503:8 *580:36 0
18 *503:8 *740:31 0
19 *503:8 *769:8 0.000165495
20 *503:8 *775:8 0
21 *503:8 *786:6 0
22 *503:8 *787:9 0.000163982
23 *503:8 *831:36 0.000304756
24 *503:8 *900:21 1.32509e-05
25 *503:8 *942:9 0.000122098
26 *503:8 *946:8 2.32176e-05
27 *503:8 *1107:48 0
28 *503:8 *1111:14 0.00208464
29 *503:8 *1131:46 1.77537e-06
*RES
1 *6612:X *503:7 14.4725
2 *503:7 *503:8 162.119
3 *503:8 *6613:A 15.0271
*END
*D_NET *504 0.00169148
*CONN
*I *6619:A2 I *D sky130_fd_sc_hd__a41o_1
*I *6614:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6619:A2 0.000775997
2 *6614:Y 0.000775997
3 *6619:A2 *6614:A 1.84293e-05
4 *6619:A2 *529:66 4.92759e-05
5 *6619:A2 *952:21 0
6 *6641:A2 *6619:A2 2.41274e-06
7 *6670:A *6619:A2 6.93663e-05
*RES
1 *6614:Y *6619:A2 40.5883
*END
*D_NET *505 0.000585637
*CONN
*I *6617:B I *D sky130_fd_sc_hd__and3_1
*I *6615:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *6617:B 0.000243313
2 *6615:X 0.000243313
3 *6617:B *6617:A 9.90116e-05
4 *6617:B *6617:C 0
5 *6617:B *1096:1040 0
*RES
1 *6615:X *6617:B 33.542
*END
*D_NET *506 0.000901228
*CONN
*I *6617:C I *D sky130_fd_sc_hd__and3_1
*I *6616:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6617:C 0.000329842
2 *6616:X 0.000329842
3 *6617:C *6615:D 0
4 *6617:C *6616:A 7.49459e-05
5 *6617:C *6617:A 7.43087e-05
6 *6617:C *507:9 1.79807e-05
7 *6617:C *547:39 7.43087e-05
8 *6617:B *6617:C 0
*RES
1 *6616:X *6617:C 34.3377
*END
*D_NET *507 0.00172195
*CONN
*I *6670:B I *D sky130_fd_sc_hd__and2_1
*I *6619:A4 I *D sky130_fd_sc_hd__a41o_1
*I *6617:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6670:B 0
2 *6619:A4 0.000107785
3 *6617:X 0.000541987
4 *507:9 0.000649772
5 *6619:A4 *6619:A3 6.9815e-05
6 *507:9 *6615:D 0
7 *507:9 *6619:A3 4.09471e-05
8 *507:9 *552:7 6.92705e-05
9 *507:9 *1096:1010 0
10 *507:9 *1096:1031 0
11 *507:9 *1096:1040 0
12 *6617:C *507:9 1.79807e-05
13 *6670:A *6619:A4 0.000224395
*RES
1 *6617:X *507:9 32.295
2 *507:9 *6619:A4 13.3002
3 *507:9 *6670:B 9.24915
*END
*D_NET *508 0.0114357
*CONN
*I *6619:B1 I *D sky130_fd_sc_hd__a41o_1
*I *6898:A1 I *D sky130_fd_sc_hd__o211ai_1
*I *6618:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6619:B1 0.00109515
2 *6898:A1 7.14704e-05
3 *6618:Y 0
4 *508:11 0.00282989
5 *508:8 0.00306688
6 *508:4 0.00140361
7 *6619:B1 *6636:A1 6.41157e-05
8 *6898:A1 *6898:A2 1.07248e-05
9 *6898:A1 *6901:A 0
10 *6898:A1 *599:22 0.000156823
11 *508:8 *6647:A3 0
12 *508:8 *6648:C 0
13 *508:8 *1096:527 0
14 *508:11 *702:15 0.00173227
15 *508:11 *715:9 6.04131e-05
16 *508:11 *1096:512 0.000227281
17 *6619:A1 *6619:B1 0.000481928
18 *6643:C *6619:B1 0.000224395
19 *500:33 *508:8 0
20 *502:8 *6619:B1 1.07248e-05
*RES
1 *6618:Y *508:4 9.24915
2 *508:4 *508:8 15.815
3 *508:8 *508:11 49.5917
4 *508:11 *6898:A1 16.4116
5 *508:4 *6619:B1 39.9636
*END
*D_NET *509 0.00374167
*CONN
*I *6636:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6619:X O *D sky130_fd_sc_hd__a41o_1
*CAP
1 *6636:A1 0.000999425
2 *6619:X 0.000999425
3 *6636:A1 *6609:B 0.000271058
4 *6636:A1 *6636:A2 2.65831e-05
5 *6636:A1 *965:62 0.00069815
6 *6619:A1 *6636:A1 2.39581e-05
7 *6619:B1 *6636:A1 6.41157e-05
8 *6643:C *6636:A1 0.000377259
9 *501:5 *6636:A1 0.0002817
*RES
1 *6619:X *6636:A1 46.3973
*END
*D_NET *510 0.00191553
*CONN
*I *6621:C I *D sky130_fd_sc_hd__or4b_2
*I *7025:C I *D sky130_fd_sc_hd__nor3_2
*I *6620:X O *D sky130_fd_sc_hd__o32a_1
*CAP
1 *6621:C 0.000215178
2 *7025:C 0.000102715
3 *6620:X 0.000104016
4 *510:10 0.000421909
5 *6621:C *6575:A 6.08467e-05
6 *6621:C *1118:9 9.03965e-05
7 *6621:C *1171:32 0.000111722
8 *7025:C *812:11 0.000158357
9 *7025:C *1118:9 6.25991e-05
10 *7025:C *1171:32 0.000107496
11 *510:10 *812:11 2.95757e-05
12 *510:10 *1171:32 8.22032e-05
13 *510:10 *1174:20 0.000144546
14 *510:10 *1176:11 6.50727e-05
15 *478:15 *6621:C 7.52398e-05
16 *479:16 *6621:C 8.36615e-05
*RES
1 *6620:X *510:10 21.635
2 *510:10 *7025:C 12.7456
3 *510:10 *6621:C 25.2386
*END
*D_NET *511 0.00873391
*CONN
*I *6629:A I *D sky130_fd_sc_hd__or4_2
*I *6781:A I *D sky130_fd_sc_hd__nor2_1
*I *7045:B_N I *D sky130_fd_sc_hd__and4bb_2
*I *6621:X O *D sky130_fd_sc_hd__or4b_2
*CAP
1 *6629:A 0.000513886
2 *6781:A 4.39932e-05
3 *7045:B_N 0
4 *6621:X 0.00121097
5 *511:17 0.00132739
6 *511:10 0.00198048
7 *6629:A *514:22 0
8 *6629:A *519:11 0
9 *6629:A *632:8 0.000247127
10 *6629:A *1020:34 0.000223897
11 *6629:A *1054:26 0
12 *6629:A *1157:30 0.00011818
13 *6781:A *6781:B 6.50727e-05
14 *6781:A *633:5 3.14978e-05
15 *511:10 *514:22 0
16 *511:10 *520:12 0
17 *511:10 *520:24 0
18 *511:10 *632:12 0.0010729
19 *511:10 *812:11 1.777e-05
20 *511:10 *834:13 0.000154145
21 *511:10 *969:11 0.000559644
22 *511:10 *969:19 1.65872e-05
23 *511:17 *7115:A1 3.54138e-05
24 *511:17 *7123:A1 0
25 *511:17 *7123:A2 0
26 *511:17 *7123:B1 8.13812e-06
27 *511:17 *514:22 0
28 *511:17 *632:8 1.44611e-05
29 *511:17 *632:12 0.000530718
30 *511:17 *1124:20 2.1558e-05
31 *7035:A *6781:A 0.000171288
32 *7206:B *511:10 7.50872e-05
33 *7382:D *511:17 0.000140487
34 *7384:D *511:17 0.000153225
*RES
1 *6621:X *511:10 43.3516
2 *511:10 *7045:B_N 13.7491
3 *511:10 *511:17 23.4251
4 *511:17 *6781:A 16.1605
5 *511:17 *6629:A 29.601
*END
*D_NET *512 0.00389424
*CONN
*I *6780:D1 I *D sky130_fd_sc_hd__a2111o_1
*I *6629:B I *D sky130_fd_sc_hd__or4_2
*I *6622:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6780:D1 3.07124e-05
2 *6629:B 0.000126998
3 *6622:X 0.000746371
4 *512:8 0.000904081
5 *6629:B *6629:C 0.00017982
6 *6629:B *6629:D 2.04806e-05
7 *6780:D1 *1102:9 6.08467e-05
8 *512:8 *6602:A 0.000340156
9 *512:8 *6602:B 0.000275256
10 *512:8 *6602:D 0.00017056
11 *512:8 *6626:B 2.33193e-05
12 *512:8 *6780:B1 7.50722e-05
13 *512:8 *1165:27 0
14 *6604:A1 *512:8 0.000236506
15 *6604:C1 *512:8 9.14201e-05
16 *480:14 *512:8 2.47663e-05
17 *481:14 *6629:B 7.14746e-05
18 *481:14 *512:8 0.000268744
19 *481:19 *6629:B 0.000210977
20 *481:33 *6629:B 1.55462e-05
21 *481:33 *512:8 9.2346e-06
22 *497:20 *512:8 1.18938e-05
*RES
1 *6622:X *512:8 37.3599
2 *512:8 *6629:B 18.9032
3 *512:8 *6780:D1 14.4725
*END
*D_NET *513 0.00207503
*CONN
*I *6629:C I *D sky130_fd_sc_hd__or4_2
*I *6780:C1 I *D sky130_fd_sc_hd__a2111o_1
*I *6623:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6629:C 0.000113905
2 *6780:C1 0
3 *6623:X 0.000255586
4 *513:9 0.000369491
5 *6629:C *6629:D 3.31733e-05
6 *6629:C *6778:A 5.1211e-05
7 *6629:C *1102:9 6.08467e-05
8 *513:9 *632:8 5.46928e-05
9 *513:9 *1102:9 0.000353672
10 *513:9 *1159:29 2.82537e-05
11 *513:9 *1162:31 0.000195154
12 *513:9 *1165:30 7.30564e-05
13 *513:9 *1165:32 0.000190042
14 *6629:B *6629:C 0.00017982
15 *481:28 *6629:C 4.66492e-05
16 *481:28 *513:9 6.08467e-05
17 *481:33 *6629:C 8.62625e-06
*RES
1 *6623:X *513:9 28.2847
2 *513:9 *6780:C1 9.24915
3 *513:9 *6629:C 22.4655
*END
*D_NET *514 0.0122225
*CONN
*I *7048:A I *D sky130_fd_sc_hd__buf_2
*I *7147:A I *D sky130_fd_sc_hd__nor3b_4
*I *7030:B I *D sky130_fd_sc_hd__or4b_1
*I *6628:A I *D sky130_fd_sc_hd__or4_1
*I *6624:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
1 *7048:A 2.52482e-05
2 *7147:A 0.000658858
3 *7030:B 0.000478062
4 *6628:A 7.62688e-05
5 *6624:Y 0.000631994
6 *514:25 0.000859702
7 *514:24 0.000964228
8 *514:22 0.00154479
9 *514:15 0.00220203
10 *6628:A *6628:C 0.000158371
11 *6628:A *1156:29 3.82228e-05
12 *7030:B *6628:C 0.000212506
13 *7030:B *6776:A 7.77309e-06
14 *7030:B *632:8 0
15 *7030:B *1109:21 0.00050655
16 *7048:A *7205:B1 0.000208843
17 *7048:A *834:13 9.70405e-05
18 *7147:A *7147:C_N 0.000115329
19 *514:15 *7205:B1 0.000169041
20 *514:15 *520:12 0.000375013
21 *514:15 *834:13 3.58044e-05
22 *514:22 *6704:B 1.05746e-05
23 *514:22 *7024:B 0
24 *514:22 *7045:D 0.000283517
25 *514:22 *7115:A1 1.75625e-05
26 *514:22 *7123:A1 7.75267e-05
27 *514:22 *7123:A2 0.000122108
28 *514:22 *519:11 0.000575847
29 *514:22 *520:12 3.31882e-05
30 *514:22 *520:24 0.000299886
31 *514:22 *520:27 0.000334641
32 *514:22 *825:11 1.2693e-05
33 *514:22 *837:18 0
34 *514:22 *838:10 0
35 *514:22 *897:29 4.40272e-05
36 *6629:A *514:22 0
37 *6630:A *514:15 0.000430541
38 *7205:A2 *514:15 0.000507219
39 *7384:D *514:22 0
40 *478:18 *514:15 0.000107496
41 *511:10 *514:22 0
42 *511:17 *514:22 0
*RES
1 *6624:Y *514:15 33.7947
2 *514:15 *514:22 49.1763
3 *514:22 *514:24 4.5
4 *514:24 *514:25 6.82404
5 *514:25 *6628:A 11.6364
6 *514:25 *7030:B 28.2875
7 *514:24 *7147:A 24.778
8 *514:15 *7048:A 11.6364
*END
*D_NET *515 0.0119311
*CONN
*I *6776:B I *D sky130_fd_sc_hd__or2_1
*I *6628:B I *D sky130_fd_sc_hd__or4_1
*I *6625:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6776:B 0.00021222
2 *6628:B 0
3 *6625:X 0
4 *515:9 0.000358029
5 *515:6 0.00247453
6 *515:5 0.00232872
7 *6776:B *6629:D 1.12605e-05
8 *6776:B *6776:A 0.000181416
9 *6776:B *7031:B 0.000181333
10 *6776:B *1156:29 0.000217923
11 *6776:B *1156:39 2.95757e-05
12 *515:6 *6575:A 0.000378054
13 *515:6 *6579:A 0.000116956
14 *515:6 *7039:A_N 0
15 *515:6 *7073:A2 8.30273e-05
16 *515:6 *7087:A1 7.50872e-05
17 *515:6 *7091:A2 2.96862e-05
18 *515:6 *7100:A2 0
19 *515:6 *7119:A2 0
20 *515:6 *834:13 2.68066e-05
21 *515:6 *834:18 0
22 *515:6 *844:8 5.1573e-05
23 *515:6 *844:10 3.77605e-05
24 *515:6 *871:8 0
25 *515:6 *969:11 0
26 *515:6 *981:32 0.000165234
27 *515:6 *1000:9 7.50722e-05
28 *515:6 *1105:26 0
29 *515:6 *1156:20 1.79807e-05
30 *515:6 *1171:32 0.000160384
31 *515:6 *1171:45 0.000171298
32 *515:6 *1174:20 1.23455e-05
33 *515:6 *1174:28 0.00018794
34 *515:6 *1182:9 0.000209326
35 *515:6 *1182:22 0.00108878
36 *515:9 *1156:29 0.00020502
37 *6577:B *515:6 0.000163982
38 *6580:A *515:6 1.18802e-05
39 *6605:B_N *515:6 3.55296e-05
40 *6607:A *515:6 0
41 *6620:B2 *515:6 0.000172706
42 *7031:A *515:6 8.01687e-05
43 *478:15 *515:6 0.000325949
44 *479:16 *515:6 0
45 *479:24 *515:6 0.000216103
46 *480:10 *515:6 0.00175589
47 *480:14 *515:6 1.87269e-05
48 *497:20 *515:6 6.28484e-05
*RES
1 *6625:X *515:5 13.7491
2 *515:5 *515:6 84.4672
3 *515:6 *515:9 6.88721
4 *515:9 *6628:B 9.24915
5 *515:9 *6776:B 24.5446
*END
*D_NET *516 0.00190722
*CONN
*I *6628:C I *D sky130_fd_sc_hd__or4_1
*I *6626:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6628:C 0.000453145
2 *6626:X 0.000453145
3 *6628:C *6628:D 4.80635e-06
4 *6628:C *6629:D 0.000158357
5 *6628:C *6776:A 0.000118485
6 *6628:C *6778:A 5.23713e-05
7 *6628:C *632:8 0
8 *6628:C *1156:29 1.19721e-05
9 *6628:C *1157:30 0.000284063
10 *6628:A *6628:C 0.000158371
11 *7030:B *6628:C 0.000212506
*RES
1 *6626:X *6628:C 42.2623
*END
*D_NET *517 0.000490306
*CONN
*I *6628:D I *D sky130_fd_sc_hd__or4_1
*I *6627:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *6628:D 0.000225127
2 *6627:X 0.000225127
3 *6628:D *1156:29 3.52453e-05
4 *6628:C *6628:D 4.80635e-06
*RES
1 *6627:X *6628:D 22.0188
*END
*D_NET *518 0.00134268
*CONN
*I *6629:D I *D sky130_fd_sc_hd__or4_2
*I *6628:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6629:D 0.000316909
2 *6628:X 0.000316909
3 *6629:D *6778:A 0.000207394
4 *6629:D *7031:B 7.14746e-05
5 *6629:D *1020:34 4.58003e-05
6 *6629:D *1157:30 0.000107496
7 *6628:C *6629:D 0.000158357
8 *6629:B *6629:D 2.04806e-05
9 *6629:C *6629:D 3.31733e-05
10 *6776:B *6629:D 1.12605e-05
11 *481:19 *6629:D 5.34259e-05
*RES
1 *6628:X *6629:D 36.5934
*END
*D_NET *519 0.010297
*CONN
*I *6644:A I *D sky130_fd_sc_hd__nor3b_4
*I *6632:A I *D sky130_fd_sc_hd__or3b_4
*I *6629:X O *D sky130_fd_sc_hd__or4_2
*CAP
1 *6644:A 0
2 *6632:A 0.00055696
3 *6629:X 0.000500989
4 *519:14 0.000598343
5 *519:11 0.00139964
6 *519:7 0.00185924
7 *6632:A *6632:B 0.000948898
8 *6632:A *7265:A1 1.36691e-05
9 *6632:A *520:32 0.00022468
10 *6632:A *522:9 0.000260388
11 *6632:A *532:10 0.000111708
12 *6632:A *633:18 5.77352e-05
13 *519:7 *1020:34 0.000842337
14 *519:11 *7045:D 0.000195139
15 *519:11 *7123:A1 0.00028732
16 *519:11 *897:29 4.04447e-05
17 *519:11 *1020:33 0.000638591
18 *519:11 *1054:26 0.000443456
19 *519:11 *1121:9 0.000659294
20 *519:14 *7265:A1 1.79672e-05
21 *519:14 *633:18 6.43474e-05
22 *6629:A *519:11 0
23 *514:22 *519:11 0.000575847
*RES
1 *6629:X *519:7 22.7916
2 *519:7 *519:11 46.5156
3 *519:11 *519:14 5.91674
4 *519:14 *6632:A 30.6895
5 *519:14 *6644:A 13.7491
*END
*D_NET *520 0.0086559
*CONN
*I *6775:A_N I *D sky130_fd_sc_hd__and2b_1
*I *7045:D I *D sky130_fd_sc_hd__and4bb_2
*I *6632:B I *D sky130_fd_sc_hd__or3b_4
*I *6644:B I *D sky130_fd_sc_hd__nor3b_4
*I *7205:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6630:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6775:A_N 4.30639e-05
2 *7045:D 0.000362002
3 *6632:B 0.000171101
4 *6644:B 0
5 *7205:A1 0
6 *6630:X 0.000330179
7 *520:32 0.00055933
8 *520:27 0.000871381
9 *520:24 0.000458739
10 *520:12 0.000624705
11 *6632:B *6632:C_N 0.000623477
12 *6775:A_N *521:9 0.000319815
13 *6775:A_N *825:11 0.000273166
14 *7045:D *838:10 0
15 *7045:D *1054:26 8.78801e-06
16 *7045:D *1112:21 0.000423908
17 *520:12 *7205:B1 0.000182229
18 *520:12 *1128:23 1.77537e-06
19 *520:24 *521:9 3.99086e-06
20 *520:27 *825:11 0.000306482
21 *520:32 *6632:C_N 0.000131249
22 *520:32 *825:11 6.08467e-05
23 *520:32 *1121:9 0.000129808
24 *6632:A *6632:B 0.000948898
25 *6632:A *520:32 0.00022468
26 *7205:A2 *520:12 9.82896e-06
27 *7206:B *520:12 6.50727e-05
28 *511:10 *520:12 0
29 *511:10 *520:24 0
30 *514:15 *520:12 0.000375013
31 *514:22 *7045:D 0.000283517
32 *514:22 *520:12 3.31882e-05
33 *514:22 *520:24 0.000299886
34 *514:22 *520:27 0.000334641
35 *519:11 *7045:D 0.000195139
*RES
1 *6630:X *520:12 22.1828
2 *520:12 *7205:A1 13.7491
3 *520:12 *520:24 12.1912
4 *520:24 *520:27 10.4845
5 *520:27 *520:32 15.2304
6 *520:32 *6644:B 9.24915
7 *520:32 *6632:B 19.4008
8 *520:27 *7045:D 23.5088
9 *520:24 *6775:A_N 12.7456
*END
*D_NET *521 0.00650754
*CONN
*I *7045:A_N I *D sky130_fd_sc_hd__and4bb_2
*I *6632:C_N I *D sky130_fd_sc_hd__or3b_4
*I *6644:C_N I *D sky130_fd_sc_hd__nor3b_4
*I *7038:A I *D sky130_fd_sc_hd__or2_1
*I *6775:B I *D sky130_fd_sc_hd__and2b_1
*I *6631:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7045:A_N 0.000413738
2 *6632:C_N 0.000253182
3 *6644:C_N 0
4 *7038:A 5.37627e-05
5 *6775:B 0
6 *6631:X 0.000122009
7 *521:29 0.000305922
8 *521:18 0.000224335
9 *521:9 0.000584604
10 *521:6 0.00100252
11 *6632:C_N *1121:9 0.000609122
12 *7038:A *823:37 0.000111722
13 *7038:A *1123:9 5.97576e-05
14 *7045:A_N *7032:C 0
15 *7045:A_N *632:12 0.00049962
16 *7045:A_N *817:8 0
17 *7045:A_N *831:10 0.000171273
18 *7045:A_N *1112:21 1.80257e-05
19 *7045:A_N *1156:40 0
20 *521:6 *632:12 0.000226267
21 *521:6 *1156:40 0
22 *521:9 *825:11 5.99527e-05
23 *521:18 *825:11 3.73375e-05
24 *521:18 *1128:24 0.000169093
25 *521:29 *1128:24 0.000167062
26 *6632:B *6632:C_N 0.000623477
27 *6775:A_N *521:9 0.000319815
28 *470:41 *521:18 0.000165495
29 *470:41 *521:29 0.000174205
30 *520:24 *521:9 3.99086e-06
31 *520:32 *6632:C_N 0.000131249
*RES
1 *6631:X *521:6 17.6574
2 *521:6 *521:9 15.2063
3 *521:9 *6775:B 9.24915
4 *521:9 *521:18 8.85575
5 *521:18 *7038:A 15.5817
6 *521:18 *521:29 7.57775
7 *521:29 *6644:C_N 9.24915
8 *521:29 *6632:C_N 20.5341
9 *521:6 *7045:A_N 26.161
*END
*D_NET *522 0.0275723
*CONN
*I *6633:A I *D sky130_fd_sc_hd__clkbuf_4
*I *6748:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6897:A1 I *D sky130_fd_sc_hd__a211o_1
*I *6639:B I *D sky130_fd_sc_hd__nand2_1
*I *6737:C I *D sky130_fd_sc_hd__and3_1
*I *6632:X O *D sky130_fd_sc_hd__or3b_4
*CAP
1 *6633:A 0
2 *6748:A2 0.000224668
3 *6897:A1 1.60516e-05
4 *6639:B 0.000106106
5 *6737:C 0
6 *6632:X 0.00167239
7 *522:58 0.00162121
8 *522:49 0.00286269
9 *522:30 0.00186698
10 *522:19 0.00133505
11 *522:17 0.00126394
12 *522:9 0.00187996
13 *6639:B *881:10 2.51444e-05
14 *6639:B *892:13 0.000202104
15 *6639:B *895:16 0.000128093
16 *6748:A2 *6748:B1 0.000220183
17 *6748:A2 *6897:B1 3.82228e-05
18 *6748:A2 *717:11 0.000326544
19 *6897:A1 *6897:B1 4.80635e-06
20 *522:9 *6737:A 0.000115934
21 *522:9 *6737:B 1.74054e-05
22 *522:9 *564:44 1.80994e-05
23 *522:9 *827:13 5.61199e-05
24 *522:17 *6737:B 0
25 *522:19 *6964:A 1.93033e-05
26 *522:19 *6965:B1 0.000311249
27 *522:19 *7107:A1 0.00199767
28 *522:19 *7107:A2 0.000113968
29 *522:19 *560:8 0.000742567
30 *522:19 *572:23 4.82966e-05
31 *522:19 *572:33 0.000457702
32 *522:19 *882:9 0.0043359
33 *522:19 *937:9 0.000689445
34 *522:19 *1143:7 1.40978e-05
35 *522:30 *6964:A 0
36 *522:30 *6965:B1 3.16285e-05
37 *522:30 *691:16 9.75356e-05
38 *522:30 *881:10 1.55462e-05
39 *522:30 *892:13 0.000127196
40 *522:30 *1112:47 1.49927e-05
41 *522:30 *1143:5 0.000213725
42 *522:30 *1143:7 0.000546679
43 *522:30 *1143:56 5.44672e-05
44 *522:49 *523:53 1.19856e-05
45 *522:49 *528:24 8.11463e-06
46 *522:49 *557:52 0.000446014
47 *522:49 *696:65 7.12632e-06
48 *522:49 *740:31 2.0456e-06
49 *522:49 *762:8 5.39635e-06
50 *522:49 *1096:668 0.000525925
51 *522:49 *1096:670 0.000319954
52 *522:49 *1112:74 0
53 *522:58 *6896:A 0.000256202
54 *522:58 *6897:A2 6.50586e-05
55 *522:58 *6897:B1 0.000109394
56 *522:58 *696:65 0.00028263
57 *522:58 *717:11 0.000160617
58 *522:58 *740:14 0.000149642
59 *522:58 *740:31 4.07832e-05
60 *522:58 *832:17 0.000557425
61 *6632:A *522:9 0.000260388
62 *6749:B *6748:A2 6.08467e-05
63 *7300:D *522:9 8.5545e-05
64 *7358:D *522:30 0.000333559
65 *484:5 *6748:A2 3.95571e-05
66 *484:11 *6748:A2 5.51483e-06
67 *484:11 *522:58 4.91225e-06
*RES
1 *6632:X *522:9 34.2063
2 *522:9 *6737:C 9.24915
3 *522:9 *522:17 4.70207
4 *522:17 *522:19 62.2844
5 *522:19 *522:30 17.9411
6 *522:30 *6639:B 17.6574
7 *522:30 *522:49 28.9719
8 *522:49 *522:58 35.101
9 *522:58 *6897:A1 9.82786
10 *522:58 *6748:A2 16.6519
11 *522:49 *6633:A 13.7491
*END
*D_NET *523 0.0402728
*CONN
*I *6722:C I *D sky130_fd_sc_hd__and3_1
*I *6686:C I *D sky130_fd_sc_hd__and3_1
*I *5655:DIODE I *D sky130_fd_sc_hd__diode_2
*I *6688:C I *D sky130_fd_sc_hd__and3_1
*I *6636:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6858:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6633:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6722:C 0.000499292
2 *6686:C 0
3 *5655:DIODE 9.21919e-05
4 *6688:C 0.000307156
5 *6636:A2 0.000445062
6 *6858:A1 0
7 *6633:X 5.54178e-05
8 *523:82 0.000167691
9 *523:70 0.000434101
10 *523:69 0.00240242
11 *523:59 0.00336759
12 *523:56 0.00172111
13 *523:53 0.0026859
14 *523:40 0.00164869
15 *523:26 0.000835629
16 *523:16 0.00250912
17 *523:15 0.00111211
18 *523:8 0.00317835
19 *5655:DIODE *1096:1226 0.000110825
20 *5655:DIODE *1125:65 0.000133443
21 *6636:A2 *6832:A 0.000404491
22 *6688:C *6688:A 1.07248e-05
23 *6688:C *7063:A 0.00014554
24 *6688:C *820:8 0.000118268
25 *6688:C *848:35 6.89596e-05
26 *6688:C *855:24 0.000625317
27 *6722:C *6722:B 0.000105534
28 *6722:C *6737:A 7.59e-05
29 *6722:C *820:8 0.000156479
30 *6722:C *855:24 0.000238537
31 *6722:C *1060:16 0.000330359
32 *6722:C *1101:27 0.000100785
33 *6722:C *1121:21 1.55462e-05
34 *523:8 *557:54 0.000107496
35 *523:8 *1096:635 5.66868e-06
36 *523:8 *1096:637 1.82832e-05
37 *523:8 *1133:20 7.30564e-05
38 *523:15 *6758:B_N 7.92757e-06
39 *523:15 *6886:A1 1.00981e-05
40 *523:15 *6886:A2 0.00011708
41 *523:15 *6886:B1 0.000267404
42 *523:15 *6889:A3 4.66492e-05
43 *523:15 *572:16 0.000311221
44 *523:15 *613:5 6.08467e-05
45 *523:15 *1096:637 2.36494e-05
46 *523:15 *1099:89 0.000112149
47 *523:15 *1133:20 0.000101118
48 *523:16 *7183:A1 2.01503e-05
49 *523:16 *613:11 0.00108511
50 *523:16 *989:16 0.000114725
51 *523:16 *1033:26 1.23804e-05
52 *523:16 *1099:92 0.00131111
53 *523:26 *6767:B 7.86847e-05
54 *523:26 *6767:C 0.000157458
55 *523:26 *6858:A2 0
56 *523:26 *525:19 0.000251669
57 *523:26 *525:50 0.000248437
58 *523:26 *561:10 0.000597796
59 *523:26 *586:52 0.000153744
60 *523:26 *613:19 4.06401e-05
61 *523:26 *619:34 0.000352487
62 *523:26 *688:16 6.01329e-05
63 *523:26 *965:38 0.000297919
64 *523:26 *989:16 5.93821e-05
65 *523:26 *1015:21 1.5714e-05
66 *523:26 *1033:23 0
67 *523:26 *1099:92 0.000143979
68 *523:40 *6831:A 0.000265537
69 *523:40 *6831:B 4.82966e-05
70 *523:40 *6832:A 0.000436811
71 *523:40 *645:26 0
72 *523:40 *662:34 0.00050671
73 *523:40 *662:39 0.00156649
74 *523:40 *663:47 0.00120763
75 *523:53 *557:52 4.75405e-06
76 *523:53 *557:54 0.000259514
77 *523:53 *572:23 0.000553199
78 *523:53 *1096:668 0.000221446
79 *523:53 *1096:670 6.98314e-05
80 *523:56 *6678:A 0.00016768
81 *523:56 *541:19 1.17185e-05
82 *523:56 *1143:28 5.93949e-05
83 *523:59 *6709:C 0.00027103
84 *523:59 *6962:A1 0.00110366
85 *523:59 *6963:A2 0.000268812
86 *523:59 *547:29 0.000118168
87 *523:59 *583:29 0.000278992
88 *523:59 *583:33 0.000286683
89 *523:69 *7289:CLK 2.65831e-05
90 *523:69 *527:29 0.000329782
91 *523:69 *567:5 0.000173479
92 *523:69 *583:29 0.000106589
93 *523:69 *876:17 7.50722e-05
94 *523:69 *1125:65 0.000430366
95 *523:70 *820:8 3.45797e-05
96 *523:70 *855:24 9.24241e-05
97 *523:82 *1096:1226 7.75688e-05
98 *523:82 *1125:65 0.000350391
99 *6636:A1 *6636:A2 2.65831e-05
100 *7269:D *6636:A2 6.50586e-05
101 *7289:D *523:69 0.000223118
102 *7337:D *523:15 0.000168313
103 *7359:D *523:53 7.84085e-06
104 *522:49 *523:53 1.19856e-05
*RES
1 *6633:X *523:8 16.4439
2 *523:8 *523:15 26.5297
3 *523:15 *523:16 24.4632
4 *523:16 *523:26 43.0186
5 *523:26 *6858:A1 9.24915
6 *523:16 *523:40 40.4687
7 *523:40 *6636:A2 23.3071
8 *523:8 *523:53 46.8818
9 *523:53 *523:56 9.65401
10 *523:56 *523:59 43.452
11 *523:59 *523:69 44.0937
12 *523:69 *523:70 1.832
13 *523:70 *6688:C 25.9518
14 *523:70 *523:82 8.35811
15 *523:82 *5655:DIODE 13.1314
16 *523:82 *6686:C 9.24915
17 *523:69 *6722:C 29.7951
*END
*D_NET *524 0.0300242
*CONN
*I *6774:A I *D sky130_fd_sc_hd__clkbuf_4
*I *7206:A I *D sky130_fd_sc_hd__nor2_1
*I *6635:A I *D sky130_fd_sc_hd__clkbuf_4
*I *6902:B1 I *D sky130_fd_sc_hd__a211o_1
*I *6696:A I *D sky130_fd_sc_hd__buf_2
*I *6634:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6774:A 1.50512e-05
2 *7206:A 0.000215417
3 *6635:A 0.00052502
4 *6902:B1 0.000420533
5 *6696:A 0
6 *6634:X 4.08949e-05
7 *524:38 0.000687819
8 *524:33 0.00162287
9 *524:27 0.00169242
10 *524:17 0.00278771
11 *524:13 0.00318433
12 *524:8 0.00173497
13 *524:5 0.000317018
14 *6635:A *965:38 0.000560802
15 *6774:A *7037:B 0.000101253
16 *6774:A *860:13 0.000113968
17 *6902:B1 *6760:A_N 0.000451196
18 *6902:B1 *989:16 0.000352008
19 *6902:B1 *1011:18 0.00035709
20 *6902:B1 *1105:57 0.000996511
21 *6902:B1 *1114:57 4.03381e-05
22 *7206:A *6702:B 0.000340742
23 *7206:A *7060:A 0.000856667
24 *7206:A *7091:B1 0.000211272
25 *7206:A *1125:42 6.43474e-05
26 *524:5 *7037:B 0.000207892
27 *524:5 *860:13 0.000205101
28 *524:8 *7263:A0 7.14746e-05
29 *524:8 *840:14 0.000175498
30 *524:8 *1057:21 7.69735e-05
31 *524:8 *1125:42 3.31882e-05
32 *524:13 *6694:A 6.50727e-05
33 *524:13 *6702:B 0.000295272
34 *524:13 *7085:A3 0.000175784
35 *524:13 *7091:B1 0.000606307
36 *524:13 *568:11 0.000465078
37 *524:13 *830:26 5.32688e-05
38 *524:13 *830:38 7.02172e-06
39 *524:13 *846:8 6.08467e-05
40 *524:13 *852:43 0.00136425
41 *524:13 *853:18 0.000294692
42 *524:17 *7085:A3 4.52371e-05
43 *524:17 *7089:A1 0.00015715
44 *524:17 *7089:A3 2.65831e-05
45 *524:17 *7283:CLK 2.16355e-05
46 *524:17 *7286:CLK 6.50586e-05
47 *524:17 *7355:CLK 0.000351035
48 *524:17 *830:38 0.000570356
49 *524:17 *862:13 4.62974e-05
50 *524:17 *932:10 3.42853e-05
51 *524:27 *6935:A1 7.52542e-05
52 *524:27 *6954:A 2.16355e-05
53 *524:27 *6955:A2 0
54 *524:27 *525:25 0.000782321
55 *524:27 *1096:1135 0.000229925
56 *524:27 *1096:1141 0.00104411
57 *524:27 *1140:20 0.000113197
58 *524:33 *6837:A0 0.000377849
59 *524:33 *6837:A1 2.41483e-05
60 *524:33 *6837:S 0.000650738
61 *524:33 *525:19 0.000407056
62 *524:33 *525:25 0.000192174
63 *524:33 *622:18 0.000593404
64 *524:33 *663:8 5.23787e-05
65 *524:33 *965:38 0.000213725
66 *524:33 *1016:8 8.78837e-05
67 *524:38 *6821:A 0
68 *524:38 *967:12 0
69 *524:38 *978:12 0.00040999
70 *524:38 *1114:57 0
71 *7283:D *524:17 1.87611e-05
72 *7286:D *524:17 0.000319027
73 *7321:D *524:33 0.000384069
74 *7355:D *524:27 0.000380222
75 *470:41 *7206:A 7.14746e-05
76 *470:41 *524:8 0.000348411
77 *486:11 *524:13 6.08467e-05
*RES
1 *6634:X *524:5 11.6364
2 *524:5 *524:8 14.2218
3 *524:8 *524:13 45.9988
4 *524:13 *524:17 48.4434
5 *524:17 *524:27 43.3579
6 *524:27 *524:33 29.0564
7 *524:33 *524:38 16.6455
8 *524:38 *6696:A 9.24915
9 *524:38 *6902:B1 36.4885
10 *524:33 *6635:A 18.3157
11 *524:8 *7206:A 25.3417
12 *524:5 *6774:A 10.5271
*END
*D_NET *525 0.0312569
*CONN
*I *6900:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6913:A I *D sky130_fd_sc_hd__nor2_1
*I *6636:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6959:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6940:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6635:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6900:B1 2.06421e-05
2 *6913:A 0.000282991
3 *6636:B1 0.000730394
4 *6959:B1 0.000793248
5 *6940:A1 7.00785e-05
6 *6635:X 0
7 *525:61 0.00298377
8 *525:54 0.00297956
9 *525:50 0.00208723
10 *525:27 0.000863327
11 *525:25 0.00265354
12 *525:19 0.00329828
13 *525:4 0.00174344
14 *6636:B1 *6910:A 5.04535e-05
15 *6636:B1 *6913:B 0
16 *6636:B1 *618:16 0
17 *6636:B1 *618:20 0
18 *6636:B1 *1096:500 2.01595e-05
19 *6636:B1 *1096:509 0.000349931
20 *6636:B1 *1096:565 0.00034635
21 *6900:B1 *6900:A1 6.92705e-05
22 *6940:A1 *1069:11 0.000216088
23 *6940:A1 *1096:224 0.000219701
24 *6959:B1 *6959:A2 0.000160617
25 *6959:B1 *7096:B2 4.70005e-05
26 *6959:B1 *7096:C1 0
27 *6959:B1 *7355:CLK 1.66626e-05
28 *6959:B1 *538:46 0
29 *6959:B1 *597:50 2.69064e-05
30 *6959:B1 *597:61 0
31 *6959:B1 *755:42 4.07936e-05
32 *6959:B1 *832:26 0.000192188
33 *6959:B1 *1069:11 0.000666667
34 *6959:B1 *1096:224 0.000122212
35 *525:19 *6834:A1 0.00122241
36 *525:19 *6838:B1 0.000120546
37 *525:19 *6853:A 7.86825e-06
38 *525:19 *663:8 0.000612662
39 *525:19 *663:30 0.00049012
40 *525:19 *965:38 0.000246586
41 *525:19 *978:12 2.86353e-06
42 *525:19 *1111:14 5.39635e-06
43 *525:25 *6699:A 0.000180483
44 *525:25 *6701:A2_N 0.000107496
45 *525:25 *6954:A 6.75138e-05
46 *525:25 *6954:B 0.000271044
47 *525:25 *6955:A2 0.000268812
48 *525:50 *663:30 0.000654964
49 *525:50 *664:42 0.000543039
50 *525:50 *965:17 0.000112629
51 *525:50 *965:38 0.000118251
52 *525:54 *6582:B 4.44553e-05
53 *525:54 *6679:A 4.56831e-05
54 *525:54 *6836:A 0
55 *525:54 *6900:A1 6.75302e-05
56 *525:54 *557:54 2.16355e-05
57 *525:54 *599:22 0.00160723
58 *525:54 *618:9 7.6719e-06
59 *525:54 *1149:29 0
60 *525:61 *6912:A0 2.14065e-05
61 *525:61 *6913:B 0
62 *525:61 *7258:A 0.000180515
63 *525:61 *7258:B 2.82583e-05
64 *525:61 *618:9 0.000166639
65 *525:61 *618:16 0
66 *6753:B *525:54 5.76123e-05
67 *7269:D *6636:B1 0.000130777
68 *7320:D *525:50 1.65445e-05
69 *7340:D *6900:B1 2.71182e-05
70 *7340:D *525:61 0.000178805
71 *7344:D *6636:B1 0.000148114
72 *7345:D *6913:A 6.50727e-05
73 *306:18 *525:19 0.000421272
74 *483:8 *525:54 5.47736e-05
75 *484:50 *6636:B1 0
76 *523:26 *525:19 0.000251669
77 *523:26 *525:50 0.000248437
78 *524:27 *525:25 0.000782321
79 *524:33 *525:19 0.000407056
80 *524:33 *525:25 0.000192174
*RES
1 *6635:X *525:4 9.24915
2 *525:4 *525:19 35.838
3 *525:19 *525:25 49.9987
4 *525:25 *525:27 4.5
5 *525:27 *6940:A1 17.6574
6 *525:27 *6959:B1 37.7667
7 *525:4 *525:50 27.6004
8 *525:50 *525:54 37.3744
9 *525:54 *525:61 36.3288
10 *525:61 *6636:B1 37.1743
11 *525:61 *6913:A 20.4526
12 *525:54 *6900:B1 10.5513
*END
*D_NET *526 0.00577812
*CONN
*I *6638:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7208:B I *D sky130_fd_sc_hd__and3b_2
*I *6637:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6638:A 0.000151448
2 *7208:B 0
3 *6637:Y 0
4 *526:18 0.00127589
5 *526:4 0.00142733
6 *6638:A *1125:65 0.000172253
7 *526:18 *7051:B 0.000173862
8 *526:18 *7100:B1 0
9 *526:18 *627:11 0.000186597
10 *526:18 *634:14 6.08467e-05
11 *526:18 *1049:19 7.58739e-05
12 *526:18 *1119:9 0.000913014
13 *526:18 *1125:53 0.000184627
14 *526:18 *1125:65 4.91225e-06
15 *6605:B_N *526:18 0.000160617
16 *6631:A *526:18 9.54448e-05
17 *7051:A *526:18 0.000584153
18 *498:5 *526:18 0.000311249
*RES
1 *6637:Y *526:4 9.24915
2 *526:4 *526:18 49.6461
3 *526:18 *7208:B 9.24915
4 *526:4 *6638:A 14.2888
*END
*D_NET *527 0.0146552
*CONN
*I *6684:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6639:A I *D sky130_fd_sc_hd__nand2_1
*I *6708:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6678:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6691:A I *D sky130_fd_sc_hd__buf_2
*I *6638:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6684:A 6.80112e-05
2 *6639:A 0.000159299
3 *6708:A 0
4 *6678:A 0.000175469
5 *6691:A 2.06324e-05
6 *6638:X 0.00020972
7 *527:43 0.000191905
8 *527:31 0.00182886
9 *527:29 0.00285056
10 *527:11 0.00148486
11 *527:8 0.000512179
12 *6639:A *541:19 0.000160462
13 *6639:A *580:11 2.41483e-05
14 *6639:A *580:35 0.000404533
15 *6639:A *881:19 7.6719e-06
16 *6678:A *691:20 2.65667e-05
17 *6678:A *1096:670 6.50586e-05
18 *6678:A *1096:683 0
19 *6678:A *1143:28 0
20 *6684:A *6704:C 7.06329e-05
21 *6684:A *569:17 3.20069e-06
22 *6684:A *570:8 0.000174205
23 *527:8 *6704:A 0.000122378
24 *527:8 *6704:B 0.000100721
25 *527:8 *6704:C 0.000201799
26 *527:8 *570:8 0.000212476
27 *527:11 *568:7 2.85274e-05
28 *527:29 *7289:CLK 6.50727e-05
29 *527:29 *568:7 2.82583e-05
30 *527:29 *938:7 4.31703e-05
31 *527:29 *1123:17 0.000505598
32 *527:31 *6697:A 0.000593901
33 *527:31 *6711:A 6.50586e-05
34 *527:31 *6711:B 0.000113197
35 *527:31 *6963:A1 0.000893184
36 *527:31 *6963:B1_N 6.50586e-05
37 *527:31 *533:8 1.92336e-05
38 *527:31 *533:21 0.000342743
39 *527:31 *573:8 6.50727e-05
40 *527:31 *573:29 0.000305742
41 *527:31 *580:11 0.000111055
42 *527:31 *582:7 0.000111708
43 *527:31 *881:19 0.000802132
44 *527:31 *1123:17 1.27221e-05
45 *527:31 *1125:71 0.000216467
46 *527:31 *1125:84 0.000150019
47 *527:43 *580:11 1.01177e-05
48 *527:43 *881:19 9.97706e-05
49 *7289:D *527:29 0.000223118
50 *7357:D *527:31 0.000211492
51 *438:58 *527:8 0
52 *523:56 *6678:A 0.00016768
53 *523:69 *527:29 0.000329782
*RES
1 *6638:X *527:8 21.7084
2 *527:8 *527:11 9.66022
3 *527:11 *6691:A 9.82786
4 *527:11 *527:29 34.8074
5 *527:29 *527:31 52.0242
6 *527:31 *6678:A 23.2961
7 *527:31 *527:43 1.278
8 *527:43 *6708:A 9.24915
9 *527:43 *6639:A 15.9767
10 *527:8 *6684:A 16.8269
*END
*D_NET *528 0.00769293
*CONN
*I *6989:A I *D sky130_fd_sc_hd__or3_1
*I *6974:C1 I *D sky130_fd_sc_hd__a211oi_1
*I *6640:A I *D sky130_fd_sc_hd__clkbuf_4
*I *6639:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6989:A 0.000461546
2 *6974:C1 0
3 *6640:A 0.000261851
4 *6639:Y 0.000385898
5 *528:24 0.00100032
6 *528:5 0.00118653
7 *6640:A *587:38 0.000576792
8 *6640:A *1078:8 0.000165511
9 *6640:A *1096:667 4.62843e-05
10 *6989:A *6973:B 5.79399e-05
11 *6989:A *6974:A2 6.08467e-05
12 *6989:A *6977:C 0.000228344
13 *6989:A *586:38 3.8122e-05
14 *6989:A *589:45 0.000714775
15 *6989:A *696:89 0.000489918
16 *6989:A *880:24 0.000489918
17 *6989:A *902:10 1.93378e-05
18 *6989:A *1099:75 0.000154145
19 *528:5 *580:35 0.000625668
20 *528:24 *6971:A3 0.000235539
21 *528:24 *6973:B 2.09495e-05
22 *528:24 *6974:A1 8.62625e-06
23 *528:24 *580:35 0.000103983
24 *528:24 *587:38 4.59039e-05
25 *528:24 *762:8 2.79471e-05
26 *528:24 *770:17 8.52968e-05
27 *528:24 *1096:667 0.000118485
28 *7359:D *528:24 7.43368e-05
29 *522:49 *528:24 8.11463e-06
*RES
1 *6639:Y *528:5 16.0732
2 *528:5 *6640:A 28.8014
3 *528:5 *528:24 23.9569
4 *528:24 *6974:C1 9.24915
5 *528:24 *6989:A 38.701
*END
*D_NET *529 0.0351823
*CONN
*I *6671:C1 I *D sky130_fd_sc_hd__a211oi_1
*I *6677:A I *D sky130_fd_sc_hd__nor2_1
*I *6641:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6939:A I *D sky130_fd_sc_hd__or3_1
*I *6952:A I *D sky130_fd_sc_hd__or3_1
*I *6640:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6671:C1 0.000335191
2 *6677:A 0.000326434
3 *6641:B1 0
4 *6939:A 0
5 *6952:A 0.000609022
6 *6640:X 0.000679925
7 *529:66 0.00157833
8 *529:54 0.00298623
9 *529:52 0.00359733
10 *529:43 0.00229897
11 *529:17 0.00159474
12 *529:8 0.00243681
13 *6671:C1 *6671:A1 1.44467e-05
14 *6671:C1 *6671:A2 9.14834e-05
15 *6671:C1 *6671:B1 3.18679e-05
16 *6671:C1 *6676:B 0.000132291
17 *6671:C1 *7278:CLK 0
18 *6671:C1 *553:8 6.43474e-05
19 *6671:C1 *1096:1010 8.84036e-05
20 *6671:C1 *1096:1031 4.47578e-05
21 *6677:A *6676:B 0.00015321
22 *6677:A *6677:B 0.000294256
23 *6677:A *7279:CLK 7.15348e-05
24 *6677:A *961:8 0
25 *6677:A *1096:1010 2.36494e-05
26 *6952:A *6939:C 0.000924965
27 *6952:A *6940:B1 7.92757e-06
28 *6952:A *6952:C 0.000158997
29 *529:8 *7336:CLK 0.000118485
30 *529:8 *547:37 1.03403e-05
31 *529:8 *589:58 0
32 *529:8 *1016:8 0
33 *529:8 *1078:8 8.93599e-05
34 *529:17 *6933:A 0.0005768
35 *529:17 *6934:B 0.000317693
36 *529:17 *6936:B 0.000835865
37 *529:17 *6937:A 1.00846e-05
38 *529:17 *6937:C 0.000102801
39 *529:17 *6939:B 0.000808283
40 *529:17 *6940:B1 2.78407e-05
41 *529:17 *1016:8 0
42 *529:17 *1078:8 3.10924e-05
43 *529:17 *1136:52 0.000124884
44 *529:43 *7326:CLK 0.00196928
45 *529:43 *589:68 2.99978e-05
46 *529:43 *696:48 0.0011116
47 *529:43 *978:12 1.70975e-05
48 *529:43 *987:12 3.04007e-05
49 *529:43 *1133:18 5.70841e-05
50 *529:52 *586:63 0.000398089
51 *529:52 *589:68 0.000329233
52 *529:52 *965:17 2.5124e-05
53 *529:52 *965:38 0.000950036
54 *529:52 *989:16 7.14746e-05
55 *529:52 *1011:18 7.50872e-05
56 *529:54 *6641:A1 6.08467e-05
57 *529:54 *6829:A1 0.000217923
58 *529:54 *6833:A1 0.00158164
59 *529:54 *965:13 0.000516173
60 *529:54 *965:17 0.00376481
61 *529:66 *6614:A 0.00018806
62 *529:66 *6641:A1 0.000114594
63 *6619:A2 *529:66 4.92759e-05
64 *6641:A2 *529:66 0.000165521
65 *6670:A *529:66 0.00015709
66 *6932:B *529:8 0.000349993
67 *6932:B *529:17 3.31882e-05
68 *7321:D *529:8 0
69 *500:17 *529:8 0.000435243
70 *502:20 *529:17 0.000884803
*RES
1 *6640:X *529:8 34.1604
2 *529:8 *529:17 42.6036
3 *529:17 *6952:A 27.1653
4 *529:17 *6939:A 9.24915
5 *529:8 *529:43 41.4225
6 *529:43 *529:52 35.7356
7 *529:52 *529:54 71.1581
8 *529:54 *6641:B1 9.24915
9 *529:54 *529:66 22.0544
10 *529:66 *6677:A 24.6365
11 *529:66 *6671:C1 24.1971
*END
*D_NET *530 0.00101526
*CONN
*I *6642:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6641:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6642:B1 0.000329259
2 *6641:Y 0.000329259
3 *6642:B1 *952:10 0.000118485
4 *6642:B1 *952:21 5.92342e-05
5 *6670:A *6642:B1 0
6 *7270:D *6642:B1 0.000153225
7 *502:8 *6642:B1 0
8 *502:60 *6642:B1 2.57986e-05
9 *502:71 *6642:B1 0
*RES
1 *6641:Y *6642:B1 36.771
*END
*D_NET *531 0.00538914
*CONN
*I *6650:B I *D sky130_fd_sc_hd__and2_1
*I *6654:C I *D sky130_fd_sc_hd__and3_1
*I *6652:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6648:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6643:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6650:B 0.000134741
2 *6654:C 0
3 *6652:A2 0.000145263
4 *6648:A_N 0.000365653
5 *6643:X 0.000494114
6 *531:15 0.000776901
7 *531:11 0.000384137
8 *531:8 0.000747008
9 *6648:A_N *6648:B 3.24105e-05
10 *6648:A_N *6649:A 1.43983e-05
11 *6648:A_N *547:39 0.000390289
12 *6650:B *6616:B 0.000102632
13 *6650:B *6657:A 5.77383e-05
14 *6650:B *954:16 0.000135905
15 *6650:B *955:13 0
16 *6652:A2 *6652:A1 0.000489918
17 *6652:A2 *6653:B 1.41291e-05
18 *6652:A2 *538:77 0.000122378
19 *531:8 *6615:D 0.000100255
20 *531:8 *6616:B 0.000268165
21 *531:8 *953:10 0
22 *531:11 *6615:C 0.000202063
23 *531:15 *6615:C 0.000116269
24 *531:15 *6652:A1 0.000226918
25 *531:15 *955:13 6.78549e-05
*RES
1 *6643:X *531:8 25.3063
2 *531:8 *531:11 6.73047
3 *531:11 *531:15 9.26236
4 *531:15 *6648:A_N 25.5934
5 *531:15 *6652:A2 19.9109
6 *531:11 *6654:C 9.24915
7 *531:8 *6650:B 18.4879
*END
*D_NET *532 0.0140119
*CONN
*I *6681:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6697:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6645:B I *D sky130_fd_sc_hd__nor2_4
*I *6692:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6644:Y O *D sky130_fd_sc_hd__nor3b_4
*CAP
1 *6681:A 0.00167014
2 *6697:A 5.70487e-05
3 *6645:B 0
4 *6692:A 0
5 *6644:Y 0.000131586
6 *532:20 0.000228016
7 *532:17 0.0018411
8 *532:15 0.00114622
9 *532:10 0.0012778
10 *6681:A *6712:A1_N 0.000142328
11 *6681:A *6712:A2_N 0.000267129
12 *6681:A *6712:B1 6.08467e-05
13 *6681:A *7107:A1 0.000289907
14 *6681:A *572:33 0.000503502
15 *6681:A *937:9 5.51483e-06
16 *6697:A *533:8 0.000171288
17 *6697:A *533:21 0.000185642
18 *532:10 *835:11 0.000113374
19 *532:10 *841:8 0.000116971
20 *532:15 *6685:A 0.000580998
21 *532:15 *563:7 6.50727e-05
22 *532:15 *569:7 0.000464127
23 *532:15 *583:9 7.68538e-06
24 *532:15 *855:17 0.000207266
25 *532:15 *895:22 0.000725262
26 *532:15 *1121:17 0.00237482
27 *532:15 *1123:9 0.000639519
28 *532:20 *6709:A 0
29 *532:20 *533:8 3.31733e-05
30 *6632:A *532:10 0.000111708
31 *527:31 *6697:A 0.000593901
*RES
1 *6644:Y *532:10 21.7744
2 *532:10 *6692:A 9.24915
3 *532:10 *532:15 50.0831
4 *532:15 *532:17 4.5
5 *532:17 *532:20 8.40826
6 *532:20 *6645:B 9.24915
7 *532:20 *6697:A 15.5186
8 *532:17 *6681:A 46.7461
*END
*D_NET *533 0.0284025
*CONN
*I *6663:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7008:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6646:A I *D sky130_fd_sc_hd__buf_2
*I *6947:C I *D sky130_fd_sc_hd__and3b_1
*I *6645:Y O *D sky130_fd_sc_hd__nor2_4
*CAP
1 *6663:A 0
2 *7008:C1 0.000283481
3 *6646:A 0
4 *6947:C 4.24784e-05
5 *6645:Y 0
6 *533:47 0.00121767
7 *533:43 0.00182548
8 *533:36 0.000976327
9 *533:25 0.000752822
10 *533:24 0.00164412
11 *533:21 0.00250504
12 *533:8 0.00159465
13 *533:4 0.00251391
14 *6947:C *6868:A 6.50727e-05
15 *7008:C1 *7008:B1 5.04734e-05
16 *7008:C1 *7009:A 0
17 *7008:C1 *7012:A 2.01653e-05
18 *7008:C1 *7159:B2 0
19 *7008:C1 *740:31 4.66386e-05
20 *533:8 *6707:B2 0
21 *533:8 *6709:A 0
22 *533:8 *6953:A1 0
23 *533:8 *6953:A2 0
24 *533:8 *583:29 0
25 *533:8 *936:9 0
26 *533:8 *1068:10 9.75356e-05
27 *533:8 *1110:27 8.64857e-05
28 *533:8 *1123:17 8.41174e-05
29 *533:8 *1125:84 0.00101109
30 *533:8 *1138:36 0.000113107
31 *533:8 *1138:41 1.04747e-05
32 *533:8 *1141:26 0
33 *533:21 *6711:A 2.65667e-05
34 *533:21 *6711:B 0.000470571
35 *533:21 *6963:A1 0.000382728
36 *533:21 *6963:B1_N 2.65667e-05
37 *533:21 *573:8 2.65831e-05
38 *533:21 *573:29 4.56667e-05
39 *533:21 *580:11 0.000616991
40 *533:21 *1123:17 0.00130094
41 *533:24 *6963:A1 0.00013345
42 *533:24 *6965:C1 0
43 *533:24 *6990:A2 3.58321e-05
44 *533:24 *560:8 4.89285e-05
45 *533:24 *560:17 8.3171e-05
46 *533:24 *560:54 0.00018511
47 *533:24 *593:8 0
48 *533:24 *763:14 7.42512e-05
49 *533:24 *1096:694 5.21887e-05
50 *533:24 *1096:703 2.75292e-05
51 *533:24 *1099:58 1.87469e-05
52 *533:24 *1105:56 0.00122487
53 *533:24 *1106:15 0.000148998
54 *533:25 *595:27 0.000266846
55 *533:36 *594:31 0.000100066
56 *533:36 *598:20 3.20069e-06
57 *533:36 *1106:15 6.10997e-05
58 *533:43 *6992:A 0.000436825
59 *533:43 *7159:B2 0.000483474
60 *533:43 *595:31 0.00154279
61 *533:47 *6980:A 0
62 *533:47 *7126:A 1.66771e-05
63 *533:47 *7149:A2 6.05448e-05
64 *533:47 *7157:A 0.00016888
65 *533:47 *538:21 6.50586e-05
66 *533:47 *586:49 4.03114e-05
67 *533:47 *595:37 0
68 *533:47 *696:86 0.000951347
69 *533:47 *740:31 0.000806579
70 *533:47 *900:6 4.99033e-05
71 *533:47 *941:10 0.000134323
72 *533:47 *1133:20 0
73 *6697:A *533:8 0.000171288
74 *6697:A *533:21 0.000185642
75 *7286:D *533:8 0.000140365
76 *404:8 *533:47 0
77 *413:5 *533:43 0.00245128
78 *527:31 *533:8 1.92336e-05
79 *527:31 *533:21 0.000342743
80 *532:20 *533:8 3.31733e-05
*RES
1 *6645:Y *533:4 9.24915
2 *533:4 *533:8 49.4139
3 *533:8 *6947:C 14.4725
4 *533:4 *533:21 42.9606
5 *533:21 *533:24 49.9335
6 *533:24 *533:25 2.94181
7 *533:25 *533:36 29.5944
8 *533:36 *533:43 37.5833
9 *533:43 *533:47 45.2586
10 *533:47 *6646:A 9.24915
11 *533:43 *7008:C1 20.5642
12 *533:25 *6663:A 9.24915
*END
*D_NET *534 0.0182516
*CONN
*I *6651:A I *D sky130_fd_sc_hd__clkbuf_4
*I *6648:B I *D sky130_fd_sc_hd__and3b_1
*I *6655:A I *D sky130_fd_sc_hd__clkbuf_4
*I *7014:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6979:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6646:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6651:A 0.000106934
2 *6648:B 0.000440332
3 *6655:A 0
4 *7014:C1 1.93962e-05
5 *6979:B1 1.54601e-05
6 *6646:X 0.000316744
7 *534:46 0.0014574
8 *534:40 0.00246281
9 *534:34 0.00277058
10 *534:20 0.00245072
11 *534:8 0.00133175
12 *6648:B *541:5 6.92705e-05
13 *6648:B *1096:527 0.000380987
14 *6648:B *1096:536 2.19131e-05
15 *6979:B1 *691:62 0.000171273
16 *6979:B1 *1099:75 0.000171273
17 *7014:C1 *7014:A2 3.01683e-06
18 *534:8 *538:21 0.000134171
19 *534:8 *696:86 4.3114e-05
20 *534:8 *1133:20 0.000327446
21 *534:20 *6980:A 0.000360672
22 *534:20 *6980:B 1.62097e-05
23 *534:20 *6981:B1 4.45548e-05
24 *534:20 *7014:A1 1.00937e-05
25 *534:20 *7014:A2 7.48633e-05
26 *534:20 *7015:B1 0.000160617
27 *534:20 *7158:A 5.16917e-05
28 *534:20 *559:11 0.000118485
29 *534:20 *696:86 0
30 *534:20 *831:43 0.000364356
31 *534:20 *832:10 0.000114594
32 *534:20 *832:65 0.000626244
33 *534:20 *1133:20 0.000695662
34 *534:34 *7014:A2 0.000123176
35 *534:34 *7023:A1 0.000217951
36 *534:34 *7347:CLK 0.000219878
37 *534:34 *1096:943 0.000147308
38 *534:34 *1096:972 0.000807669
39 *534:40 *7347:CLK 4.5271e-05
40 *534:40 *1146:8 0.000171273
41 *534:46 *6893:A 0
42 *534:46 *6893:B 5.80222e-05
43 *534:46 *6893:C 0.000141016
44 *534:46 *6912:S 0.000148159
45 *534:46 *7346:CLK 0
46 *534:46 *538:77 0
47 *534:46 *541:5 6.50727e-05
48 *534:46 *541:35 8.07794e-05
49 *534:46 *713:9 0
50 *534:46 *728:15 0
51 *534:46 *1096:536 0
52 *534:46 *1144:15 0.000267649
53 *534:46 *1146:8 0
54 *6648:A_N *6648:B 3.24105e-05
55 *7346:D *534:46 9.96342e-05
56 *7347:D *534:40 0.000259607
57 *7349:D *534:34 1.87611e-05
58 *7371:D *534:34 1.53125e-05
*RES
1 *6646:X *534:8 22.1209
2 *534:8 *6979:B1 15.5817
3 *534:8 *534:20 38.3723
4 *534:20 *7014:C1 9.82786
5 *534:20 *534:34 41.2818
6 *534:34 *534:40 23.655
7 *534:40 *534:46 38.5468
8 *534:46 *6655:A 9.24915
9 *534:46 *6648:B 30.3904
10 *534:40 *6651:A 11.6364
*END
*D_NET *535 0.00117105
*CONN
*I *6648:C I *D sky130_fd_sc_hd__and3b_1
*I *6647:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *6648:C 0.000373204
2 *6647:X 0.000373204
3 *6648:C *6649:A 7.14746e-05
4 *6648:C *1096:527 0.000116821
5 *6647:A1 *6648:C 0.000171288
6 *500:28 *6648:C 6.50586e-05
7 *508:8 *6648:C 0
*RES
1 *6647:X *6648:C 36.2853
*END
*D_NET *536 0.00113651
*CONN
*I *6649:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6648:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6649:A 0.000486364
2 *6648:X 0.000486364
3 *6649:A *7271:CLK 0
4 *6649:A *1096:512 5.35406e-05
5 *6649:A *1096:527 9.18559e-06
6 *6648:A_N *6649:A 1.43983e-05
7 *6648:C *6649:A 7.14746e-05
8 *7271:D *6649:A 1.51843e-05
*RES
1 *6648:X *6649:A 36.1008
*END
*D_NET *537 0.00162187
*CONN
*I *6653:A I *D sky130_fd_sc_hd__nor2_1
*I *6656:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6650:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6653:A 0.000176521
2 *6656:A2 0
3 *6650:X 0.000228828
4 *537:9 0.000405349
5 *6653:A *6656:A1 6.3657e-05
6 *6653:A *6656:B1 4.65954e-06
7 *6653:A *541:35 0.000590314
8 *537:9 *541:35 4.58907e-05
9 *537:9 *541:45 0.00010665
*RES
1 *6650:X *537:9 24.5474
2 *537:9 *6656:A2 9.24915
3 *537:9 *6653:A 16.676
*END
*D_NET *538 0.0360637
*CONN
*I *6652:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *7018:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6962:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6944:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6971:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6651:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6652:B1 0
2 *7018:A1 4.10468e-05
3 *6962:B1 3.06515e-05
4 *6944:A1 0.000445326
5 *6971:A1 3.30883e-05
6 *6651:X 0
7 *538:77 0.00139578
8 *538:46 0.00165974
9 *538:43 0.00402484
10 *538:21 0.00444834
11 *538:14 0.00295764
12 *538:11 0.00136321
13 *538:9 0.00381896
14 *538:8 0.00428095
15 *538:4 0.00185778
16 *6944:A1 *626:33 5.73392e-05
17 *6944:A1 *696:31 0.000188401
18 *6944:A1 *1123:32 0.000901903
19 *6962:B1 *691:20 6.50176e-05
20 *6962:B1 *888:8 1.40496e-05
21 *6971:A1 *691:16 0.000107496
22 *6971:A1 *1143:56 3.31745e-05
23 *7018:A1 *7018:A2 5.2092e-05
24 *7018:A1 *7019:B 1.77537e-06
25 *7018:A1 *7023:A1 0
26 *7018:A1 *950:12 0
27 *538:9 *7018:B1 9.19886e-06
28 *538:9 *1096:956 4.49011e-05
29 *538:14 *6891:C 0
30 *538:14 *7018:A2 7.50872e-05
31 *538:14 *7023:A1 0
32 *538:14 *7023:B1 0
33 *538:14 *560:44 0
34 *538:14 *599:18 0
35 *538:14 *802:8 0
36 *538:14 *948:8 0.000157753
37 *538:14 *950:12 0
38 *538:21 *6759:A 0.000164829
39 *538:21 *6759:B_N 6.73022e-05
40 *538:21 *6889:A2 0.000472818
41 *538:21 *6889:C1 4.84066e-05
42 *538:21 *6890:A 0.000377273
43 *538:21 *6969:A 0.000107496
44 *538:21 *6969:B 6.50727e-05
45 *538:21 *560:44 0.000271044
46 *538:21 *586:49 0.000393643
47 *538:21 *595:37 0.000107496
48 *538:21 *691:16 0.000279164
49 *538:21 *706:24 0.000326398
50 *538:21 *769:8 0.000123176
51 *538:21 *1143:56 0.000304777
52 *538:21 *1152:20 7.89747e-05
53 *538:43 *6968:A2 0.000126776
54 *538:43 *7120:A1 1.80887e-05
55 *538:43 *541:19 0.000370653
56 *538:43 *586:49 5.05252e-05
57 *538:43 *589:45 0
58 *538:43 *820:28 0
59 *538:43 *873:51 3.20185e-06
60 *538:43 *895:16 0.000509045
61 *538:43 *1112:52 0.000596696
62 *538:46 *6940:A2 0
63 *538:46 *6940:B1 0
64 *538:46 *691:20 0.000476743
65 *538:46 *691:25 0.00164201
66 *538:46 *888:8 1.6866e-05
67 *538:46 *1069:11 0
68 *538:77 *6652:A1 2.41483e-05
69 *538:77 *6653:B 3.14978e-05
70 *538:77 *7346:CLK 0.000286145
71 *538:77 *1096:527 7.26907e-05
72 *538:77 *1096:536 0.000263641
73 *6652:A2 *538:77 0.000122378
74 *6959:B1 *538:46 0
75 *7346:D *538:77 0
76 *7351:D *538:46 0
77 *533:47 *538:21 6.50586e-05
78 *534:8 *538:21 0.000134171
79 *534:46 *538:77 0
*RES
1 *6651:X *538:4 9.24915
2 *538:4 *538:8 20.3828
3 *538:8 *538:9 55.6292
4 *538:9 *538:11 4.5
5 *538:11 *538:14 36.2302
6 *538:14 *538:21 49.7455
7 *538:21 *6971:A1 10.5271
8 *538:21 *538:43 49.5208
9 *538:43 *538:46 42.6666
10 *538:46 *6944:A1 23.4759
11 *538:43 *6962:B1 14.9583
12 *538:11 *7018:A1 15.1659
13 *538:4 *538:77 47.9961
14 *538:77 *6652:B1 9.24915
*END
*D_NET *539 0.000710431
*CONN
*I *6653:B I *D sky130_fd_sc_hd__nor2_1
*I *6652:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6653:B 0.000281828
2 *6652:Y 0.000281828
3 *6652:A2 *6653:B 1.41291e-05
4 *7272:D *6653:B 0.000101148
5 *538:77 *6653:B 3.14978e-05
*RES
1 *6652:Y *6653:B 34.3456
*END
*D_NET *540 0.00554207
*CONN
*I *6659:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6658:B I *D sky130_fd_sc_hd__and2_1
*I *6666:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6657:A I *D sky130_fd_sc_hd__nor2_1
*I *6654:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6659:A2 9.05014e-05
2 *6658:B 0
3 *6666:A3 3.79282e-05
4 *6657:A 0.000399799
5 *6654:X 0
6 *540:31 0.000305281
7 *540:21 0.000941967
8 *540:4 0.00108906
9 *6657:A *6657:B 0.000141782
10 *6657:A *955:8 0
11 *6657:A *955:13 0
12 *6659:A2 *6658:A 9.74909e-05
13 *6659:A2 *6659:A1 7.48797e-05
14 *6659:A2 *541:45 0.00042812
15 *6666:A3 *548:6 1.90191e-05
16 *6666:A3 *957:19 8.62625e-06
17 *540:21 *6615:A 0.00133319
18 *540:21 *6615:C 7.35402e-05
19 *540:21 *954:28 0.000161234
20 *540:31 *6660:A 1.32509e-05
21 *540:31 *543:10 3.07997e-05
22 *540:31 *957:19 0.000174205
23 *6650:B *6657:A 5.77383e-05
24 *473:5 *6657:A 6.3657e-05
*RES
1 *6654:X *540:4 9.24915
2 *540:4 *6657:A 28.2791
3 *540:4 *540:21 24.2728
4 *540:21 *6666:A3 14.7506
5 *540:21 *540:31 10.4845
6 *540:31 *6658:B 9.24915
7 *540:31 *6659:A2 14.4335
*END
*D_NET *541 0.0308233
*CONN
*I *6661:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6659:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6656:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6931:A I *D sky130_fd_sc_hd__clkbuf_4
*I *6690:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6655:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6661:B1 0.000272827
2 *6659:B1 0
3 *6656:B1 1.97721e-05
4 *6931:A 0
5 *6690:A 6.47974e-05
6 *6655:X 0
7 *541:45 0.00133719
8 *541:35 0.00178052
9 *541:19 0.0037636
10 *541:10 0.00376263
11 *541:5 0.00604717
12 *541:4 0.00667972
13 *6661:B1 *6662:A1 0
14 *6661:B1 *956:8 0
15 *6661:B1 *956:13 0
16 *6690:A *1125:71 0
17 *6690:A *1125:84 7.76776e-05
18 *541:5 *6582:A 0.000124853
19 *541:5 *6747:B 0.00104831
20 *541:5 *6908:A3 2.65831e-05
21 *541:5 *6910:A 2.65667e-05
22 *541:5 *602:8 4.49059e-05
23 *541:5 *705:7 2.59398e-05
24 *541:5 *723:5 9.27488e-05
25 *541:5 *723:20 9.60622e-05
26 *541:5 *1149:20 3.40288e-05
27 *541:5 *1149:29 0.000281447
28 *541:10 *645:8 2.69064e-05
29 *541:10 *740:14 2.36494e-05
30 *541:19 *6968:A1 0.000457641
31 *541:19 *7120:B1 0.000220183
32 *541:19 *7120:B2 0.000729678
33 *541:19 *572:16 0.000635296
34 *541:19 *580:35 7.68538e-06
35 *541:19 *820:28 0
36 *541:19 *881:11 0.000307037
37 *541:19 *881:19 0.000107496
38 *541:19 *895:16 0.000637277
39 *541:19 *1143:28 3.55968e-05
40 *541:35 *6657:B 6.64392e-05
41 *541:35 *1096:536 0
42 *541:45 *6657:B 1.55025e-05
43 *541:45 *6658:A 1.19721e-05
44 *6639:A *541:19 0.000160462
45 *6648:B *541:5 6.92705e-05
46 *6653:A *6656:B1 4.65954e-06
47 *6653:A *541:35 0.000590314
48 *6659:A2 *541:45 0.00042812
49 *7275:D *6661:B1 0
50 *523:56 *541:19 1.17185e-05
51 *534:46 *541:5 6.50727e-05
52 *534:46 *541:35 8.07794e-05
53 *537:9 *541:35 4.58907e-05
54 *537:9 *541:45 0.00010665
55 *538:43 *541:19 0.000370653
*RES
1 *6655:X *541:4 9.24915
2 *541:4 *541:5 90.0146
3 *541:5 *541:10 10.832
4 *541:10 *541:19 49.0063
5 *541:19 *6690:A 15.3735
6 *541:10 *6931:A 9.24915
7 *541:4 *541:35 27.2208
8 *541:35 *6656:B1 9.82786
9 *541:35 *541:45 25.7047
10 *541:45 *6659:B1 9.24915
11 *541:45 *6661:B1 23.99
*END
*D_NET *542 0.000468159
*CONN
*I *6657:B I *D sky130_fd_sc_hd__nor2_1
*I *6656:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6657:B 0.000122218
2 *6656:Y 0.000122218
3 *6657:B *955:8 0
4 *6657:A *6657:B 0.000141782
5 *541:35 *6657:B 6.64392e-05
6 *541:45 *6657:B 1.55025e-05
*RES
1 *6656:Y *6657:B 30.8842
*END
*D_NET *543 0.00309216
*CONN
*I *6660:A I *D sky130_fd_sc_hd__nor2_1
*I *6661:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6662:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6665:C I *D sky130_fd_sc_hd__nand3_1
*I *6658:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6660:A 0.000298874
2 *6661:A2 0
3 *6662:A2 0.000331699
4 *6665:C 0.000115378
5 *6658:X 4.18965e-05
6 *543:21 0.000505945
7 *543:10 0.000365173
8 *543:7 0.00041632
9 *6660:A *6660:B 0.000118166
10 *6660:A *7429:CLK 0
11 *6660:A *956:8 0.000160482
12 *6660:A *956:13 2.95757e-05
13 *6662:A2 *6662:B1 6.50727e-05
14 *6662:A2 *6665:A 0
15 *6665:C *6665:A 6.50586e-05
16 *6665:C *957:13 2.404e-05
17 *6665:C *1096:1046 1.98996e-05
18 *543:7 *6658:A 6.50586e-05
19 *543:10 *956:13 0.000134323
20 *543:10 *957:19 1.44611e-05
21 *543:21 *6665:A 0.000107496
22 *543:21 *957:9 2.41483e-05
23 *543:21 *957:13 0.000118128
24 *7259:A *6660:A 0
25 *7274:D *6660:A 2.692e-05
26 *540:31 *6660:A 1.32509e-05
27 *540:31 *543:10 3.07997e-05
*RES
1 *6658:X *543:7 14.4725
2 *543:7 *543:10 7.1625
3 *543:10 *6665:C 12.2151
4 *543:10 *543:21 5.59426
5 *543:21 *6662:A2 26.0719
6 *543:21 *6661:A2 9.24915
7 *543:7 *6660:A 22.6727
*END
*D_NET *544 0.00110262
*CONN
*I *6660:B I *D sky130_fd_sc_hd__nor2_1
*I *6659:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6660:B 0.000492228
2 *6659:Y 0.000492228
3 *6660:B *956:8 0
4 *6660:A *6660:B 0.000118166
*RES
1 *6659:Y *6660:B 38.9459
*END
*D_NET *545 0.000769418
*CONN
*I *6662:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6661:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6662:B1 0.000280981
2 *6661:Y 0.000280981
3 *6662:B1 *6662:A1 2.78452e-05
4 *6662:B1 *957:9 3.94667e-05
5 *6662:A2 *6662:B1 6.50727e-05
6 *7275:D *6662:B1 7.50722e-05
*RES
1 *6661:Y *6662:B1 33.6866
*END
*D_NET *546 0.00966642
*CONN
*I *6984:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6993:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6999:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6664:A I *D sky130_fd_sc_hd__clkbuf_4
*I *6965:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6663:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6984:C1 0.000381892
2 *6993:B1 6.38882e-05
3 *6999:C1 0.000558248
4 *6664:A 5.28646e-05
5 *6965:C1 0.0013379
6 *6663:X 0.000315283
7 *546:42 0.000658829
8 *546:30 0.00136442
9 *546:21 0.000645985
10 *546:8 0.00165318
11 *6664:A *547:7 6.50586e-05
12 *6664:A *597:31 6.73186e-05
13 *6965:C1 *6965:B1 3.20069e-06
14 *6965:C1 *6995:A 3.42931e-05
15 *6965:C1 *557:6 0
16 *6965:C1 *557:22 0
17 *6965:C1 *587:36 1.66771e-05
18 *6965:C1 *880:14 5.46781e-05
19 *6965:C1 *880:24 7.37791e-05
20 *6965:C1 *1096:694 0
21 *6965:C1 *1096:703 0
22 *6965:C1 *1096:841 6.42088e-05
23 *6965:C1 *1106:15 0
24 *6984:C1 *6983:A 0
25 *6984:C1 *6983:B 0
26 *6984:C1 *6984:A2 2.66404e-06
27 *6984:C1 *6984:B1 0.000116971
28 *6984:C1 *6985:B 0.000135629
29 *6984:C1 *6994:B 6.50727e-05
30 *6984:C1 *910:11 6.50727e-05
31 *6984:C1 *944:21 6.75138e-05
32 *6993:B1 *944:10 1.09738e-05
33 *6993:B1 *944:21 6.50727e-05
34 *6999:C1 *6993:A2 7.65861e-05
35 *6999:C1 *6994:A 0.000102137
36 *6999:C1 *6998:A 0.000143032
37 *6999:C1 *6999:A1 6.50586e-05
38 *6999:C1 *6999:A2 9.99386e-06
39 *6999:C1 *6999:B1 4.70005e-05
40 *6999:C1 *787:41 2.39519e-05
41 *6999:C1 *944:10 0
42 *546:8 *6995:A 9.07961e-05
43 *546:8 *6996:A 7.14746e-05
44 *546:8 *595:31 0.000118166
45 *546:8 *1096:841 0
46 *546:8 *1106:15 0
47 *546:30 *6994:B 0.00027103
48 *546:30 *597:31 0.000156918
49 *546:42 *6994:B 0.000379505
50 *7363:D *6965:C1 0
51 *404:14 *546:8 2.82537e-05
52 *417:26 *6999:C1 6.50586e-05
53 *428:24 *6993:B1 6.50727e-05
54 *428:24 *6999:C1 1.17108e-05
55 *533:24 *6965:C1 0
*RES
1 *6663:X *546:8 21.4269
2 *546:8 *6965:C1 43.8916
3 *546:8 *546:21 4.5
4 *546:21 *6664:A 11.0817
5 *546:21 *546:30 10.1758
6 *546:30 *6999:C1 33.2621
7 *546:30 *546:42 4.05102
8 *546:42 *6993:B1 20.3893
9 *546:42 *6984:C1 27.5077
*END
*D_NET *547 0.0398486
*CONN
*I *6730:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6715:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5640:DIODE I *D sky130_fd_sc_hd__diode_2
*I *6667:A I *D sky130_fd_sc_hd__and3_1
*I *6674:A I *D sky130_fd_sc_hd__and3_1
*I *6700:A I *D sky130_fd_sc_hd__buf_2
*I *6664:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6730:A 0.000203261
2 *6715:A 0
3 *5640:DIODE 0
4 *6667:A 7.62714e-06
5 *6674:A 0.000624328
6 *6700:A 0
7 *6664:X 0.000435735
8 *547:43 0.000690396
9 *547:39 0.00854614
10 *547:37 0.00920805
11 *547:29 0.00221686
12 *547:18 0.00200935
13 *547:11 0.000809982
14 *547:7 0.00093613
15 *6667:A *6617:A 5.08751e-05
16 *6667:A *6667:C 6.50727e-05
17 *6674:A *6614:A 0
18 *6674:A *6671:A1 0
19 *6674:A *6671:A2 0.000173478
20 *6674:A *6671:B1 0
21 *6674:A *6674:C 0.000177772
22 *6674:A *6675:A 0
23 *6674:A *6676:B 0
24 *6674:A *7278:CLK 9.75356e-05
25 *6674:A *548:6 2.78316e-05
26 *6730:A *6728:C 3.31882e-05
27 *6730:A *593:8 5.74968e-05
28 *6730:A *1107:28 0
29 *547:7 *6728:C 0.000519481
30 *547:7 *597:31 1.5613e-05
31 *547:11 *6717:A2 0
32 *547:11 *6728:C 8.52968e-05
33 *547:11 *560:54 0.000229649
34 *547:11 *819:34 0.000536581
35 *547:11 *1096:706 0.00052463
36 *547:11 *1107:28 0
37 *547:18 *6717:A2 0.0001059
38 *547:18 *6727:A1_N 0.000178594
39 *547:18 *6727:B1 6.47466e-05
40 *547:18 *819:34 6.50727e-05
41 *547:18 *921:10 0.000124811
42 *547:18 *1096:706 6.50727e-05
43 *547:18 *1096:709 0
44 *547:18 *1096:773 0
45 *547:29 *6716:A 7.72656e-05
46 *547:29 *6717:A2 0
47 *547:29 *6963:A1 0
48 *547:29 *7372:CLK 0.000165891
49 *547:29 *560:8 0
50 *547:29 *560:17 0
51 *547:29 *574:51 6.50586e-05
52 *547:29 *763:14 0
53 *547:29 *880:14 0.000221463
54 *547:29 *882:8 0.000129849
55 *547:29 *1103:36 0.00058323
56 *547:29 *1142:8 0.000282341
57 *547:29 *1142:14 8.25327e-05
58 *547:37 *6960:C 7.09666e-06
59 *547:37 *762:7 0.000575011
60 *547:37 *873:7 2.65831e-05
61 *547:37 *873:11 0.000325405
62 *547:37 *874:19 0.000383717
63 *547:37 *874:50 0
64 *547:37 *1071:8 4.81996e-05
65 *547:37 *1105:56 0
66 *547:39 *6617:A 0.000453698
67 *547:39 *6898:B1 5.80004e-05
68 *547:39 *6898:C1 0.00175627
69 *547:39 *6899:A1 8.03308e-05
70 *547:39 *6899:A2 7.93303e-06
71 *547:39 *6899:B1 1.7236e-05
72 *547:39 *6899:C1 5.2233e-05
73 *547:39 *6905:A 0.00059339
74 *547:39 *6905:B 1.4091e-06
75 *547:39 *6906:B 1.01044e-05
76 *547:39 *6906:C 0.00100415
77 *547:39 *6909:A 6.50586e-05
78 *547:39 *6909:C 0.000450119
79 *547:39 *717:11 7.70866e-05
80 *547:39 *962:45 0.00182286
81 *547:39 *1154:8 2.9373e-05
82 *547:43 *6617:A 0.000171273
83 *547:43 *6667:C 6.50586e-05
84 *6617:C *547:39 7.43087e-05
85 *6648:A_N *547:39 0.000390289
86 *6664:A *547:7 6.50586e-05
87 *6749:B *547:39 1.41853e-05
88 *7277:D *6674:A 0
89 *7295:D *547:18 0
90 *7339:D *547:39 4.54818e-05
91 *402:25 *6730:A 0.000277488
92 *404:25 *6730:A 0.000277488
93 *523:59 *547:29 0.000118168
94 *529:8 *547:37 1.03403e-05
*RES
1 *6664:X *547:7 21.1278
2 *547:7 *547:11 16.6146
3 *547:11 *547:18 22.7672
4 *547:18 *6700:A 9.24915
5 *547:18 *547:29 47.4583
6 *547:29 *547:37 34.5832
7 *547:37 *547:39 137.71
8 *547:39 *547:43 2.41132
9 *547:43 *6674:A 36.276
10 *547:43 *6667:A 9.97254
11 *547:39 *5640:DIODE 9.24915
12 *547:11 *6715:A 9.24915
13 *547:7 *6730:A 21.4297
*END
*D_NET *548 0.00227985
*CONN
*I *6667:B I *D sky130_fd_sc_hd__and3_1
*I *6671:A2 I *D sky130_fd_sc_hd__a211oi_1
*I *6665:Y O *D sky130_fd_sc_hd__nand3_1
*CAP
1 *6667:B 0
2 *6671:A2 0.00059875
3 *6665:Y 0.000217635
4 *548:6 0.000816385
5 *6671:A2 *959:9 0
6 *548:6 *6667:C 0
7 *548:6 *956:13 6.14273e-05
8 *548:6 *957:19 0.000104731
9 *548:6 *958:24 0.000169108
10 *6666:A3 *548:6 1.90191e-05
11 *6671:C1 *6671:A2 9.14834e-05
12 *6674:A *6671:A2 0.000173478
13 *6674:A *548:6 2.78316e-05
*RES
1 *6665:Y *548:6 20.5642
2 *548:6 *6671:A2 29.7404
3 *548:6 *6667:B 13.7491
*END
*D_NET *549 0.000608769
*CONN
*I *6667:C I *D sky130_fd_sc_hd__and3_1
*I *6666:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *6667:C 0.000216194
2 *6666:X 0.000216194
3 *6667:C *6617:A 4.62502e-05
4 *6667:C *1096:1040 0
5 *6667:A *6667:C 6.50727e-05
6 *547:43 *6667:C 6.50586e-05
7 *548:6 *6667:C 0
*RES
1 *6666:X *6667:C 31.3987
*END
*D_NET *550 0.000911261
*CONN
*I *6668:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6667:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6668:A 0.00022126
2 *6667:X 0.00022126
3 *6668:A *958:11 0.000346362
4 *7276:D *6668:A 0.000122378
*RES
1 *6667:X *6668:A 27.4202
*END
*D_NET *551 0.000999856
*CONN
*I *6671:A1 I *D sky130_fd_sc_hd__a211oi_1
*I *6669:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6671:A1 0.000389974
2 *6669:Y 0.000389974
3 *6671:A1 *6616:A 9.9028e-05
4 *6671:A1 *6671:B1 1.07248e-05
5 *6671:A1 *959:9 2.41483e-05
6 *6671:A1 *1096:1031 0
7 *6671:A1 *1096:1040 0
8 *6671:C1 *6671:A1 1.44467e-05
9 *6674:A *6671:A1 0
10 *7277:D *6671:A1 7.15593e-05
*RES
1 *6669:Y *6671:A1 36.4246
*END
*D_NET *552 0.00205931
*CONN
*I *6673:B I *D sky130_fd_sc_hd__or2_1
*I *6671:B1 I *D sky130_fd_sc_hd__a211oi_1
*I *6672:B I *D sky130_fd_sc_hd__nand2_1
*I *6670:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6673:B 9.24427e-06
2 *6671:B1 0.000109817
3 *6672:B 0
4 *6670:X 0.00014503
5 *552:15 0.00017179
6 *552:7 0.000197758
7 *6673:B *7278:CLK 0.00011818
8 *6673:B *960:11 0.000106215
9 *552:7 *6619:A3 0.000610379
10 *552:7 *7278:CLK 1.00981e-05
11 *552:15 *6619:A3 2.66074e-05
12 *552:15 *7278:CLK 0.000271044
13 *552:15 *960:11 0.000171288
14 *6671:A1 *6671:B1 1.07248e-05
15 *6671:C1 *6671:B1 3.18679e-05
16 *6674:A *6671:B1 0
17 *507:9 *552:7 6.92705e-05
*RES
1 *6670:X *552:7 16.0973
2 *552:7 *6672:B 9.24915
3 *552:7 *552:15 3.52053
4 *552:15 *6671:B1 20.9116
5 *552:15 *6673:B 10.5271
*END
*D_NET *553 0.00171443
*CONN
*I *6674:B I *D sky130_fd_sc_hd__and3_1
*I *6676:B I *D sky130_fd_sc_hd__xor2_1
*I *6672:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6674:B 0.000350821
2 *6676:B 0.000105106
3 *6672:Y 0.00013466
4 *553:8 0.000590587
5 *6674:B *6614:A 3.86121e-05
6 *6674:B *6674:C 9.19886e-06
7 *6676:B *6614:A 5.00082e-05
8 *6676:B *961:8 1.2601e-05
9 *553:8 *6619:A3 6.50586e-05
10 *553:8 *960:11 7.92757e-06
11 *6671:C1 *6676:B 0.000132291
12 *6671:C1 *553:8 6.43474e-05
13 *6674:A *6676:B 0
14 *6677:A *6676:B 0.00015321
*RES
1 *6672:Y *553:8 16.4439
2 *553:8 *6676:B 18.4879
3 *553:8 *6674:B 18.9094
*END
*D_NET *554 0.000441673
*CONN
*I *6674:C I *D sky130_fd_sc_hd__and3_1
*I *6673:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6674:C 0.000118361
2 *6673:X 0.000118361
3 *6674:C *6675:A 1.79807e-05
4 *6674:A *6674:C 0.000177772
5 *6674:B *6674:C 9.19886e-06
*RES
1 *6673:X *6674:C 31.3959
*END
*D_NET *555 0.000701189
*CONN
*I *6675:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6674:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6675:A 0.00014475
2 *6674:X 0.00014475
3 *6675:A *7278:CLK 6.05604e-05
4 *6675:A *960:9 0.000277502
5 *6674:A *6675:A 0
6 *6674:C *6675:A 1.79807e-05
7 *7278:D *6675:A 5.56461e-05
*RES
1 *6674:X *6675:A 33.1026
*END
*D_NET *556 0.00113747
*CONN
*I *6677:B I *D sky130_fd_sc_hd__nor2_1
*I *6676:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *6677:B 0.000347801
2 *6676:X 0.000347801
3 *6677:B *6676:A 2.85139e-05
4 *6677:B *961:8 1.79672e-05
5 *6677:A *6677:B 0.000294256
6 *7279:D *6677:B 0.000101133
*RES
1 *6676:X *6677:B 36.0094
*END
*D_NET *557 0.0180736
*CONN
*I *6679:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6763:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6728:A I *D sky130_fd_sc_hd__and3_1
*I *6731:A I *D sky130_fd_sc_hd__and3_1
*I *6716:A I *D sky130_fd_sc_hd__and3_1
*I *6678:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6679:A 0.000545429
2 *6763:A 0
3 *6728:A 1.76235e-05
4 *6731:A 0.000154463
5 *6716:A 0.000312329
6 *6678:X 0
7 *557:54 0.00292748
8 *557:52 0.00313477
9 *557:22 0.00125629
10 *557:6 0.00189869
11 *557:4 0.00125487
12 *6679:A *6900:A1 8.62625e-06
13 *6679:A *6925:A2 0
14 *6679:A *6927:A 8.31397e-05
15 *6679:A *599:18 3.71513e-05
16 *6679:A *599:22 0.00026546
17 *6679:A *618:9 6.50586e-05
18 *6679:A *705:28 0
19 *6679:A *705:37 0
20 *6679:A *1096:611 0
21 *6679:A *1096:624 0.000148144
22 *6716:A *559:27 0.00087833
23 *6716:A *559:37 7.50872e-05
24 *6716:A *560:8 5.22654e-06
25 *6716:A *560:17 3.85589e-05
26 *6716:A *892:20 0.000219595
27 *6731:A *6729:B1 0.000184745
28 *6731:A *6731:C 7.93303e-06
29 *6731:A *1107:37 8.87722e-05
30 *6731:A *1107:47 0.00027804
31 *557:6 *6965:B1 0
32 *557:6 *6967:A 3.1218e-05
33 *557:6 *562:16 1.06441e-05
34 *557:6 *820:36 0
35 *557:6 *1096:683 0
36 *557:6 *1096:694 0
37 *557:6 *1112:46 7.14746e-05
38 *557:6 *1143:28 1.90218e-05
39 *557:6 *1143:56 6.84784e-06
40 *557:22 *6728:C 2.53992e-05
41 *557:22 *6729:B1 8.48489e-05
42 *557:22 *589:32 0
43 *557:22 *820:36 0
44 *557:22 *1096:841 0.000252098
45 *557:22 *1107:47 0.00023805
46 *557:52 *691:20 0.000464127
47 *557:52 *1096:670 0.00016789
48 *557:54 *6745:B 0.00035144
49 *557:54 *6897:A2 1.92172e-05
50 *557:54 *600:21 0.000515159
51 *557:54 *691:8 0.000262339
52 *557:54 *939:5 9.55447e-05
53 *557:54 *939:12 0.000398089
54 *6965:C1 *557:6 0
55 *6965:C1 *557:22 0
56 *7337:D *557:54 1.87611e-05
57 *7358:D *557:6 0.000148144
58 *7359:D *557:52 4.5128e-05
59 *522:49 *557:52 0.000446014
60 *523:8 *557:54 0.000107496
61 *523:53 *557:52 4.75405e-06
62 *523:53 *557:54 0.000259514
63 *525:54 *6679:A 4.56831e-05
64 *525:54 *557:54 2.16355e-05
65 *547:29 *6716:A 7.72656e-05
*RES
1 *6678:X *557:4 9.24915
2 *557:4 *557:6 16.7133
3 *557:6 *6716:A 36.3938
4 *557:6 *557:22 31.703
5 *557:22 *6731:A 17.0377
6 *557:22 *6728:A 9.82786
7 *557:4 *557:52 17.0239
8 *557:52 *557:54 57.8476
9 *557:54 *6763:A 9.24915
10 *557:54 *6679:A 35.0625
*END
*D_NET *558 0.011349
*CONN
*I *6918:C I *D sky130_fd_sc_hd__and4b_1
*I *6922:B I *D sky130_fd_sc_hd__and4_1
*I *6929:B I *D sky130_fd_sc_hd__and3_1
*I *6741:A I *D sky130_fd_sc_hd__and3_2
*I *6680:A I *D sky130_fd_sc_hd__buf_2
*I *6679:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6918:C 0.000273953
2 *6922:B 0
3 *6929:B 2.06324e-05
4 *6741:A 0
5 *6680:A 0.000289655
6 *6679:X 0
7 *558:49 0.000719996
8 *558:37 0.000894352
9 *558:17 0.00125533
10 *558:4 0.00139335
11 *6680:A *560:32 2.99287e-05
12 *6680:A *574:51 6.47059e-05
13 *6680:A *832:10 0.000355576
14 *6680:A *1099:89 6.3657e-05
15 *6918:C *6918:B 0.000894242
16 *6918:C *6922:D 0.000106215
17 *558:17 *6741:C 0.000416039
18 *558:17 *7015:A1 0.000127411
19 *558:17 *574:51 0.000772546
20 *558:17 *1096:624 0.00145918
21 *558:37 *6927:A 0.000324166
22 *558:37 *708:30 0.000388808
23 *558:37 *708:41 5.56461e-05
24 *558:49 *6918:B 0.00011818
25 *558:49 *6922:D 6.50727e-05
26 *558:49 *6928:A1 0.000377273
27 *558:49 *708:41 0.000571876
28 *7368:D *558:17 0.000311235
*RES
1 *6679:X *558:4 9.24915
2 *558:4 *558:17 35.5429
3 *558:17 *6680:A 27.2049
4 *558:17 *6741:A 9.24915
5 *558:4 *558:37 15.1672
6 *558:37 *6929:B 9.82786
7 *558:37 *558:49 15.1913
8 *558:49 *6922:B 9.24915
9 *558:49 *6918:C 21.4504
*END
*D_NET *559 0.0248219
*CONN
*I *6981:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6723:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6738:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6687:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6689:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6680:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6981:A1 0
2 *6723:A1 0
3 *6738:A1 0
4 *6687:A1 2.67327e-05
5 *6689:A1 0.000174198
6 *6680:X 0.000540548
7 *559:56 0.00085705
8 *559:50 0.000794971
9 *559:46 0.00209381
10 *559:37 0.00305062
11 *559:27 0.00338344
12 *559:11 0.00282832
13 *6687:A1 *6687:B1 6.92705e-05
14 *6687:A1 *878:5 0.000167076
15 *6687:A1 *962:19 3.84508e-05
16 *6689:A1 *6688:B 2.33334e-05
17 *6689:A1 *6689:B1 7.48633e-05
18 *6689:A1 *7063:A 7.03198e-05
19 *6689:A1 *848:35 0.000224395
20 *6689:A1 *1110:27 0
21 *559:11 *6980:B 4.70005e-05
22 *559:11 *6981:B1 0.000158371
23 *559:11 *691:62 0.000602515
24 *559:11 *941:10 9.05137e-05
25 *559:11 *1103:58 2.20567e-05
26 *559:27 *6974:A1 0.000351426
27 *559:27 *6976:A 2.63704e-05
28 *559:27 *771:5 0.000167076
29 *559:27 *892:13 1.03403e-05
30 *559:27 *892:20 5.32581e-05
31 *559:27 *941:10 1.41976e-05
32 *559:27 *1103:50 0.00127182
33 *559:27 *1103:58 4.91225e-06
34 *559:37 *6717:B1 0.000944358
35 *559:37 *6719:A2 9.14669e-05
36 *559:37 *7121:A1 0.00108203
37 *559:37 *560:17 7.14746e-05
38 *559:37 *586:29 0.000217318
39 *559:37 *888:20 0.00216729
40 *559:46 *6718:A 0.000137837
41 *559:46 *6723:A2 1.91246e-05
42 *559:46 *576:62 0
43 *559:46 *886:20 0.000121408
44 *559:46 *1062:72 0
45 *559:46 *1062:74 2.72532e-06
46 *559:46 *1101:32 1.5714e-05
47 *559:50 *6723:A2 5.64902e-05
48 *559:50 *6723:A3 0.000188544
49 *559:50 *562:44 1.07248e-05
50 *559:50 *827:14 5.75924e-05
51 *559:50 *1062:72 0
52 *559:56 *6687:B1 0.000304983
53 *559:56 *6688:B 3.90689e-06
54 *559:56 *7063:A 6.60341e-05
55 *559:56 *562:28 4.41125e-05
56 *559:56 *562:44 2.19276e-05
57 *559:56 *564:20 0.000122478
58 *559:56 *564:44 0.000122083
59 *559:56 *820:8 0.000248212
60 *559:56 *827:14 0.000116986
61 *559:56 *855:17 0.00023166
62 *6716:A *559:27 0.00087833
63 *6716:A *559:37 7.50872e-05
64 *7360:D *559:27 4.62192e-05
65 *534:20 *559:11 0.000118485
*RES
1 *6680:X *559:11 30.2622
2 *559:11 *559:27 45.6945
3 *559:27 *559:37 48.4915
4 *559:37 *559:46 16.1752
5 *559:46 *559:50 4.64105
6 *559:50 *559:56 22.6923
7 *559:56 *6689:A1 19.6294
8 *559:56 *6687:A1 15.5817
9 *559:50 *6738:A1 13.7491
10 *559:46 *6723:A1 13.7491
11 *559:11 *6981:A1 9.24915
*END
*D_NET *560 0.0214374
*CONN
*I *6728:C I *D sky130_fd_sc_hd__and3_1
*I *6731:C I *D sky130_fd_sc_hd__and3_1
*I *6890:B I *D sky130_fd_sc_hd__or3b_1
*I *6682:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6716:C I *D sky130_fd_sc_hd__and3_1
*I *6681:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6728:C 0.00023287
2 *6731:C 7.29471e-06
3 *6890:B 0
4 *6682:A 0
5 *6716:C 1.12612e-05
6 *6681:X 0.000352291
7 *560:54 0.00103507
8 *560:44 0.00123565
9 *560:32 0.00305618
10 *560:29 0.00252715
11 *560:17 0.00176136
12 *560:8 0.00062339
13 *6716:C *586:29 2.23105e-05
14 *6728:C *6729:B1 2.23105e-05
15 *6728:C *6995:A 3.42931e-05
16 *6728:C *593:8 4.20662e-05
17 *6728:C *597:31 0.000216336
18 *6728:C *1106:15 0.000116971
19 *6731:C *6729:B1 2.23105e-05
20 *560:8 *572:23 0.000383703
21 *560:8 *763:14 0.000157487
22 *560:17 *1099:58 5.05252e-05
23 *560:29 *6973:A 1.43055e-05
24 *560:29 *6973:B 0.000211492
25 *560:29 *6975:A 6.50586e-05
26 *560:29 *6976:A 0.000109434
27 *560:29 *7128:A1 0.000367846
28 *560:29 *7128:B2 9.75356e-05
29 *560:29 *574:51 0.00213684
30 *560:29 *586:38 3.20069e-06
31 *560:29 *589:45 0
32 *560:29 *594:44 9.2346e-06
33 *560:29 *772:10 6.50727e-05
34 *560:29 *940:10 5.05252e-05
35 *560:29 *1103:36 0.00160896
36 *560:32 *7128:A1 0.000111708
37 *560:32 *941:14 0.000301557
38 *560:32 *1099:75 0.00021891
39 *560:32 *1099:89 0.000157499
40 *560:44 *6888:A2 0
41 *560:44 *6889:B1 0.000164843
42 *560:44 *6890:A 0.0002607
43 *560:44 *6891:C 0.000153225
44 *560:44 *6926:A 0.00049257
45 *560:44 *708:11 0.000117185
46 *560:44 *1099:89 0.000324151
47 *560:54 *574:50 0
48 *560:54 *593:8 4.27003e-05
49 *560:54 *1105:46 6.50003e-05
50 *6680:A *560:32 2.99287e-05
51 *6716:A *560:8 5.22654e-06
52 *6716:A *560:17 3.85589e-05
53 *6730:A *6728:C 3.31882e-05
54 *6731:A *6731:C 7.93303e-06
55 *522:19 *560:8 0.000742567
56 *533:24 *560:8 4.89285e-05
57 *533:24 *560:17 8.3171e-05
58 *533:24 *560:54 0.00018511
59 *538:14 *560:44 0
60 *538:21 *560:44 0.000271044
61 *547:7 *6728:C 0.000519481
62 *547:11 *6728:C 8.52968e-05
63 *547:11 *560:54 0.000229649
64 *547:29 *560:8 0
65 *547:29 *560:17 0
66 *557:22 *6728:C 2.53992e-05
67 *559:37 *560:17 7.14746e-05
*RES
1 *6681:X *560:8 27.6669
2 *560:8 *6716:C 14.0144
3 *560:8 *560:17 7.23027
4 *560:17 *560:29 49.9314
5 *560:29 *560:32 28.7429
6 *560:32 *6682:A 9.24915
7 *560:32 *560:44 41.9431
8 *560:44 *6890:B 9.24915
9 *560:17 *560:54 19.639
10 *560:54 *6731:C 14.0144
11 *560:54 *6728:C 33.2237
*END
*D_NET *561 0.0118756
*CONN
*I *6741:C I *D sky130_fd_sc_hd__and3_2
*I *6683:A I *D sky130_fd_sc_hd__buf_2
*I *6906:C I *D sky130_fd_sc_hd__and3_1
*I *6762:B I *D sky130_fd_sc_hd__and3_1
*I *6767:B I *D sky130_fd_sc_hd__and3_1
*I *6682:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6741:C 0.000420732
2 *6683:A 0.000124333
3 *6906:C 0.000233918
4 *6762:B 0
5 *6767:B 2.58441e-05
6 *6682:X 0
7 *561:10 0.000667703
8 *561:8 0.00137408
9 *561:6 0.000734443
10 *561:5 0.000532543
11 *6683:A *1096:634 0.000818647
12 *6683:A *1112:78 0.000869509
13 *6741:C *598:10 6.08467e-05
14 *6741:C *1011:18 0
15 *6741:C *1099:89 1.36556e-05
16 *6741:C *1114:44 0
17 *6767:B *613:19 7.86847e-05
18 *6906:C *6907:A2 5.15114e-05
19 *6906:C *7343:CLK 0.000160617
20 *561:6 *1099:89 4.90829e-05
21 *561:6 *1114:44 0
22 *561:8 *6759:A 0.000238537
23 *561:8 *6886:A2 0.000304451
24 *561:8 *6905:B 0.000210992
25 *561:8 *586:52 0.000204386
26 *561:8 *614:11 0.000138401
27 *561:8 *1099:89 7.05251e-05
28 *561:8 *1114:44 0
29 *561:8 *1151:8 0.000169108
30 *561:8 *1151:23 8.52652e-05
31 *561:10 *6903:B1_N 0.000130777
32 *561:10 *6905:B 0.000111311
33 *561:10 *586:52 0.000255327
34 *561:10 *613:19 0.00100736
35 *561:10 *614:11 0.000127933
36 *7343:D *6906:C 0.000170504
37 *306:18 *561:10 0.000337907
38 *523:26 *6767:B 7.86847e-05
39 *523:26 *561:10 0.000597796
40 *547:39 *6906:C 0.00100415
41 *558:17 *6741:C 0.000416039
*RES
1 *6682:X *561:5 13.7491
2 *561:5 *561:6 3.07775
3 *561:6 *561:8 19.6878
4 *561:8 *561:10 28.4081
5 *561:10 *6767:B 15.1659
6 *561:10 *6762:B 13.7491
7 *561:8 *6906:C 24.8171
8 *561:6 *6683:A 23.3462
9 *561:5 *6741:C 24.7545
*END
*D_NET *562 0.0244216
*CONN
*I *6981:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6723:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6738:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6687:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6689:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6683:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6981:A3 0.000247516
2 *6723:A3 0.000138936
3 *6738:A3 0
4 *6687:A3 4.37042e-05
5 *6689:A3 0.000117129
6 *6683:X 0.00049539
7 *562:44 0.000156233
8 *562:28 0.00072984
9 *562:27 0.00186199
10 *562:24 0.0014786
11 *562:16 0.00450147
12 *562:5 0.00504146
13 *6687:A3 *6689:A2 0
14 *6689:A3 *1062:72 5.3381e-05
15 *6689:A3 *1110:27 7.86982e-05
16 *6723:A3 *1062:72 4.83622e-05
17 *6981:A3 *645:59 0
18 *6981:A3 *740:31 0.000419968
19 *562:5 *1112:78 0.000282702
20 *562:16 *573:35 0.000314177
21 *562:16 *574:47 1.5714e-05
22 *562:16 *820:36 0
23 *562:16 *831:26 0.000438345
24 *562:16 *831:27 0.00229214
25 *562:16 *895:16 0
26 *562:16 *1112:78 0.00021535
27 *562:24 *6698:A 6.50727e-05
28 *562:24 *831:26 0.000704758
29 *562:24 *876:24 2.22342e-05
30 *562:24 *1112:39 0.00163883
31 *562:27 *1112:33 1.00981e-05
32 *562:27 *1112:39 0.00190097
33 *562:28 *6686:A 0.000294256
34 *562:28 *6688:B 1.25165e-05
35 *562:28 *564:20 0.000102379
36 *562:28 *564:44 0
37 *562:28 *1062:72 0.000213418
38 *562:28 *1110:27 2.22342e-05
39 *562:44 *1062:72 1.78514e-05
40 *7280:D *562:28 9.60216e-05
41 *7300:D *562:28 6.31809e-05
42 *7358:D *562:16 1.07248e-05
43 *557:6 *562:16 1.06441e-05
44 *559:50 *6723:A3 0.000188544
45 *559:50 *562:44 1.07248e-05
46 *559:56 *562:28 4.41125e-05
47 *559:56 *562:44 2.19276e-05
*RES
1 *6683:X *562:5 17.1824
2 *562:5 *562:16 49.1173
3 *562:16 *562:24 31.863
4 *562:24 *562:27 26.2983
5 *562:27 *562:28 18.8573
6 *562:28 *6689:A3 17.2421
7 *562:28 *6687:A3 14.4819
8 *562:27 *562:44 1.00149
9 *562:44 *6738:A3 13.7491
10 *562:44 *6723:A3 17.9749
11 *562:5 *6981:A3 25.8947
*END
*D_NET *563 0.00801988
*CONN
*I *6685:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6720:A I *D sky130_fd_sc_hd__and3_4
*I *6724:A I *D sky130_fd_sc_hd__and3_2
*I *6735:A I *D sky130_fd_sc_hd__and3_4
*I *6704:A I *D sky130_fd_sc_hd__and3_4
*I *6684:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6685:A 5.8749e-05
2 *6720:A 0
3 *6724:A 5.73949e-05
4 *6735:A 5.56756e-05
5 *6704:A 0.000307049
6 *6684:X 0
7 *563:27 0.000266525
8 *563:22 0.00135331
9 *563:7 0.00151625
10 *563:4 6.80982e-05
11 *6685:A *1123:9 0.000564835
12 *6704:A *6704:C 1.92172e-05
13 *6704:A *568:11 0
14 *6704:A *568:27 0
15 *6704:A *570:8 0.000409227
16 *6704:A *583:8 0
17 *6704:A *1125:65 3.99086e-06
18 *6724:A *6724:B 5.59986e-05
19 *6724:A *6724:C 1.74615e-05
20 *6724:A *1058:16 0.000170645
21 *6735:A *6735:B 5.20546e-06
22 *563:7 *1123:9 6.50727e-05
23 *563:22 *6713:A 0.000351978
24 *563:22 *6720:B 2.65667e-05
25 *563:22 *6733:C 0.000169078
26 *563:22 *7303:CLK 0
27 *563:22 *568:27 0
28 *563:22 *569:26 0
29 *563:22 *570:6 0.000575395
30 *563:22 *570:8 0.000388264
31 *563:22 *570:33 0.000164847
32 *563:22 *583:8 0
33 *563:22 *587:14 0
34 *563:22 *1096:1260 0
35 *563:27 *6724:C 3.46062e-05
36 *563:27 *569:26 7.09685e-05
37 *563:27 *1058:16 0.000247246
38 *402:12 *563:22 9.82896e-06
39 *402:12 *563:27 0.000217951
40 *527:8 *6704:A 0.000122378
41 *532:15 *6685:A 0.000580998
42 *532:15 *563:7 6.50727e-05
*RES
1 *6684:X *563:4 9.24915
2 *563:4 *563:7 5.2234
3 *563:7 *6704:A 23.3666
4 *563:7 *563:22 39.7425
5 *563:22 *563:27 11.2107
6 *563:27 *6735:A 14.4725
7 *563:27 *6724:A 16.8269
8 *563:22 *6720:A 9.24915
9 *563:4 *6685:A 15.5186
*END
*D_NET *564 0.00828495
*CONN
*I *6737:B I *D sky130_fd_sc_hd__and3_1
*I *6722:B I *D sky130_fd_sc_hd__and3_1
*I *6686:B I *D sky130_fd_sc_hd__and3_1
*I *6688:B I *D sky130_fd_sc_hd__and3_1
*I *6713:A I *D sky130_fd_sc_hd__and3_2
*I *6685:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6737:B 8.80167e-05
2 *6722:B 0.000215322
3 *6686:B 0
4 *6688:B 0.000498814
5 *6713:A 0.000639853
6 *6685:X 0
7 *564:44 0.000604692
8 *564:20 0.000800414
9 *564:17 0.000851259
10 *564:4 0.000888159
11 *6688:B *6686:A 8.8567e-05
12 *6688:B *6687:B1 0.000130905
13 *6688:B *6689:B1 0.000417464
14 *6688:B *1110:27 0.000184931
15 *6713:A *6713:C 6.08467e-05
16 *6713:A *568:27 0
17 *6713:A *583:8 7.09434e-05
18 *6713:A *831:13 6.08467e-05
19 *6713:A *1121:17 0.00070634
20 *6722:B *6737:A 8.62625e-06
21 *6722:B *927:19 0.000355346
22 *6722:B *1060:16 2.73085e-05
23 *6737:B *6737:A 1.19856e-05
24 *564:17 *1121:17 0.00037573
25 *564:20 *6686:A 4.09612e-05
26 *564:20 *6687:B1 5.22654e-06
27 *564:44 *6737:A 1.03403e-05
28 *564:44 *927:7 0.000158357
29 *564:44 *1121:17 0.000103983
30 *6689:A1 *6688:B 2.33334e-05
31 *6722:C *6722:B 0.000105534
32 *522:9 *6737:B 1.74054e-05
33 *522:9 *564:44 1.80994e-05
34 *522:17 *6737:B 0
35 *559:56 *6688:B 3.90689e-06
36 *559:56 *564:20 0.000122478
37 *559:56 *564:44 0.000122083
38 *562:28 *6688:B 1.25165e-05
39 *562:28 *564:20 0.000102379
40 *562:28 *564:44 0
41 *563:22 *6713:A 0.000351978
*RES
1 *6685:X *564:4 9.24915
2 *564:4 *6713:A 33.0283
3 *564:4 *564:17 4.32832
4 *564:17 *564:20 13.3913
5 *564:20 *6688:B 26.4155
6 *564:20 *6686:B 13.7491
7 *564:17 *564:44 16.4557
8 *564:44 *6722:B 25.6429
9 *564:44 *6737:B 11.6605
*END
*D_NET *565 0.000857608
*CONN
*I *6687:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6686:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6687:B1 0.000141082
2 *6686:X 0.000141082
3 *6687:B1 *962:19 6.50586e-05
4 *6687:A1 *6687:B1 6.92705e-05
5 *6688:B *6687:B1 0.000130905
6 *559:56 *6687:B1 0.000304983
7 *564:20 *6687:B1 5.22654e-06
*RES
1 *6686:X *6687:B1 33.791
*END
*D_NET *566 0.000915675
*CONN
*I *6689:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6688:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6689:B1 0.000152549
2 *6688:X 0.000152549
3 *6689:B1 *848:35 0.00011825
4 *6688:B *6689:B1 0.000417464
5 *6689:A1 *6689:B1 7.48633e-05
*RES
1 *6688:X *6689:B1 24.7918
*END
*D_NET *567 0.0135391
*CONN
*I *6710:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6695:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6703:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6705:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6714:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6690:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6710:A2 0.000149475
2 *6695:A2 0.000158102
3 *6703:A2 0.000102239
4 *6705:A2 3.97441e-05
5 *6714:A2 6.00127e-05
6 *6690:X 8.58701e-05
7 *567:24 0.000254132
8 *567:20 0.000868866
9 *567:19 0.00129437
10 *567:16 0.00145746
11 *567:5 0.000758112
12 *6695:A2 *571:17 0.000440051
13 *6695:A2 *626:11 0.00103538
14 *6703:A2 *6703:A1 3.14978e-05
15 *6703:A2 *6703:B1 3.01683e-06
16 *6703:A2 *6705:A1 3.58044e-05
17 *6703:A2 *577:13 3.40423e-05
18 *6703:A2 *577:25 2.82583e-05
19 *6703:A2 *578:24 0.00041745
20 *6705:A2 *6703:B1 0
21 *6705:A2 *6705:A1 4.81015e-05
22 *6705:A2 *578:24 0.000122051
23 *6710:A2 *6710:B1 8.08945e-05
24 *6710:A2 *936:9 1.1718e-05
25 *6714:A2 *1121:21 0.000183145
26 *6714:A2 *1125:65 0.000426168
27 *567:5 *583:29 0.00051935
28 *567:5 *1121:21 1.41853e-05
29 *567:5 *1125:65 0.000164829
30 *567:16 *7098:A1 0
31 *567:16 *7098:A2 0
32 *567:16 *7098:B1 0
33 *567:16 *7287:CLK 2.32531e-05
34 *567:16 *583:29 3.31733e-05
35 *567:16 *876:17 0
36 *567:16 *1096:1199 5.79172e-05
37 *567:16 *1096:1217 8.53269e-05
38 *567:16 *1125:84 0
39 *567:19 *634:15 0.000155816
40 *567:19 *832:36 2.41274e-06
41 *567:19 *842:47 0.000139764
42 *567:19 *859:7 5.46286e-05
43 *567:20 *1059:16 0.00161859
44 *567:20 *1060:12 0.00161866
45 *567:24 *1059:16 0.000358589
46 *567:24 *1060:12 0.000358589
47 *7287:D *6710:A2 2.20702e-05
48 *7287:D *567:16 1.01315e-05
49 *7287:D *567:19 2.41274e-06
50 *523:69 *567:5 0.000173479
*RES
1 *6690:X *567:5 15.5186
2 *567:5 *6714:A2 13.8548
3 *567:5 *567:16 23.2896
4 *567:16 *567:19 21.3069
5 *567:19 *567:20 29.6539
6 *567:20 *567:24 10.8998
7 *567:24 *6705:A2 11.1059
8 *567:24 *6703:A2 14.4335
9 *567:20 *6695:A2 25.01
10 *567:16 *6710:A2 13.1796
*END
*D_NET *568 0.0155172
*CONN
*I *6743:A I *D sky130_fd_sc_hd__and3_4
*I *6733:A I *D sky130_fd_sc_hd__and3_4
*I *6718:A I *D sky130_fd_sc_hd__and3_2
*I *6702:A I *D sky130_fd_sc_hd__and3_4
*I *6694:A I *D sky130_fd_sc_hd__and3_4
*I *6691:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6743:A 0
2 *6733:A 0.000267903
3 *6718:A 0.00184109
4 *6702:A 0
5 *6694:A 0.000250146
6 *6691:X 0.000147776
7 *568:45 0.000711959
8 *568:27 0.00304841
9 *568:11 0.00110006
10 *568:7 0.00176095
11 *6694:A *6694:C 0
12 *6694:A *6702:B 6.50727e-05
13 *6694:A *860:10 1.07248e-05
14 *6694:A *1120:30 0
15 *6718:A *7114:A2 5.04829e-06
16 *6718:A *7117:A1 0.000746647
17 *6718:A *7117:A2 4.07355e-05
18 *6718:A *7117:A3 0.00011818
19 *6718:A *7117:B1 9.82896e-06
20 *6718:A *7118:A3 0.000167076
21 *6718:A *7122:A3 1.96574e-05
22 *6718:A *576:62 8.13676e-06
23 *6718:A *886:20 0.000797439
24 *6718:A *886:30 3.63593e-05
25 *6718:A *889:8 6.14003e-06
26 *6733:A *880:5 0.000200794
27 *6733:A *894:17 0.000235539
28 *6733:A *897:29 2.16355e-05
29 *6733:A *1058:16 0.000228344
30 *6733:A *1099:19 1.03403e-05
31 *568:11 *6702:B 0.000122378
32 *568:11 *7050:C 9.11135e-05
33 *568:11 *7065:A 2.04806e-05
34 *568:11 *7281:CLK 0
35 *568:11 *570:8 0
36 *568:11 *578:8 0.000206672
37 *568:11 *813:10 7.50722e-05
38 *568:11 *830:26 8.24833e-05
39 *568:11 *1096:1231 0
40 *568:11 *1096:1247 0
41 *568:11 *1176:31 0.000379553
42 *568:27 *7106:A 0
43 *568:27 *583:8 0.000367831
44 *568:27 *1096:1247 0
45 *568:27 *1096:1256 0
46 *568:27 *1096:1260 8.19494e-05
47 *568:45 *7106:A 0
48 *568:45 *7303:CLK 0.000108401
49 *568:45 *880:5 0.000466359
50 *568:45 *1096:1260 0.000132388
51 *6704:A *568:11 0
52 *6704:A *568:27 0
53 *6713:A *568:27 0
54 *438:58 *6694:A 0.000392408
55 *485:41 *6718:A 0.000409343
56 *524:13 *6694:A 6.50727e-05
57 *524:13 *568:11 0.000465078
58 *527:11 *568:7 2.85274e-05
59 *527:29 *568:7 2.82583e-05
60 *559:46 *6718:A 0.000137837
61 *563:22 *568:27 0
*RES
1 *6691:X *568:7 16.1364
2 *568:7 *568:11 32.2548
3 *568:11 *6694:A 26.2028
4 *568:11 *6702:A 9.24915
5 *568:7 *568:27 19.065
6 *568:27 *6718:A 37.1999
7 *568:27 *568:45 14.1914
8 *568:45 *6733:A 25.6833
9 *568:45 *6743:A 9.24915
*END
*D_NET *569 0.00739034
*CONN
*I *6724:C I *D sky130_fd_sc_hd__and3_2
*I *6720:C I *D sky130_fd_sc_hd__and3_4
*I *6735:C I *D sky130_fd_sc_hd__and3_4
*I *6693:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6704:C I *D sky130_fd_sc_hd__and3_4
*I *6692:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6724:C 0.000166148
2 *6720:C 0.000295367
3 *6735:C 0
4 *6693:A 1.26312e-05
5 *6704:C 0.000203085
6 *6692:X 6.67655e-05
7 *569:28 0.000295367
8 *569:26 0.000880373
9 *569:17 0.00123299
10 *569:7 0.000775985
11 *6693:A *894:7 6.50727e-05
12 *6693:A *1114:33 2.65831e-05
13 *6704:C *6704:B 0.000113968
14 *6704:C *1125:65 1.65872e-05
15 *6720:C *6720:B 9.99386e-06
16 *6720:C *595:13 2.16355e-05
17 *6724:C *6720:B 0.000102429
18 *6724:C *6724:B 0.000111311
19 *569:7 *1123:9 0.000464127
20 *569:17 *570:6 0.000128552
21 *569:17 *570:8 9.09263e-05
22 *569:17 *827:13 2.99929e-05
23 *569:26 *6720:B 4.58259e-05
24 *569:26 *6733:C 3.61659e-05
25 *569:26 *570:6 1.82148e-05
26 *569:26 *570:33 3.83432e-05
27 *569:26 *587:14 0
28 *569:26 *1058:16 7.01068e-05
29 *569:26 *1108:40 0.000292194
30 *6585:A *6720:C 0
31 *6684:A *6704:C 7.06329e-05
32 *6684:A *569:17 3.20069e-06
33 *6704:A *6704:C 1.92172e-05
34 *6724:A *6724:C 1.74615e-05
35 *402:12 *6720:C 6.50586e-05
36 *437:10 *6720:C 0.000123582
37 *438:58 *6704:C 0.000157738
38 *438:58 *569:17 0.000370698
39 *438:58 *569:26 0.000180507
40 *527:8 *6704:C 0.000201799
41 *532:15 *569:7 0.000464127
42 *563:22 *569:26 0
43 *563:27 *6724:C 3.46062e-05
44 *563:27 *569:26 7.09685e-05
*RES
1 *6692:X *569:7 18.9094
2 *569:7 *6704:C 21.9815
3 *569:7 *569:17 16.3658
4 *569:17 *6693:A 14.4725
5 *569:17 *569:26 20.5183
6 *569:26 *569:28 4.5
7 *569:28 *6735:C 9.24915
8 *569:28 *6720:C 25.5467
9 *569:26 *6724:C 19.6998
*END
*D_NET *570 0.00726152
*CONN
*I *6733:C I *D sky130_fd_sc_hd__and3_4
*I *6743:C I *D sky130_fd_sc_hd__and3_4
*I *6713:C I *D sky130_fd_sc_hd__and3_2
*I *6702:C I *D sky130_fd_sc_hd__and3_4
*I *6694:C I *D sky130_fd_sc_hd__and3_4
*I *6693:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6733:C 0.000112752
2 *6743:C 0
3 *6713:C 5.86349e-05
4 *6702:C 0
5 *6694:C 0.000272926
6 *6693:X 0
7 *570:33 0.000197571
8 *570:8 0.0012964
9 *570:6 0.0013775
10 *570:5 0.000380205
11 *6694:C *577:8 0.000111553
12 *6694:C *578:8 1.75625e-05
13 *6694:C *867:13 6.92705e-05
14 *6694:C *1120:30 9.46343e-05
15 *6713:C *831:13 3.8122e-05
16 *6713:C *1112:25 0.000158371
17 *6733:C *897:29 6.99486e-05
18 *6733:C *1099:30 0.000167076
19 *570:8 *7054:A1 3.77804e-05
20 *570:8 *578:8 0.000263195
21 *570:8 *827:13 7.14746e-05
22 *6684:A *570:8 0.000174205
23 *6694:A *6694:C 0
24 *6704:A *570:8 0.000409227
25 *6713:A *6713:C 6.08467e-05
26 *438:58 *6694:C 0
27 *438:58 *570:8 0
28 *527:8 *570:8 0.000212476
29 *563:22 *6733:C 0.000169078
30 *563:22 *570:6 0.000575395
31 *563:22 *570:8 0.000388264
32 *563:22 *570:33 0.000164847
33 *568:11 *570:8 0
34 *569:17 *570:6 0.000128552
35 *569:17 *570:8 9.09263e-05
36 *569:26 *6733:C 3.61659e-05
37 *569:26 *570:6 1.82148e-05
38 *569:26 *570:33 3.83432e-05
*RES
1 *6693:X *570:5 13.7491
2 *570:5 *570:6 10.5523
3 *570:6 *570:8 33.3912
4 *570:8 *6694:C 21.2876
5 *570:8 *6702:C 13.7491
6 *570:6 *6713:C 16.1605
7 *570:5 *570:33 3.07775
8 *570:33 *6743:C 13.7491
9 *570:33 *6733:C 18.6595
*END
*D_NET *571 0.0371924
*CONN
*I *6695:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6935:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6773:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6694:X O *D sky130_fd_sc_hd__and3_4
*CAP
1 *6695:B1 0
2 *6935:B1 9.11069e-06
3 *6773:A1 0.00211815
4 *6694:X 0.000478569
5 *571:43 0.00301761
6 *571:36 0.0014352
7 *571:29 0.00258906
8 *571:23 0.00454506
9 *571:17 0.00504845
10 *571:11 0.00304438
11 *6773:A1 *6773:B1 6.24198e-05
12 *6773:A1 *6773:B2 5.26134e-05
13 *6773:A1 *6790:B1 0.000145644
14 *6773:A1 *6819:A2 2.63252e-05
15 *6773:A1 *6819:B2 1.00846e-05
16 *6773:A1 *1096:368 0.00012316
17 *6935:B1 *6935:A1 7.13655e-06
18 *6935:B1 *1096:1135 1.10793e-05
19 *571:11 *7067:A2 7.65861e-05
20 *571:11 *578:8 0.000221686
21 *571:11 *626:11 0.000264586
22 *571:11 *847:10 0.000353492
23 *571:11 *864:8 2.65667e-05
24 *571:11 *867:13 6.50586e-05
25 *571:17 *626:11 0.000448346
26 *571:17 *748:25 0.000517042
27 *571:17 *748:31 0.000460852
28 *571:23 *6950:C 0.000370276
29 *571:23 *6951:A 4.69495e-06
30 *571:23 *755:6 0
31 *571:23 *1136:17 0
32 *571:23 *1139:6 0.000122764
33 *571:23 *1141:26 0.000122399
34 *571:29 *6935:A1 1.58706e-05
35 *571:29 *7323:CLK 3.14405e-05
36 *571:29 *688:56 0
37 *571:29 *692:17 0
38 *571:29 *1014:21 0
39 *571:29 *1071:8 0
40 *571:29 *1096:272 0.000207258
41 *571:29 *1096:1123 0.000341847
42 *571:29 *1096:1135 0.000167342
43 *571:29 *1117:44 2.02035e-05
44 *571:36 *6843:A1 0.000779787
45 *571:36 *6843:B1 0.00110711
46 *571:36 *610:34 0.00105848
47 *571:36 *637:13 6.94654e-05
48 *571:36 *984:7 6.50727e-05
49 *571:36 *1096:316 0
50 *571:36 *1111:13 0.000689473
51 *571:43 *6811:A2 0.00125484
52 *571:43 *6811:B1 3.8519e-05
53 *571:43 *6819:A2 7.68538e-06
54 *571:43 *6819:B1 1.64789e-05
55 *571:43 *6819:B2 1.61631e-05
56 *571:43 *6860:A 0.000776341
57 *571:43 *6860:B 0.000222149
58 *571:43 *6861:A2 1.65872e-05
59 *571:43 *6861:B2 8.46922e-05
60 *571:43 *6861:C1 0.000852129
61 *571:43 *7556:A 0.000377259
62 *571:43 *625:13 0.000488881
63 *571:43 *637:13 0.000513419
64 *571:43 *1111:13 0.000196521
65 sram_din0[19] *571:43 1.65872e-05
66 *6695:A2 *571:17 0.000440051
67 *7316:D *6773:A1 0.000169379
68 *7327:D *571:36 2.18292e-05
69 *7335:D *571:29 0
70 *273:17 *6773:A1 0.000331734
71 *288:11 *571:29 7.014e-05
72 *486:16 *571:11 0.000362218
73 *486:18 *571:11 0.000587015
*RES
1 *6694:X *571:11 39.1107
2 *571:11 *571:17 47.2527
3 *571:17 *571:23 25.6118
4 *571:23 *571:29 44.8244
5 *571:29 *571:36 36.2367
6 *571:36 *571:43 46.2732
7 *571:43 *6773:A1 45.5636
8 *571:23 *6935:B1 14.1278
9 *571:11 *6695:B1 9.24915
*END
*D_NET *572 0.0240074
*CONN
*I *6886:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6727:A1_N I *D sky130_fd_sc_hd__a2bb2o_1
*I *6712:A1_N I *D sky130_fd_sc_hd__a2bb2o_1
*I *6701:A1_N I *D sky130_fd_sc_hd__a2bb2o_1
*I *6707:A1_N I *D sky130_fd_sc_hd__a2bb2o_1
*I *6696:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6886:B1 9.48435e-05
2 *6727:A1_N 0.000697967
3 *6712:A1_N 0.000107103
4 *6701:A1_N 0.000315107
5 *6707:A1_N 0.000250589
6 *6696:X 0.000707692
7 *572:36 0.00158973
8 *572:33 0.00196975
9 *572:23 0.00230561
10 *572:16 0.00174838
11 *572:10 0.00178189
12 *6701:A1_N *6699:B 0.000218793
13 *6701:A1_N *6706:B 4.41404e-05
14 *6707:A1_N *6706:B 1.00846e-05
15 *6707:A1_N *6707:A2_N 7.97944e-05
16 *6707:A1_N *579:9 0.000352512
17 *6707:A1_N *932:15 1.00937e-05
18 *6712:A1_N *7107:A1 0.000171288
19 *6712:A1_N *574:10 0
20 *6727:A1_N *6717:A1 0.000263069
21 *6727:A1_N *6717:A2 0
22 *6727:A1_N *6727:A2_N 5.85387e-05
23 *6727:A1_N *6727:B1 9.99386e-06
24 *6727:A1_N *880:14 0
25 *6727:A1_N *882:8 0
26 *6727:A1_N *888:8 0
27 *6727:A1_N *902:19 1.41291e-05
28 *6727:A1_N *921:27 0.000118166
29 *6727:A1_N *1099:47 3.88655e-05
30 *572:10 *832:10 0.000436742
31 *572:10 *978:12 0.000275325
32 *572:10 *1105:57 6.64392e-05
33 *572:16 *7120:B2 0.000725466
34 *572:16 *580:35 0.00171664
35 *572:16 *645:7 0.000124641
36 *572:16 *881:10 0.000134323
37 *572:23 *7372:CLK 0.000523693
38 *572:23 *691:20 3.21865e-05
39 *572:23 *882:8 0.000222684
40 *572:23 *1096:670 0.000966122
41 *572:23 *1142:8 0.000111708
42 *572:33 *6712:A2_N 0.000118485
43 *572:33 *895:22 6.12686e-06
44 *572:33 *937:9 0.000160969
45 *572:33 *1096:718 0.000229353
46 *572:36 *6709:A 0
47 *572:36 *6957:A2 0.000107892
48 *572:36 *6958:A 0.000242149
49 *572:36 *6958:C 0.000113374
50 *572:36 *573:8 0.00010583
51 *572:36 *574:10 0
52 *572:36 *574:14 0
53 *572:36 *581:8 0.000325492
54 *572:36 *755:13 0.000304246
55 *572:36 *895:16 8.24277e-06
56 *572:36 *1141:26 0
57 *6681:A *6712:A1_N 0.000142328
58 *6681:A *572:33 0.000503502
59 *7283:D *6701:A1_N 5.07314e-05
60 *7288:D *6712:A1_N 7.50872e-05
61 *7288:D *572:33 0.000350884
62 *7288:D *572:36 3.31733e-05
63 *522:19 *572:23 4.82966e-05
64 *522:19 *572:33 0.000457702
65 *523:15 *6886:B1 0.000267404
66 *523:15 *572:16 0.000311221
67 *523:53 *572:23 0.000553199
68 *541:19 *572:16 0.000635296
69 *547:18 *6727:A1_N 0.000178594
70 *560:8 *572:23 0.000383703
*RES
1 *6696:X *572:10 38.2451
2 *572:10 *572:16 46.7713
3 *572:16 *572:23 37.0938
4 *572:23 *572:33 38.269
5 *572:33 *572:36 34.9844
6 *572:36 *6707:A1_N 16.6519
7 *572:36 *6701:A1_N 18.4845
8 *572:33 *6712:A1_N 17.829
9 *572:23 *6727:A1_N 36.4717
10 *572:10 *6886:B1 12.7697
*END
*D_NET *573 0.0067041
*CONN
*I *6739:C I *D sky130_fd_sc_hd__and3_4
*I *6718:C I *D sky130_fd_sc_hd__and3_2
*I *6698:A I *D sky130_fd_sc_hd__buf_2
*I *6709:C I *D sky130_fd_sc_hd__and3_2
*I *6958:A I *D sky130_fd_sc_hd__or3_1
*I *6697:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6739:C 7.94999e-05
2 *6718:C 0.000340447
3 *6698:A 9.34923e-06
4 *6709:C 0.000165338
5 *6958:A 0.000148528
6 *6697:X 0
7 *573:35 0.000988759
8 *573:29 0.00106242
9 *573:8 0.000402302
10 *573:4 0.000432398
11 *6698:A *831:26 6.50727e-05
12 *6718:C *7292:CLK 0
13 *6718:C *574:47 0
14 *6718:C *1101:32 0
15 *6739:C *6739:A 2.60879e-06
16 *6739:C *576:12 7.57672e-05
17 *6958:A *6958:C 0.000268798
18 *6958:A *574:14 6.33884e-05
19 *6958:A *820:22 2.41483e-05
20 *6958:A *1131:15 0.000317707
21 *573:8 *574:14 2.25013e-05
22 *573:29 *6711:B 0.000213725
23 *573:29 *6739:A 5.69603e-05
24 *573:29 *7356:CLK 6.56722e-05
25 *573:29 *576:12 0.000131707
26 *573:29 *576:20 0.000325673
27 *573:35 *574:47 0
28 *573:35 *895:16 0
29 *523:59 *6709:C 0.00027103
30 *527:31 *573:8 6.50727e-05
31 *527:31 *573:29 0.000305742
32 *533:21 *573:8 2.65831e-05
33 *533:21 *573:29 4.56667e-05
34 *562:16 *573:35 0.000314177
35 *562:24 *6698:A 6.50727e-05
36 *572:36 *6958:A 0.000242149
37 *572:36 *573:8 0.00010583
*RES
1 *6697:X *573:4 9.24915
2 *573:4 *573:8 7.47064
3 *573:8 *6958:A 21.5691
4 *573:8 *6709:C 16.691
5 *573:4 *573:29 17.0953
6 *573:29 *573:35 9.4062
7 *573:35 *6698:A 14.4725
8 *573:35 *6718:C 20.9794
9 *573:29 *6739:C 15.3735
*END
*D_NET *574 0.0265138
*CONN
*I *6726:B I *D sky130_fd_sc_hd__nand2_1
*I *7015:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6711:B I *D sky130_fd_sc_hd__nand2_1
*I *6699:B I *D sky130_fd_sc_hd__nand2_1
*I *6706:B I *D sky130_fd_sc_hd__nand2_1
*I *6698:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6726:B 3.94752e-05
2 *7015:A3 0
3 *6711:B 8.60606e-05
4 *6699:B 0.000254401
5 *6706:B 8.63356e-05
6 *6698:X 0.000193093
7 *574:51 0.00121178
8 *574:50 0.00136334
9 *574:47 0.00187427
10 *574:14 0.00128582
11 *574:10 0.00134835
12 *574:8 0.00219353
13 *6699:B *6701:A2_N 0.000440794
14 *6699:B *932:15 0.000717327
15 *6706:B *6953:A2 0
16 *6706:B *579:9 3.14978e-05
17 *6706:B *932:15 0.000321919
18 *6726:B *1105:46 3.5534e-06
19 *574:8 *1112:39 0.0002817
20 *574:10 *6739:A 0.000358726
21 *574:10 *7356:CLK 7.38509e-05
22 *574:10 *895:16 3.55968e-05
23 *574:14 *6957:A2 7.43044e-05
24 *574:14 *7283:CLK 4.75721e-06
25 *574:14 *7356:CLK 0.000543135
26 *574:14 *576:20 0
27 *574:14 *755:13 0.000337419
28 *574:14 *1140:44 1.66626e-05
29 *574:47 *6739:A 9.12416e-06
30 *574:47 *7292:CLK 0.000302731
31 *574:47 *576:12 0
32 *574:47 *587:36 0.00245722
33 *574:47 *831:26 1.66626e-05
34 *574:47 *880:5 0.00010238
35 *574:47 *906:24 7.55625e-05
36 *574:47 *921:27 0.00010238
37 *574:47 *1113:12 0.000646614
38 *574:50 *6717:A2 0
39 *574:51 *6973:B 0.000211492
40 *574:51 *7015:A1 0.00224114
41 *574:51 *880:14 0.000782786
42 *574:51 *1103:36 8.40003e-05
43 *574:51 *1106:33 0.00140071
44 *574:51 *1114:35 0.00066973
45 *6680:A *574:51 6.47059e-05
46 *6701:A1_N *6699:B 0.000218793
47 *6701:A1_N *6706:B 4.41404e-05
48 *6707:A1_N *6706:B 1.00846e-05
49 *6712:A1_N *574:10 0
50 *6718:C *574:47 0
51 *6958:A *574:14 6.33884e-05
52 *7288:D *574:10 2.22923e-05
53 *527:31 *6711:B 0.000113197
54 *533:21 *6711:B 0.000470571
55 *547:29 *574:51 6.50586e-05
56 *558:17 *574:51 0.000772546
57 *560:29 *574:51 0.00213684
58 *560:54 *574:50 0
59 *562:16 *574:47 1.5714e-05
60 *572:36 *574:10 0
61 *572:36 *574:14 0
62 *573:8 *574:14 2.25013e-05
63 *573:29 *6711:B 0.000213725
64 *573:35 *574:47 0
*RES
1 *6698:X *574:8 17.4238
2 *574:8 *574:10 8.89128
3 *574:10 *574:14 32.0776
4 *574:14 *6706:B 13.3243
5 *574:14 *6699:B 21.8121
6 *574:10 *6711:B 19.4881
7 *574:8 *574:47 24.4644
8 *574:47 *574:50 7.37013
9 *574:50 *574:51 65.612
10 *574:51 *7015:A3 9.24915
11 *574:47 *6726:B 14.543
*END
*D_NET *575 0.00147742
*CONN
*I *6940:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6701:A2_N I *D sky130_fd_sc_hd__a2bb2o_1
*I *6699:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6940:A2 0.000137982
2 *6701:A2_N 0.000200389
3 *6699:Y 0
4 *575:4 0.000338371
5 *6940:A2 *1069:11 0.000191541
6 *6940:A2 *1117:35 6.08467e-05
7 *6699:B *6701:A2_N 0.000440794
8 *525:25 *6701:A2_N 0.000107496
9 *538:46 *6940:A2 0
*RES
1 *6699:Y *575:4 9.24915
2 *575:4 *6701:A2_N 15.5427
3 *575:4 *6940:A2 22.4655
*END
*D_NET *576 0.0203228
*CONN
*I *6727:B1 I *D sky130_fd_sc_hd__a2bb2o_1
*I *6744:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6712:B1 I *D sky130_fd_sc_hd__a2bb2o_1
*I *6701:B1 I *D sky130_fd_sc_hd__a2bb2o_1
*I *6707:B1 I *D sky130_fd_sc_hd__a2bb2o_1
*I *6700:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6727:B1 0.000132576
2 *6744:A2 0.000310314
3 *6712:B1 1.47608e-05
4 *6701:B1 0.000453745
5 *6707:B1 0.000518693
6 *6700:X 0
7 *576:62 0.000859237
8 *576:20 0.00238234
9 *576:12 0.00194083
10 *576:7 0.00214626
11 *576:4 0.00121374
12 *6701:B1 *597:61 1.72464e-05
13 *6701:B1 *932:10 1.07248e-05
14 *6701:B1 *1096:224 2.69064e-05
15 *6701:B1 *1117:35 0.000617942
16 *6707:B1 *6953:A2 9.96342e-05
17 *6707:B1 *1117:35 0.000793442
18 *6707:B1 *1141:26 3.77804e-05
19 *6712:B1 *6712:A2_N 6.08467e-05
20 *6727:B1 *6717:A2 0.00022531
21 *6727:B1 *921:10 9.12416e-06
22 *6744:A2 *7108:A 0.000171288
23 *6744:A2 *880:5 0.000528374
24 *6744:A2 *886:8 0.00011818
25 *6744:A2 *902:24 6.50586e-05
26 *6744:A2 *1099:34 0.000565968
27 *576:7 *1103:36 0.00182785
28 *576:12 *5651:DIODE 4.79594e-06
29 *576:12 *586:10 0.000134323
30 *576:12 *597:10 2.01326e-05
31 *576:12 *831:26 6.45209e-05
32 *576:12 *917:10 0.000137936
33 *576:12 *1113:12 3.37543e-05
34 *576:20 *6712:A2_N 0
35 *576:20 *6958:B 6.54627e-05
36 *576:20 *7283:CLK 1.66626e-05
37 *576:20 *7356:CLK 2.0439e-05
38 *576:20 *759:8 0
39 *576:20 *832:26 7.65861e-05
40 *576:20 *1110:37 0.000785937
41 *576:20 *1123:18 0
42 *576:20 *1123:22 0
43 *576:20 *1140:28 4.3116e-06
44 *576:20 *1140:44 2.05083e-05
45 *576:62 *7118:A2 0.000950413
46 *576:62 *7131:B1 2.54678e-05
47 *576:62 *597:10 0
48 *576:62 *822:26 0
49 *576:62 *889:8 2.7257e-05
50 *576:62 *1099:34 3.31733e-05
51 *576:62 *1113:12 2.32311e-05
52 *576:62 *1114:35 0.00182769
53 *6681:A *6712:B1 6.08467e-05
54 *6718:A *576:62 8.13676e-06
55 *6727:A1_N *6727:B1 9.99386e-06
56 *6739:C *576:12 7.57672e-05
57 *7139:A *576:62 2.24484e-05
58 *7291:D *576:12 4.24082e-05
59 *485:41 *576:62 0.000160352
60 *547:18 *6727:B1 6.47466e-05
61 *559:46 *576:62 0
62 *573:29 *576:12 0.000131707
63 *573:29 *576:20 0.000325673
64 *574:14 *576:20 0
65 *574:47 *576:12 0
*RES
1 *6700:X *576:4 9.24915
2 *576:4 *576:7 24.0799
3 *576:7 *576:12 13.9476
4 *576:12 *576:20 40.456
5 *576:20 *6707:B1 28.569
6 *576:20 *6701:B1 26.9052
7 *576:12 *6712:B1 14.4725
8 *576:7 *576:62 45.2541
9 *576:62 *6744:A2 26.056
10 *576:4 *6727:B1 22.5727
*END
*D_NET *577 0.0315961
*CONN
*I *6790:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6944:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6703:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6702:X O *D sky130_fd_sc_hd__and3_4
*CAP
1 *6790:A1 0
2 *6944:B1 0.000393856
3 *6703:B1 3.40108e-05
4 *6702:X 0.000822506
5 *577:46 0.00766411
6 *577:25 0.00931443
7 *577:13 0.00213294
8 *577:8 0.00166497
9 *6944:B1 *6944:A2 1.90335e-05
10 *6944:B1 *626:22 9.24241e-05
11 *6944:B1 *691:30 8.07939e-05
12 *6944:B1 *696:31 2.22342e-05
13 *6944:B1 *746:14 0
14 *577:8 *7067:A1 4.01573e-05
15 *577:8 *7067:B2 0
16 *577:8 *7072:A2 8.87948e-05
17 *577:8 *578:8 0.00163032
18 *577:8 *860:10 0
19 *577:8 *1058:16 0
20 *577:8 *1120:30 9.75356e-05
21 *577:13 *6705:A1 0.000264586
22 *577:13 *578:11 0.0012987
23 *577:13 *578:24 2.11509e-05
24 *577:25 *6703:A1 0.000434578
25 *577:25 *6948:B 0.000515001
26 *577:25 *578:24 0.000968462
27 *577:25 *578:34 4.33655e-05
28 *577:46 *6792:A2 0
29 *577:46 *6793:A2 9.12416e-06
30 *577:46 *6811:A1 0
31 *577:46 *7309:CLK 0.000331382
32 *577:46 *599:38 0.00153294
33 *577:46 *606:30 0.000467914
34 *577:46 *619:34 7.13044e-06
35 *577:46 *623:41 0
36 *577:46 *623:44 1.00004e-05
37 *577:46 *626:48 0
38 *577:46 *626:57 0
39 *577:46 *660:11 0.000330229
40 *577:46 *660:15 0.00021136
41 *577:46 *691:30 0
42 *577:46 *692:22 0.000383717
43 *577:46 *990:17 0.000169834
44 *577:46 *1062:50 0
45 *577:46 *1069:11 0
46 *577:46 *1117:44 0.000249284
47 *577:46 *1135:18 0
48 *6694:C *577:8 0.000111553
49 *6703:A2 *6703:B1 3.01683e-06
50 *6703:A2 *577:13 3.40423e-05
51 *6703:A2 *577:25 2.82583e-05
52 *6705:A2 *6703:B1 0
53 *7352:D *6944:B1 0
54 *438:58 *577:8 0
55 *486:18 *577:8 0
56 *502:36 *577:25 2.85274e-05
57 *502:36 *577:46 4.38712e-05
*RES
1 *6702:X *577:8 47.903
2 *577:8 *577:13 20.882
3 *577:13 *6703:B1 10.2378
4 *577:13 *577:25 34.4578
5 *577:25 *6944:B1 27.9709
6 *577:25 *577:46 49.7828
7 *577:46 *6790:A1 9.24915
*END
*D_NET *578 0.0455354
*CONN
*I *6793:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6948:A I *D sky130_fd_sc_hd__or2_1
*I *6705:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6704:X O *D sky130_fd_sc_hd__and3_4
*CAP
1 *6793:A1 0.000134486
2 *6948:A 0
3 *6705:B1 0
4 *6704:X 5.23324e-05
5 *578:35 0.00131695
6 *578:34 0.00194918
7 *578:24 0.00158432
8 *578:11 0.00119385
9 *578:8 0.0016499
10 *578:7 0.00132599
11 *578:7 *1125:65 3.28416e-06
12 *578:8 *7050:C 0.000268195
13 *578:8 *7054:A1 9.24241e-05
14 *578:8 *7067:A2 8.01837e-05
15 *578:8 *813:10 9.22013e-06
16 *578:8 *847:10 0.000349977
17 *578:8 *1176:31 0
18 *578:24 *6703:A1 8.86714e-05
19 *578:24 *6705:A1 1.41291e-05
20 *578:24 *1066:8 2.25812e-05
21 *578:34 *6948:B 0.000415244
22 *578:34 *6949:A 0.000117376
23 *578:34 *694:13 0.000112163
24 *578:34 *1012:24 3.07997e-05
25 *578:34 *1068:10 0
26 *578:34 *1096:180 6.74811e-05
27 *578:34 *1096:192 0.000341007
28 *578:34 *1141:26 0
29 *578:35 *1059:9 0.0143565
30 *578:35 *1060:9 0.014348
31 sram_addr1[6] *578:34 0
32 sram_din0[22] *6793:A1 3.72373e-05
33 sram_din0[6] *578:34 0.000137936
34 *6694:C *578:8 1.75625e-05
35 *6703:A2 *578:24 0.00041745
36 *6705:A2 *578:24 0.000122051
37 *7284:D *578:24 6.50727e-05
38 *7469:A *578:34 0
39 *486:16 *578:8 5.29763e-05
40 *486:18 *578:8 0.00010731
41 *568:11 *578:8 0.000206672
42 *570:8 *578:8 0.000263195
43 *571:11 *578:8 0.000221686
44 *577:8 *578:8 0.00163032
45 *577:13 *578:11 0.0012987
46 *577:13 *578:24 2.11509e-05
47 *577:25 *578:24 0.000968462
48 *577:25 *578:34 4.33655e-05
*RES
1 *6704:X *578:7 14.4725
2 *578:7 *578:8 49.1707
3 *578:8 *578:11 18.5339
4 *578:11 *6705:B1 9.24915
5 *578:11 *578:24 33.2037
6 *578:24 *6948:A 9.24915
7 *578:24 *578:34 31.0807
8 *578:34 *578:35 152.685
9 *578:35 *6793:A1 21.3269
*END
*D_NET *579 0.00173712
*CONN
*I *6953:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6707:A2_N I *D sky130_fd_sc_hd__a2bb2o_1
*I *6706:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6953:A2 0.000337249
2 *6707:A2_N 2.19172e-05
3 *6706:Y 8.08316e-05
4 *579:9 0.000439998
5 *6707:A2_N *932:15 7.48633e-05
6 *6953:A2 *1141:26 0.000101525
7 *579:9 *932:15 7.46011e-05
8 *6706:B *6953:A2 0
9 *6706:B *579:9 3.14978e-05
10 *6707:A1_N *6707:A2_N 7.97944e-05
11 *6707:A1_N *579:9 0.000352512
12 *6707:B1 *6953:A2 9.96342e-05
13 *7354:D *6953:A2 4.27003e-05
14 *533:8 *6953:A2 0
*RES
1 *6706:Y *579:9 14.0477
2 *579:9 *6707:A2_N 11.0817
3 *579:9 *6953:A2 28.1344
*END
*D_NET *580 0.0148878
*CONN
*I *6862:A I *D sky130_fd_sc_hd__buf_2
*I *6797:A I *D sky130_fd_sc_hd__buf_2
*I *6820:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6739:A I *D sky130_fd_sc_hd__and3_4
*I *6709:A I *D sky130_fd_sc_hd__and3_2
*I *6708:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6862:A 0.000108003
2 *6797:A 0
3 *6820:A 0.000279636
4 *6739:A 0.00020365
5 *6709:A 0.000642494
6 *6708:X 0
7 *580:36 0.000317331
8 *580:35 0.000794392
9 *580:11 0.00335788
10 *580:4 0.00316043
11 *6709:A *7288:CLK 2.41274e-06
12 *6709:A *895:22 0.000479276
13 *6739:A *7356:CLK 7.90714e-05
14 *6820:A *832:17 0.000124658
15 *6820:A *1096:655 0.000313495
16 *6820:A *1107:48 0.00017046
17 *6820:A *1131:46 7.65861e-05
18 *6862:A *1107:48 6.9805e-05
19 *580:11 *7288:CLK 1.03403e-05
20 *580:11 *7357:CLK 6.04131e-05
21 *580:11 *691:20 3.96686e-05
22 *580:11 *882:8 1.17299e-05
23 *580:11 *1096:718 0.000283932
24 *580:35 *6971:A2 0.000211492
25 *580:36 *1107:48 1.69932e-05
26 *6639:A *580:11 2.41483e-05
27 *6639:A *580:35 0.000404533
28 *6739:C *6739:A 2.60879e-06
29 *7288:D *6709:A 6.7671e-06
30 *7357:D *580:11 1.86677e-05
31 *503:8 *6820:A 0
32 *503:8 *6862:A 0
33 *503:8 *580:36 0
34 *527:31 *580:11 0.000111055
35 *527:43 *580:11 1.01177e-05
36 *528:5 *580:35 0.000625668
37 *528:24 *580:35 0.000103983
38 *532:20 *6709:A 0
39 *533:8 *6709:A 0
40 *533:21 *580:11 0.000616991
41 *541:19 *580:35 7.68538e-06
42 *572:16 *580:35 0.00171664
43 *572:36 *6709:A 0
44 *573:29 *6739:A 5.69603e-05
45 *574:10 *6739:A 0.000358726
46 *574:47 *6739:A 9.12416e-06
*RES
1 *6708:X *580:4 9.24915
2 *580:4 *580:11 49.022
3 *580:11 *6709:A 29.6726
4 *580:11 *6739:A 25.0642
5 *580:4 *580:35 29.0955
6 *580:35 *580:36 1.00149
7 *580:36 *6820:A 24.0606
8 *580:36 *6797:A 13.7491
9 *580:35 *6862:A 16.8269
*END
*D_NET *581 0.0217503
*CONN
*I *6955:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6803:B2 I *D sky130_fd_sc_hd__a32o_1
*I *6710:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6709:X O *D sky130_fd_sc_hd__and3_2
*CAP
1 *6955:B1 0
2 *6803:B2 0.000998305
3 *6710:B1 0.000623727
4 *6709:X 0.000545785
5 *581:35 0.00376382
6 *581:32 0.00361785
7 *581:23 0.00220593
8 *581:8 0.00252311
9 *6710:B1 *634:15 1.63804e-05
10 *6710:B1 *936:9 1.55025e-05
11 *6710:B1 *1096:1199 9.20398e-05
12 *6803:B2 *6754:B 0.000195139
13 *6803:B2 *6803:A1 1.40911e-05
14 *6803:B2 *6803:A2 4.86852e-05
15 *6803:B2 *6803:B1 4.12769e-05
16 *6803:B2 *608:10 0.00024682
17 *6803:B2 *608:12 0.000175689
18 *6803:B2 *617:9 0.000697609
19 *6803:B2 *647:52 0.000425543
20 *6803:B2 *662:34 1.87454e-05
21 *6803:B2 *664:28 0
22 *6803:B2 *664:42 0
23 *581:8 *6957:B1 3.22726e-05
24 *581:8 *6958:C 0.000116986
25 *581:8 *1110:27 0
26 *581:8 *1141:26 0.000129891
27 *581:32 *6834:A1 0.000101133
28 *581:32 *6838:A1 2.16355e-05
29 *581:32 *6838:B1 2.36494e-05
30 *581:32 *6955:A1 0.000483474
31 *581:32 *740:15 0.00252617
32 *581:32 *1105:57 0.000675842
33 *581:35 *5653:DIODE 6.44964e-06
34 *581:35 *6783:B 0.000112149
35 *581:35 *6821:A 4.61168e-06
36 *581:35 *6834:A1 9.44933e-06
37 *581:35 *6834:A2 1.56593e-05
38 *581:35 *6834:B2 3.18327e-05
39 *581:35 *6835:B 2.85139e-05
40 *581:35 *6839:B 5.73392e-05
41 *581:35 *6851:B1 7.51763e-05
42 *581:35 *6852:B 0.000144868
43 *581:35 *662:34 0.000159013
44 *581:35 *663:30 9.64249e-06
45 *581:35 *663:47 2.46092e-06
46 *581:35 *1114:57 5.00343e-06
47 *6710:A2 *6710:B1 8.08945e-05
48 *7287:D *6710:B1 6.50727e-05
49 *7341:D *581:35 0.000217923
50 *276:19 *6803:B2 2.16355e-05
51 *572:36 *581:8 0.000325492
*RES
1 *6709:X *581:8 34.1997
2 *581:8 *6710:B1 33.7505
3 *581:8 *581:23 29.5628
4 *581:23 *581:32 47.6287
5 *581:32 *581:35 44.6003
6 *581:35 *6803:B2 48.9098
7 *581:23 *6955:B1 9.24915
*END
*D_NET *582 0.003078
*CONN
*I *6712:A2_N I *D sky130_fd_sc_hd__a2bb2o_1
*I *6959:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6711:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6712:A2_N 0.000414839
2 *6959:A1 0.000205261
3 *6711:Y 7.65377e-05
4 *582:7 0.000696638
5 *6712:A2_N *7107:A1 0.000164829
6 *6712:A2_N *597:50 0
7 *6712:A2_N *937:9 0.00051396
8 *6712:A2_N *1123:18 7.65861e-05
9 *6712:A2_N *1123:22 4.08323e-05
10 *6959:A1 *6959:A2 1.07248e-05
11 *6959:A1 *597:50 0
12 *6959:A1 *1123:22 0.000319625
13 *6681:A *6712:A2_N 0.000267129
14 *6712:B1 *6712:A2_N 6.08467e-05
15 *527:31 *582:7 0.000111708
16 *572:33 *6712:A2_N 0.000118485
17 *576:20 *6712:A2_N 0
*RES
1 *6711:Y *582:7 15.0271
2 *582:7 *6959:A1 19.7337
3 *582:7 *6712:A2_N 28.7733
*END
*D_NET *583 0.0315191
*CONN
*I *6963:B1_N I *D sky130_fd_sc_hd__o21bai_1
*I *6811:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6714:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6713:X O *D sky130_fd_sc_hd__and3_2
*CAP
1 *6963:B1_N 0.000171912
2 *6811:A1 0.00488923
3 *6714:B1 0.000180155
4 *6713:X 0.000200711
5 *583:34 0.00672561
6 *583:33 0.00234643
7 *583:29 0.00135452
8 *583:9 0.00269687
9 *583:8 0.00170104
10 *6714:B1 *7107:A3 0.000123974
11 *6714:B1 *822:26 6.28565e-05
12 *6714:B1 *822:31 1.05601e-05
13 *6714:B1 *1121:21 1.23366e-05
14 *6714:B1 *1125:65 2.38316e-05
15 *6811:A1 *6811:A2 3.5534e-06
16 *6811:A1 *6811:B1 2.1203e-06
17 *6811:A1 *587:53 0
18 *6811:A1 *610:12 0.000121545
19 *6811:A1 *660:11 0.000582884
20 *6811:A1 *660:15 0.000303575
21 *6811:A1 *1062:50 0.000551061
22 *6811:A1 *1063:35 0
23 *6811:A1 *1096:334 0
24 *6963:B1_N *6963:A2 3.68552e-05
25 *6963:B1_N *691:20 7.31687e-05
26 *583:9 *895:22 0.000483474
27 *583:9 *1123:9 0.00186952
28 *583:29 *6709:B 0.000334808
29 *583:29 *876:17 0
30 *583:29 *895:22 0.000619223
31 *583:29 *1121:21 0.000680637
32 *583:29 *1125:71 6.31665e-05
33 *583:33 *6958:B 0.000266832
34 *583:33 *6962:A1 0.000127288
35 *583:33 *6962:A2 0.000942108
36 *583:34 *6699:A 0.000149643
37 *583:34 *6875:B2 4.20662e-05
38 *583:34 *6876:B 0
39 *583:34 *7111:C1 0
40 *583:34 *605:29 0.000167133
41 *583:34 *605:40 0.000140889
42 *583:34 *691:20 0.000212971
43 *583:34 *691:25 0.000406239
44 *583:34 *874:22 0
45 *583:34 *962:17 0
46 *583:34 *1012:16 1.97947e-05
47 *583:34 *1096:235 0
48 *583:34 *1117:44 0.000977038
49 *583:34 *1136:52 0
50 *583:34 *1142:26 0
51 *583:34 *1142:30 0
52 *6704:A *583:8 0
53 *6713:A *583:8 7.09434e-05
54 *7289:D *6714:B1 3.77659e-05
55 *7334:D *583:34 4.08482e-05
56 *523:59 *583:29 0.000278992
57 *523:59 *583:33 0.000286683
58 *523:69 *583:29 0.000106589
59 *527:31 *6963:B1_N 6.50586e-05
60 *532:15 *583:9 7.68538e-06
61 *533:8 *583:29 0
62 *533:21 *6963:B1_N 2.65667e-05
63 *563:22 *583:8 0
64 *567:5 *583:29 0.00051935
65 *567:16 *583:29 3.31733e-05
66 *568:27 *583:8 0.000367831
67 *577:46 *6811:A1 0
*RES
1 *6713:X *583:8 25.0642
2 *583:8 *583:9 26.2352
3 *583:9 *6714:B1 24.1017
4 *583:9 *583:29 39.4715
5 *583:29 *583:33 20.475
6 *583:33 *583:34 52.2851
7 *583:34 *6811:A1 32.4652
8 *583:33 *6963:B1_N 18.3808
*END
*D_NET *584 0.00826828
*CONN
*I *6717:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6729:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6725:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6721:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6719:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6715:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6717:A2 0.000878685
2 *6729:A2 0.000144786
3 *6725:A2 0
4 *6721:A2 1.77893e-05
5 *6719:A2 0.000489568
6 *6715:X 0
7 *584:14 0.000881275
8 *584:11 0.00054249
9 *584:5 0.000336206
10 *584:4 0.000901532
11 *6717:A2 *586:29 9.9028e-05
12 *6717:A2 *902:19 6.97834e-05
13 *6717:A2 *906:24 1.38938e-05
14 *6717:A2 *921:10 4.06587e-05
15 *6717:A2 *1099:47 0.000220183
16 *6717:A2 *1105:46 0
17 *6719:A2 *586:29 9.63981e-05
18 *6719:A2 *597:10 0.000215086
19 *6721:A2 *902:24 0.000113968
20 *6721:A2 *921:27 0.000113968
21 *6729:A2 *1096:773 0
22 *6729:A2 *1107:28 0
23 *584:5 *589:26 0.000167076
24 *584:5 *819:34 6.75138e-05
25 *584:11 *7140:A1 0
26 *584:11 *589:26 0.000644459
27 *584:11 *819:25 3.01887e-05
28 *584:11 *819:34 0.00139051
29 *584:11 *910:11 0.000121985
30 *584:14 *6725:A1 5.77352e-05
31 *584:14 *597:10 0.000190838
32 *6727:A1_N *6717:A2 0
33 *6727:B1 *6717:A2 0.00022531
34 *547:11 *6717:A2 0
35 *547:18 *6717:A2 0.0001059
36 *547:29 *6717:A2 0
37 *559:37 *6719:A2 9.14669e-05
38 *574:50 *6717:A2 0
*RES
1 *6715:X *584:4 9.24915
2 *584:4 *584:5 1.8326
3 *584:5 *584:11 16.3005
4 *584:11 *584:14 14.2218
5 *584:14 *6719:A2 26.6886
6 *584:14 *6721:A2 15.0271
7 *584:11 *6725:A2 9.24915
8 *584:5 *6729:A2 21.3269
9 *584:4 *6717:A2 41.57
*END
*D_NET *585 0.00293412
*CONN
*I *6966:A I *D sky130_fd_sc_hd__or2_1
*I *6717:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6716:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6966:A 0.00013763
2 *6717:B1 0.000176979
3 *6716:X 0
4 *585:4 0.000314609
5 *6717:B1 *6716:B 6.08467e-05
6 *6717:B1 *586:29 1.71154e-05
7 *6717:B1 *1099:47 0.000629893
8 *6717:B1 *1099:58 0.000364356
9 *6717:B1 *1099:75 5.08751e-05
10 *6966:A *586:29 0.000157131
11 *6966:A *1099:75 8.03262e-05
12 *559:37 *6717:B1 0.000944358
*RES
1 *6716:X *585:4 9.24915
2 *585:4 *6717:B1 22.0533
3 *585:4 *6966:A 14.2888
*END
*D_NET *586 0.0287955
*CONN
*I *6971:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6817:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6719:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6718:X O *D sky130_fd_sc_hd__and3_2
*CAP
1 *6971:B1 0
2 *6817:A1 0.00136448
3 *6719:B1 0
4 *6718:X 0.000464132
5 *586:63 0.00267043
6 *586:52 0.00217244
7 *586:49 0.00216476
8 *586:38 0.00242458
9 *586:29 0.0031339
10 *586:10 0.00247172
11 *6817:A1 *6803:B1 0
12 *6817:A1 *6806:B2 0
13 *6817:A1 *6807:B 0
14 *6817:A1 *6808:A 0.000130517
15 *6817:A1 *6817:B2 2.95473e-05
16 *6817:A1 *6818:B2 0
17 *6817:A1 *6819:A1 8.97257e-05
18 *6817:A1 *6819:A2 0
19 *6817:A1 *7312:CLK 0
20 *6817:A1 *7315:CLK 0
21 *6817:A1 *621:16 7.23587e-06
22 *6817:A1 *636:51 6.67374e-05
23 *6817:A1 *645:37 0
24 *6817:A1 *660:43 2.30039e-05
25 *6817:A1 *668:18 0
26 *6817:A1 *990:17 1.17108e-05
27 *6817:A1 *1096:368 0
28 *6817:A1 *1096:382 0
29 *586:10 *7117:A1 2.17597e-05
30 *586:10 *7292:CLK 1.31764e-05
31 *586:10 *1096:751 2.77625e-06
32 *586:29 *7121:A1 5.66627e-05
33 *586:29 *1099:47 0.000161717
34 *586:29 *1099:58 0.000352419
35 *586:29 *1099:75 2.52287e-06
36 *586:38 *6967:A 0.000423922
37 *586:38 *6968:A2 0.000148145
38 *586:38 *6974:A2 0.00027103
39 *586:38 *589:45 1.72464e-05
40 *586:38 *594:48 4.58903e-05
41 *586:38 *763:35 5.56367e-05
42 *586:38 *1099:75 0.000428247
43 *586:38 *1112:52 2.22198e-05
44 *586:49 *6889:C1 0.00106484
45 *586:49 *6968:A2 7.86847e-05
46 *586:49 *595:37 4.31703e-05
47 *586:49 *691:16 0.000939309
48 *586:49 *1112:52 1.12605e-05
49 *586:52 *6759:A 0.000132307
50 *586:52 *619:26 7.14746e-05
51 *586:52 *619:34 0.000859346
52 *586:52 *1114:44 0.000442006
53 *586:52 *1151:8 0.000169108
54 *586:52 *1151:23 7.81379e-05
55 *586:63 *6761:A 0.000659456
56 *586:63 *6784:A 6.08467e-05
57 *586:63 *6806:B2 1.5714e-05
58 *586:63 *6833:A1 0.000215704
59 *586:63 *6834:B1 0.000275256
60 *586:63 *589:68 0.000128771
61 *586:63 *645:26 0.000167076
62 *586:63 *645:37 0.000343551
63 *586:63 *652:30 8.05921e-05
64 *586:63 *668:18 0.000327867
65 *586:63 *1015:9 0.000217937
66 *586:63 *1015:21 0.000397653
67 *6716:C *586:29 2.23105e-05
68 *6717:A2 *586:29 9.9028e-05
69 *6717:B1 *586:29 1.71154e-05
70 *6719:A2 *586:29 9.63981e-05
71 *6966:A *586:29 0.000157131
72 *6989:A *586:38 3.8122e-05
73 *7291:D *586:10 0.000148261
74 *306:18 *586:52 0.000337907
75 *523:26 *586:52 0.000153744
76 *529:52 *586:63 0.000398089
77 *533:47 *586:49 4.03114e-05
78 *538:21 *586:49 0.000393643
79 *538:43 *586:49 5.05252e-05
80 *559:37 *586:29 0.000217318
81 *560:29 *586:38 3.20069e-06
82 *561:8 *586:52 0.000204386
83 *561:10 *586:52 0.000255327
84 *576:12 *586:10 0.000134323
*RES
1 *6718:X *586:10 26.6265
2 *586:10 *6719:B1 9.24915
3 *586:10 *586:29 36.9414
4 *586:29 *586:38 32.6151
5 *586:38 *586:49 40.1724
6 *586:49 *586:52 39.9674
7 *586:52 *586:63 45.7479
8 *586:63 *6817:A1 48.8068
9 *586:38 *6971:B1 13.7491
*END
*D_NET *587 0.0395259
*CONN
*I *6975:A I *D sky130_fd_sc_hd__or2_1
*I *6819:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6721:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6720:X O *D sky130_fd_sc_hd__and3_4
*CAP
1 *6975:A 9.36721e-06
2 *6819:A1 0.000767353
3 *6721:B1 0
4 *6720:X 0.00273595
5 *587:60 0.002469
6 *587:53 0.00334019
7 *587:38 0.00472984
8 *587:36 0.00441407
9 *587:15 0.00151169
10 *587:14 0.00293424
11 *6819:A1 *6817:B2 3.88655e-06
12 *6819:A1 *6819:A2 2.53145e-06
13 *6819:A1 *6819:B1 0.000143567
14 *6819:A1 *1063:24 0.00114163
15 *6975:A *6976:A 6.50586e-05
16 *587:14 *7130:A3 5.05252e-05
17 *587:14 *7140:A2 0.000163997
18 *587:14 *7141:A3 0
19 *587:14 *889:8 8.62625e-06
20 *587:14 *889:39 0.000317238
21 *587:14 *899:8 0
22 *587:14 *903:10 0
23 *587:14 *911:8 0
24 *587:14 *911:17 0
25 *587:14 *911:26 0
26 *587:15 *880:5 0.000711366
27 *587:15 *902:24 0.00172147
28 *587:15 *921:27 6.08467e-05
29 *587:36 *7128:B1 0.000518186
30 *587:36 *597:10 4.69495e-06
31 *587:36 *880:14 9.12416e-06
32 *587:36 *880:24 2.02035e-05
33 *587:36 *881:5 0.000738369
34 *587:36 *881:10 0
35 *587:36 *902:19 0.00130742
36 *587:36 *902:24 0.000163227
37 *587:36 *906:24 0.00090427
38 *587:36 *921:27 5.08751e-05
39 *587:36 *1099:34 1.9101e-05
40 *587:38 *6608:A 2.71953e-05
41 *587:38 *6845:B2 4.73463e-05
42 *587:38 *6937:C 0.000145807
43 *587:38 *6973:B 0
44 *587:38 *6974:A1 0
45 *587:38 *6975:B 7.06545e-05
46 *587:38 *7120:B2 0
47 *587:38 *7326:CLK 8.8567e-05
48 *587:38 *7360:CLK 0
49 *587:38 *7548:A 7.50902e-05
50 *587:38 *626:48 3.91944e-05
51 *587:38 *695:16 7.08723e-06
52 *587:38 *881:10 0
53 *587:38 *984:15 1.03986e-05
54 *587:38 *1067:8 0
55 *587:38 *1078:8 0.000725063
56 *587:38 *1096:280 0
57 *587:38 *1096:305 3.62303e-05
58 *587:53 *6841:A2 1.43848e-05
59 *587:53 *6843:A2 3.88213e-05
60 *587:53 *655:11 4.65396e-05
61 *587:53 *655:45 0.000203604
62 *587:53 *988:7 6.08467e-05
63 *587:53 *994:11 0.000111963
64 *587:53 *1055:11 0.000159234
65 *587:53 *1063:35 0.000549372
66 *587:53 *1096:325 0.000367577
67 *587:53 *1122:20 4.23622e-05
68 *587:60 *6812:B2 0
69 *587:60 *641:41 2.26334e-05
70 *587:60 *655:45 0.000887276
71 *587:60 *655:65 0.000180861
72 sram_din0[18] *587:60 2.1558e-06
73 *6585:A *587:14 0
74 *6640:A *587:38 0.000576792
75 *6811:A1 *587:53 0
76 *6817:A1 *6819:A1 8.97257e-05
77 *6965:C1 *587:36 1.66771e-05
78 *7292:D *587:15 6.50727e-05
79 *7313:D *587:60 0.000229576
80 *7316:D *6819:A1 0
81 *7324:D *587:38 5.96936e-05
82 *7327:D *587:53 0.000127573
83 *7329:D *587:60 0.000794411
84 *528:24 *587:38 4.59039e-05
85 *560:29 *6975:A 6.50586e-05
86 *563:22 *587:14 0
87 *569:26 *587:14 0
88 *574:47 *587:36 0.00245722
*RES
1 *6720:X *587:14 42.5348
2 *587:14 *587:15 18.4707
3 *587:15 *6721:B1 9.24915
4 *587:15 *587:36 44.4854
5 *587:36 *587:38 82.1833
6 *587:38 *587:53 33.561
7 *587:53 *587:60 39.8374
8 *587:60 *6819:A1 33.4399
9 *587:36 *6975:A 14.4725
*END
*D_NET *588 0.000936951
*CONN
*I *6723:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6722:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6723:B1 0.000144757
2 *6722:X 0.000144757
3 *6723:B1 *6722:A 3.82228e-05
4 *6723:B1 *6723:A2 5.04829e-06
5 *6723:B1 *887:13 3.41075e-05
6 *6723:B1 *887:15 0.000451196
7 *6723:B1 *1101:27 0.000118862
*RES
1 *6722:X *6723:B1 24.7918
*END
*D_NET *589 0.0359642
*CONN
*I *6828:B2 I *D sky130_fd_sc_hd__a32o_1
*I *6985:A I *D sky130_fd_sc_hd__or2_1
*I *6725:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6724:X O *D sky130_fd_sc_hd__and3_2
*CAP
1 *6828:B2 0
2 *6985:A 0
3 *6725:B1 2.48865e-05
4 *6724:X 0
5 *589:68 0.00118612
6 *589:58 0.00287039
7 *589:45 0.00321153
8 *589:32 0.00202693
9 *589:26 0.00281805
10 *589:14 0.00271327
11 *589:7 0.00299268
12 *589:4 0.00262269
13 *6725:B1 *594:26 8.10372e-05
14 *6725:B1 *597:10 7.75615e-05
15 *589:7 *7156:A 5.0715e-05
16 *589:7 *7184:A1 0.00111146
17 *589:7 *828:14 0.000158357
18 *589:14 *7152:A1 0.00114807
19 *589:14 *7152:B1 5.41227e-05
20 *589:14 *594:26 0.000278589
21 *589:14 *595:17 0.00017923
22 *589:14 *597:10 0.000284303
23 *589:14 *1096:778 0.00041971
24 *589:26 *7140:A1 3.82228e-05
25 *589:26 *819:25 2.77625e-06
26 *589:26 *819:34 0.000456974
27 *589:26 *910:11 0.000149641
28 *589:32 *6987:B 9.65879e-05
29 *589:32 *6993:A2 1.54067e-05
30 *589:32 *7149:B2 0
31 *589:32 *820:36 3.76125e-05
32 *589:32 *820:44 0.000392015
33 *589:32 *1096:841 0
34 *589:45 *6968:A2 9.39113e-05
35 *589:45 *6971:A2 0.000297357
36 *589:45 *6973:A 9.98029e-06
37 *589:45 *6977:C 3.48089e-05
38 *589:45 *6984:B1 0
39 *589:45 *6986:A 2.16355e-05
40 *589:45 *6991:B 0
41 *589:45 *7128:B2 0
42 *589:45 *7128:C1 9.31817e-05
43 *589:45 *762:8 0.000152746
44 *589:45 *763:35 1.69932e-05
45 *589:45 *772:10 0.000273855
46 *589:45 *776:13 9.17333e-06
47 *589:45 *820:44 0.000105463
48 *589:45 *901:25 3.41721e-05
49 *589:45 *914:19 0
50 *589:45 *939:12 0.000246734
51 *589:45 *944:21 8.78262e-05
52 *589:45 *1107:47 1.41853e-05
53 *589:58 *6840:A 0.000258002
54 *589:58 *7326:CLK 1.41689e-05
55 *589:58 *7336:CLK 0
56 *589:58 *762:8 0
57 *589:58 *962:44 0
58 *589:58 *965:43 0.00134797
59 *589:58 *1112:52 0.000465697
60 *589:68 *6828:B1 3.85049e-05
61 *589:68 *7326:CLK 1.41976e-05
62 *589:68 *616:8 0.000506564
63 *589:68 *636:8 6.50727e-05
64 *589:68 *645:26 5.97411e-05
65 *589:68 *645:37 4.28467e-05
66 *589:68 *668:18 0.000260374
67 *589:68 *987:12 0.000140981
68 *589:68 *1015:9 0.000126821
69 *589:68 *1015:21 0.00172114
70 *6989:A *589:45 0.000714775
71 *7184:A2 *589:7 0.000159322
72 *7321:D *589:58 9.60216e-05
73 *7326:D *589:68 9.80389e-05
74 *283:16 *589:68 6.50586e-05
75 *401:8 *589:14 6.74667e-05
76 *402:18 *589:14 2.97091e-05
77 *405:27 *589:7 0.00132827
78 *408:12 *589:14 1.5714e-05
79 *425:26 *589:7 7.02172e-06
80 *432:14 *589:45 1.30304e-05
81 *529:8 *589:58 0
82 *529:43 *589:68 2.99978e-05
83 *529:52 *589:68 0.000329233
84 *538:43 *589:45 0
85 *557:22 *589:32 0
86 *560:29 *589:45 0
87 *584:5 *589:26 0.000167076
88 *584:11 *589:26 0.000644459
89 *586:38 *589:45 1.72464e-05
90 *586:63 *589:68 0.000128771
*RES
1 *6724:X *589:4 9.24915
2 *589:4 *589:7 47.3733
3 *589:7 *589:14 29.6018
4 *589:14 *6725:B1 15.1659
5 *589:14 *589:26 47.4364
6 *589:26 *589:32 18.3081
7 *589:32 *6985:A 9.24915
8 *589:32 *589:45 49.702
9 *589:45 *589:58 48.5303
10 *589:58 *589:68 42.5598
11 *589:68 *6828:B2 9.24915
*END
*D_NET *590 0.00215285
*CONN
*I *6990:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6727:A2_N I *D sky130_fd_sc_hd__a2bb2o_1
*I *6726:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6990:A2 0.000384752
2 *6727:A2_N 0.00029028
3 *6726:Y 0
4 *590:4 0.000675033
5 *6727:A2_N *599:13 1.50167e-05
6 *6727:A2_N *902:19 0.000428751
7 *6727:A2_N *921:27 2.7457e-05
8 *6727:A2_N *1105:53 1.41976e-05
9 *6990:A2 *902:19 2.61147e-05
10 *6990:A2 *1096:694 5.04879e-05
11 *6990:A2 *1105:53 0.000146388
12 *6727:A1_N *6727:A2_N 5.85387e-05
13 *533:24 *6990:A2 3.58321e-05
*RES
1 *6726:Y *590:4 9.24915
2 *590:4 *6727:A2_N 18.6774
3 *590:4 *6990:A2 25.6566
*END
*D_NET *591 0.00274944
*CONN
*I *6995:A I *D sky130_fd_sc_hd__or2_1
*I *6729:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6728:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6995:A 0.000206504
2 *6729:B1 0.0008509
3 *6728:X 0
4 *591:4 0.0010574
5 *6729:B1 *1107:31 4.26566e-05
6 *6729:B1 *1107:37 3.95802e-05
7 *6995:A *6995:B 5.38073e-05
8 *6995:A *1106:15 2.49928e-05
9 *6728:C *6729:B1 2.23105e-05
10 *6728:C *6995:A 3.42931e-05
11 *6731:A *6729:B1 0.000184745
12 *6731:C *6729:B1 2.23105e-05
13 *6965:C1 *6995:A 3.42931e-05
14 *546:8 *6995:A 9.07961e-05
15 *557:22 *6729:B1 8.48489e-05
*RES
1 *6728:X *591:4 9.24915
2 *591:4 *6729:B1 25.7185
3 *591:4 *6995:A 24.2337
*END
*D_NET *592 0.00557373
*CONN
*I *6732:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6736:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6734:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6740:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6742:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6730:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6732:A2 0.000118781
2 *6736:A2 0
3 *6734:A2 0
4 *6740:A2 0.000359251
5 *6742:A2 9.36721e-06
6 *6730:X 9.15667e-05
7 *592:33 0.000698671
8 *592:21 0.00106317
9 *592:18 0.00111239
10 *592:8 0.000370801
11 *6732:A2 *593:8 0.000104336
12 *6732:A2 *1107:28 0
13 *6740:A2 *6734:A1 1.41976e-05
14 *6740:A2 *6734:B1 0.000133098
15 *6740:A2 *6736:B1 0
16 *6740:A2 *6740:A1 7.50722e-05
17 *6740:A2 *7171:A1 2.65831e-05
18 *6740:A2 *7179:A1 0.000217572
19 *6740:A2 *594:26 6.57043e-05
20 *6740:A2 *595:18 0
21 *6742:A2 *6742:B1 6.50586e-05
22 *6742:A2 *595:27 6.50586e-05
23 *592:8 *593:8 0
24 *592:8 *929:9 1.03986e-05
25 *592:8 *1107:28 2.98609e-05
26 *592:18 *6742:B1 0.000266846
27 *592:18 *593:8 0.000156112
28 *592:18 *595:27 0.000256861
29 *592:18 *929:9 5.33266e-05
30 *592:18 *1107:28 0
31 *592:33 *6736:A1 5.47232e-06
32 *592:33 *6736:B1 4.36954e-05
33 *592:33 *7171:A1 0.000160474
*RES
1 *6730:X *592:8 20.4964
2 *592:8 *6742:A2 9.97254
3 *592:8 *592:18 14.2568
4 *592:18 *592:21 21.3069
5 *592:21 *592:33 17.0592
6 *592:33 *6740:A2 28.6943
7 *592:33 *6734:A2 9.24915
8 *592:21 *6736:A2 9.24915
9 *592:18 *6732:A2 17.6574
*END
*D_NET *593 0.00347001
*CONN
*I *7000:A I *D sky130_fd_sc_hd__or2_1
*I *6732:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6731:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *7000:A 0.000338438
2 *6732:B1 9.15996e-05
3 *6731:X 0.000847193
4 *593:8 0.00127723
5 *6732:B1 *923:9 0.00015511
6 *6732:B1 *923:20 0.000133333
7 *7000:A *923:9 0.000224395
8 *593:8 *1106:15 0
9 *593:8 *1107:28 0
10 *6728:C *593:8 4.20662e-05
11 *6730:A *593:8 5.74968e-05
12 *6732:A2 *593:8 0.000104336
13 *533:24 *593:8 0
14 *560:54 *593:8 4.27003e-05
15 *592:8 *593:8 0
16 *592:18 *593:8 0.000156112
*RES
1 *6731:X *593:8 40.0132
2 *593:8 *6732:B1 12.7697
3 *593:8 *7000:A 17.737
*END
*D_NET *594 0.033629
*CONN
*I *6734:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7005:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6842:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6733:X O *D sky130_fd_sc_hd__and3_4
*CAP
1 *6734:B1 0.000104812
2 *7005:B1 3.89915e-05
3 *6842:A1 0.000913074
4 *6733:X 0.000868371
5 *594:48 0.00201668
6 *594:44 0.00248879
7 *594:39 0.00209453
8 *594:31 0.00202261
9 *594:26 0.00240422
10 *594:14 0.00176057
11 *594:7 0.00168177
12 *6734:B1 *6734:A1 6.50586e-05
13 *6734:B1 *7171:A1 2.65667e-05
14 *6734:B1 *1113:12 0
15 *6842:A1 *6841:B1 1.43983e-05
16 *6842:A1 *6842:B2 0.000325127
17 *6842:A1 *6844:B1 0.000101987
18 *6842:A1 *6844:B2 2.02035e-05
19 *6842:A1 *6846:A1 0.000525768
20 *6842:A1 *6848:A1 3.85773e-05
21 *6842:A1 *696:48 0.000194914
22 *6842:A1 *985:6 0.000104502
23 *6842:A1 *1062:33 6.50727e-05
24 *6842:A1 *1089:16 0.000203906
25 *6842:A1 *1096:313 1.4789e-05
26 *7005:B1 *791:28 4.66492e-05
27 *594:7 *1099:30 0.000464357
28 *594:14 *7131:B1 2.16355e-05
29 *594:14 *905:8 0.00109273
30 *594:14 *906:24 0.000285127
31 *594:14 *911:8 0.000117566
32 *594:14 *930:7 0.000154145
33 *594:14 *1099:30 0.000109503
34 *594:14 *1105:40 8.14778e-05
35 *594:14 *1105:41 0.00186362
36 *594:14 *1113:12 1.90218e-05
37 *594:26 *6740:A1 7.86847e-05
38 *594:26 *6740:B1 0.000179834
39 *594:26 *7130:A1 7.65861e-05
40 *594:26 *7179:A1 0.00021043
41 *594:26 *595:18 0
42 *594:26 *597:10 6.04456e-05
43 *594:26 *914:24 0.000293417
44 *594:26 *1113:12 0
45 *594:31 *6998:B 0.00011818
46 *594:31 *6999:B1 0.000118166
47 *594:31 *7179:A1 2.41274e-06
48 *594:31 *787:33 4.0752e-05
49 *594:31 *923:27 0.00137341
50 *594:31 *1096:822 6.99486e-05
51 *594:39 *6997:A 0
52 *594:39 *7362:CLK 0
53 *594:39 *787:33 0.000211937
54 *594:39 *791:28 0.000472804
55 *594:44 *6986:A 0
56 *594:44 *6991:B 7.90853e-05
57 *594:44 *7003:A1 6.56617e-05
58 *594:44 *7004:B 0.000208447
59 *594:44 *7006:D 9.32958e-05
60 *594:44 *7128:A1 0.000597772
61 *594:44 *7128:C1 0
62 *594:44 *7138:B1 0
63 *594:44 *7143:B1 8.9423e-05
64 *594:44 *7362:CLK 0.00020552
65 *594:44 *786:6 0.000223451
66 *594:44 *791:28 3.54714e-05
67 *594:44 *914:19 7.50872e-05
68 *594:44 *944:21 0
69 *594:44 *1089:16 8.19494e-05
70 *594:44 *1107:48 0
71 *594:44 *1122:26 0.000372267
72 *594:48 *6846:A1 4.83927e-05
73 *594:48 *6969:A 0.000116986
74 *594:48 *696:48 0.000112657
75 *594:48 *1089:16 0.000888958
76 *594:48 *1112:52 0.00212229
77 *594:48 *1112:74 0.000102899
78 *6725:B1 *594:26 8.10372e-05
79 *6740:A2 *6734:B1 0.000133098
80 *6740:A2 *594:26 6.57043e-05
81 *7139:A *594:14 0.0002646
82 *7294:D *594:26 6.01598e-05
83 *7298:D *6734:B1 1.25326e-05
84 *7301:D *594:26 3.1218e-05
85 *7302:D *594:31 0.000176469
86 *417:26 *7005:B1 0.000171288
87 *417:26 *594:39 0.000472804
88 *421:13 *594:31 0.000297357
89 *432:21 *594:26 0.000251239
90 *533:36 *594:31 0.000100066
91 *560:29 *594:44 9.2346e-06
92 *586:38 *594:48 4.58903e-05
93 *589:14 *594:26 0.000278589
*RES
1 *6733:X *594:7 23.8617
2 *594:7 *594:14 47.05
3 *594:14 *594:26 32.1058
4 *594:26 *594:31 46.2882
5 *594:31 *594:39 24.8953
6 *594:39 *594:44 47.1366
7 *594:44 *594:48 44.9205
8 *594:48 *6842:A1 42.006
9 *594:39 *7005:B1 11.0817
10 *594:26 *6734:B1 17.135
*END
*D_NET *595 0.0382944
*CONN
*I *6736:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7009:A I *D sky130_fd_sc_hd__or2_1
*I *6844:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6735:X O *D sky130_fd_sc_hd__and3_4
*CAP
1 *6736:B1 0.000407907
2 *7009:A 0.000638799
3 *6844:A1 0.00020684
4 *6735:X 0.000519415
5 *595:52 0.00165423
6 *595:40 0.00227086
7 *595:37 0.00208076
8 *595:31 0.00237021
9 *595:27 0.00100411
10 *595:18 0.00120584
11 *595:17 0.000963955
12 *595:13 0.00121542
13 *6736:B1 *7171:A1 1.72489e-05
14 *6844:A1 *6844:A2 6.08467e-05
15 *6844:A1 *609:19 0.000369003
16 *6844:A1 *650:19 0.000869654
17 *7009:A *7009:B 0
18 *7009:A *7010:A 2.41274e-06
19 *7009:A *740:52 8.82398e-05
20 *7009:A *978:12 0
21 *595:13 *7042:B 0.000615589
22 *595:13 *7188:A1 1.22496e-05
23 *595:13 *7188:B1 0.000107496
24 *595:13 *822:57 0.000260388
25 *595:17 *6740:B1 0.000160617
26 *595:17 *7152:A1 2.14995e-05
27 *595:17 *7152:B1 6.08467e-05
28 *595:17 *597:31 0.00033061
29 *595:17 *822:57 0.00102761
30 *595:17 *1096:778 0.00013243
31 *595:18 *929:16 0
32 *595:27 *6742:B1 0.000545774
33 *595:27 *929:9 0.000271044
34 *595:27 *929:16 0.00127365
35 *595:31 *6742:B1 6.24655e-05
36 *595:31 *6992:A 0.000436825
37 *595:31 *6996:A 0.000317721
38 *595:31 *7159:B2 0.000161493
39 *595:31 *598:20 0.0035144
40 *595:37 *7361:CLK 8.81154e-05
41 *595:37 *978:12 0
42 *595:37 *1096:635 5.77197e-05
43 *595:37 *1133:20 0.00169127
44 *595:40 *6882:B1 0
45 *595:40 *6896:A 0.000134323
46 *595:40 *696:65 0.000348025
47 *595:40 *696:86 3.14544e-05
48 *595:40 *1133:18 9.78551e-06
49 *595:40 *1133:20 0.00169052
50 *595:52 *6837:S 6.25625e-05
51 *595:52 *6848:A1 0
52 *595:52 *6850:A1 0
53 *595:52 *6852:B 1.23518e-05
54 *595:52 *6855:B1 2.90086e-05
55 *595:52 *6856:B2 2.02035e-05
56 *595:52 *6882:A1 0
57 *595:52 *6882:B1 2.125e-05
58 *595:52 *609:19 0.000357898
59 *595:52 *646:14 0
60 *595:52 *650:14 0.000175864
61 *595:52 *662:15 1.5714e-05
62 *595:52 *965:38 9.81829e-05
63 *595:52 *1096:316 2.01249e-05
64 *595:52 *1133:18 0.000545837
65 *595:52 *1133:20 3.3171e-06
66 *6720:C *595:13 2.16355e-05
67 *6740:A2 *6736:B1 0
68 *6740:A2 *595:18 0
69 *6742:A2 *595:27 6.50586e-05
70 *7008:C1 *7009:A 0
71 *7152:A2 *595:17 0.000111802
72 *7188:A3 *595:13 5.51483e-06
73 *7326:D *595:52 9.20275e-05
74 *7361:D *595:37 7.13655e-06
75 *7367:D *7009:A 0
76 *402:18 *595:17 0.000197245
77 *404:8 *595:37 0.000165555
78 *405:21 *595:13 0.00103118
79 *413:5 *595:27 5.65165e-05
80 *413:5 *595:31 9.82896e-06
81 *413:14 *595:27 0.000212005
82 *428:27 *595:17 0.0030887
83 *533:25 *595:27 0.000266846
84 *533:43 *595:31 0.00154279
85 *533:47 *595:37 0
86 *538:21 *595:37 0.000107496
87 *546:8 *595:31 0.000118166
88 *586:49 *595:37 4.31703e-05
89 *589:14 *595:17 0.00017923
90 *592:18 *595:27 0.000256861
91 *592:33 *6736:B1 4.36954e-05
92 *594:26 *595:18 0
*RES
1 *6735:X *595:13 28.5156
2 *595:13 *595:17 44.6003
3 *595:17 *595:18 5.56926
4 *595:18 *595:27 32.0614
5 *595:27 *595:31 46.2641
6 *595:31 *595:37 49.4827
7 *595:37 *595:40 31.3638
8 *595:40 *595:52 49.3545
9 *595:52 *6844:A1 19.9554
10 *595:31 *7009:A 28.0221
11 *595:18 *6736:B1 23.151
*END
*D_NET *596 0.00122671
*CONN
*I *6738:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6737:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6738:B1 0.000235949
2 *6737:X 0.000235949
3 *6738:B1 *831:13 0.000234372
4 *6738:B1 *845:54 0.000143032
5 *6738:B1 *1059:16 0.000143032
6 *6738:B1 *1112:39 0.000234372
*RES
1 *6737:X *6738:B1 36.9848
*END
*D_NET *597 0.034128
*CONN
*I *6849:B2 I *D sky130_fd_sc_hd__a32o_1
*I *7018:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6740:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6739:X O *D sky130_fd_sc_hd__and3_4
*CAP
1 *6849:B2 0
2 *7018:B1 0.0002176
3 *6740:B1 0.00016361
4 *6739:X 0
5 *597:69 0.00210163
6 *597:61 0.00378567
7 *597:50 0.00278681
8 *597:33 0.00377035
9 *597:31 0.00447715
10 *597:10 0.00213759
11 *597:4 0.00215236
12 *6740:B1 *1096:778 0.000164829
13 *6740:B1 *1113:12 0
14 *7018:B1 *7018:A3 6.50727e-05
15 *7018:B1 *807:7 0.000281999
16 *7018:B1 *1096:956 6.92705e-05
17 *597:10 *5651:DIODE 2.65831e-05
18 *597:10 *6721:A1 6.87503e-05
19 *597:10 *6725:A1 7.65861e-05
20 *597:10 *7130:A1 0.000288033
21 *597:10 *831:26 7.86825e-06
22 *597:10 *831:27 3.14978e-05
23 *597:10 *917:10 3.0902e-05
24 *597:10 *920:8 0.000106068
25 *597:10 *1099:34 4.03348e-05
26 *597:31 *6994:B 3.14978e-05
27 *597:31 *6995:B 0.000371088
28 *597:31 *7152:A1 0.000140778
29 *597:31 *922:5 0.000149356
30 *597:31 *1096:778 0.00110881
31 *597:33 *6994:B 1.71154e-05
32 *597:33 *7178:B2 0.000543261
33 *597:33 *807:7 2.41483e-05
34 *597:50 *5651:DIODE 7.97944e-05
35 *597:50 *6959:A2 1.36691e-05
36 *597:50 *831:27 0.000188255
37 *597:50 *888:8 0
38 *597:50 *937:9 0.000143032
39 *597:50 *1096:717 0
40 *597:50 *1123:22 0
41 *597:61 *7096:C1 0.000213466
42 *597:61 *7351:CLK 6.73351e-05
43 *597:61 *745:15 0.000334596
44 *597:61 *755:42 0
45 *597:61 *932:10 7.0954e-05
46 *597:61 *1096:224 0
47 *597:61 *1096:229 0
48 *597:61 *1123:22 0.00160157
49 *597:69 *6849:A1 1.71492e-05
50 *597:69 *6849:A2 0.000213739
51 *597:69 *6849:B1 8.04661e-05
52 *597:69 *7350:CLK 4.31703e-05
53 *597:69 *622:24 0.000863195
54 *597:69 *745:15 0.000413368
55 *597:69 *1098:55 0.000216687
56 *597:69 *1135:8 0.000222149
57 *6664:A *597:31 6.73186e-05
58 *6701:B1 *597:61 1.72464e-05
59 *6712:A2_N *597:50 0
60 *6719:A2 *597:10 0.000215086
61 *6725:B1 *597:10 7.75615e-05
62 *6728:C *597:31 0.000216336
63 *6959:A1 *597:50 0
64 *6959:B1 *597:50 2.69064e-05
65 *6959:B1 *597:61 0
66 *7296:D *597:31 1.87611e-05
67 *402:42 *597:33 9.72064e-05
68 *428:11 *597:33 0.000490606
69 *428:24 *597:33 0.00111433
70 *428:27 *597:31 0.00028978
71 *502:30 *597:69 6.44576e-05
72 *538:9 *7018:B1 9.19886e-06
73 *546:30 *597:31 0.000156918
74 *547:7 *597:31 1.5613e-05
75 *576:12 *597:10 2.01326e-05
76 *576:62 *597:10 0
77 *584:14 *597:10 0.000190838
78 *587:36 *597:10 4.69495e-06
79 *589:14 *597:10 0.000284303
80 *594:26 *6740:B1 0.000179834
81 *594:26 *597:10 6.04456e-05
82 *595:17 *6740:B1 0.000160617
83 *595:17 *597:31 0.00033061
*RES
1 *6739:X *597:4 9.24915
2 *597:4 *597:10 39.7925
3 *597:10 *6740:B1 23.5748
4 *597:10 *597:31 40.3655
5 *597:31 *597:33 58.4022
6 *597:33 *7018:B1 15.5668
7 *597:4 *597:50 30.7964
8 *597:50 *597:61 48.6835
9 *597:61 *597:69 46.9725
10 *597:69 *6849:B2 9.24915
*END
*D_NET *598 0.018873
*CONN
*I *7020:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6742:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6741:X O *D sky130_fd_sc_hd__and3_2
*CAP
1 *7020:B1 0.000635949
2 *6742:B1 0.000199734
3 *6741:X 0.000857601
4 *598:20 0.00250738
5 *598:16 0.00256596
6 *598:10 0.00175186
7 *6742:B1 *6996:A 0.000266846
8 *7020:B1 *7169:B2 5.22859e-06
9 *7020:B1 *740:56 0.000377273
10 *598:10 *7164:A1 2.95757e-05
11 *598:10 *832:65 0
12 *598:10 *967:12 0.00151451
13 *598:10 *1011:18 0
14 *598:10 *1114:44 0.000113374
15 *598:16 *7164:A1 0.000362198
16 *598:16 *7164:B2 0.000217021
17 *598:16 *978:12 2.19276e-05
18 *598:20 *7159:B2 5.80138e-05
19 *598:20 *1096:853 2.47663e-05
20 *6741:C *598:10 6.08467e-05
21 *6742:A2 *6742:B1 6.50586e-05
22 *7164:A2 *7020:B1 6.16549e-05
23 *7164:A2 *598:10 0
24 *7164:B1 *598:10 6.24979e-05
25 *7164:B1 *598:16 1.41181e-05
26 *7164:C1 *598:16 0.000158885
27 *7164:C1 *598:20 2.65667e-05
28 *7169:A2 *7020:B1 6.24164e-05
29 *7169:B1 *7020:B1 1.43983e-05
30 *7169:C1 *7020:B1 9.40969e-05
31 *7169:C1 *598:10 1.32509e-05
32 *7364:D *598:20 1.07248e-05
33 *412:8 *598:10 0.000146917
34 *412:15 *598:10 6.19019e-05
35 *412:24 *598:10 2.75427e-05
36 *413:5 *6742:B1 0.00150696
37 *421:5 *7020:B1 0.000583244
38 *533:36 *598:20 3.20069e-06
39 *592:18 *6742:B1 0.000266846
40 *595:27 *6742:B1 0.000545774
41 *595:31 *6742:B1 6.24655e-05
42 *595:31 *598:20 0.0035144
*RES
1 *6741:X *598:10 49.4569
2 *598:10 *598:16 17.5139
3 *598:16 *598:20 46.5716
4 *598:20 *6742:B1 30.5802
5 *598:10 *7020:B1 39.7387
*END
*D_NET *599 0.0457276
*CONN
*I *6744:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7023:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6856:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6743:X O *D sky130_fd_sc_hd__and3_4
*CAP
1 *6744:B1 2.96599e-05
2 *7023:B1 0.000169286
3 *6856:A1 0
4 *6743:X 0.00022449
5 *599:38 0.00243344
6 *599:26 0.00307172
7 *599:22 0.00205929
8 *599:18 0.00174719
9 *599:15 0.000495461
10 *599:13 0.00901026
11 *599:12 0.00905767
12 *599:7 0.000301561
13 *6744:B1 *880:5 2.16355e-05
14 *7023:B1 *7023:A1 2.22342e-05
15 *7023:B1 *7023:A2 3.67528e-06
16 *599:7 *7105:A 0.000147325
17 *599:7 *880:5 0.000117996
18 *599:7 *1105:27 0.00072322
19 *599:12 *827:14 7.65861e-05
20 *599:12 *1062:74 0
21 *599:13 *7368:CLK 4.58003e-05
22 *599:13 *880:5 0.00521024
23 *599:13 *902:19 4.82966e-05
24 *599:13 *906:7 0.00394423
25 *599:13 *921:27 0.000282983
26 *599:18 *1096:624 8.36326e-05
27 *599:22 *6747:B 2.22923e-05
28 *599:22 *6830:B2 0
29 *599:22 *6898:A2 8.90323e-05
30 *599:22 *6900:A1 8.36602e-05
31 *599:22 *6901:A 0
32 *599:22 *652:30 0
33 *599:22 *1154:24 0
34 *599:26 *6770:A 0.00036243
35 *599:26 *6803:A3 0.000118054
36 *599:26 *6814:A 0
37 *599:26 *6828:A2 0.000622361
38 *599:26 *6828:B1 3.60522e-05
39 *599:26 *645:26 2.86353e-06
40 *599:26 *645:37 0
41 *599:26 *650:53 9.24241e-05
42 *599:38 *6856:B1 0.0001403
43 *599:38 *7328:CLK 0.000432523
44 *599:38 *626:48 0
45 *599:38 *650:14 0.000207616
46 *599:38 *650:56 6.50727e-05
47 *599:38 *688:16 7.56198e-05
48 *599:38 *1033:23 0
49 *599:38 *1096:316 3.799e-05
50 *599:38 *1096:354 0.000126772
51 *599:38 *1096:364 8.05459e-05
52 *6679:A *599:18 3.71513e-05
53 *6679:A *599:22 0.00026546
54 *6727:A2_N *599:13 1.50167e-05
55 *6898:A1 *599:22 0.000156823
56 *7314:D *599:38 7.02539e-05
57 *7328:D *599:38 4.04556e-05
58 *7368:D *599:13 4.50998e-05
59 *276:19 *599:26 3.36542e-05
60 *525:54 *599:22 0.00160723
61 *538:14 *7023:B1 0
62 *538:14 *599:18 0
63 *577:46 *599:38 0.00153294
*RES
1 *6743:X *599:7 19.4249
2 *599:7 *599:12 10.4167
3 *599:12 *599:13 148.803
4 *599:13 *599:15 4.5
5 *599:15 *599:18 8.58595
6 *599:18 *599:22 44.6518
7 *599:22 *599:26 24.8107
8 *599:26 *599:38 48.8582
9 *599:38 *6856:A1 9.24915
10 *599:15 *7023:B1 18.0727
11 *599:7 *6744:B1 9.97254
*END
*D_NET *600 0.00672538
*CONN
*I *6891:C I *D sky130_fd_sc_hd__or3_1
*I *6747:A I *D sky130_fd_sc_hd__or2_1
*I *6897:A2 I *D sky130_fd_sc_hd__a211o_1
*I *6745:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6891:C 0.00141599
2 *6747:A 0.000220648
3 *6897:A2 0.000233405
4 *6745:Y 0
5 *600:21 0.00204478
6 *600:4 0.000641543
7 *6747:A *706:23 0.00011126
8 *6891:C *6888:A2 0
9 *6891:C *706:23 6.19155e-05
10 *6891:C *708:8 6.73186e-05
11 *6891:C *1152:5 5.04829e-06
12 *6897:A2 *6745:B 1.61631e-05
13 *6897:A2 *6897:B1 6.08467e-05
14 *6897:A2 *989:16 0.000545102
15 *6897:A2 *1011:18 0.000548699
16 *522:58 *6897:A2 6.50586e-05
17 *538:14 *6891:C 0
18 *557:54 *6897:A2 1.92172e-05
19 *557:54 *600:21 0.000515159
20 *560:44 *6891:C 0.000153225
*RES
1 *6745:Y *600:4 9.24915
2 *600:4 *6897:A2 29.9964
3 *600:4 *600:21 17.4247
4 *600:21 *6747:A 20.1489
5 *600:21 *6891:C 48.3238
*END
*D_NET *601 0.00302193
*CONN
*I *6900:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6747:B I *D sky130_fd_sc_hd__or2_1
*I *6746:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *6900:A1 0.00021172
2 *6747:B 0.000236036
3 *6746:X 0
4 *601:5 0.000447756
5 *6747:B *6582:A 0.000268798
6 *6747:B *602:8 4.34469e-05
7 *6747:B *1149:29 0.000109002
8 *6747:B *1154:24 2.22923e-05
9 *6900:A1 *618:9 0.000324166
10 *6900:A1 *705:28 0
11 *6900:A1 *1154:24 1.82696e-05
12 *6679:A *6900:A1 8.62625e-06
13 *6900:B1 *6900:A1 6.92705e-05
14 *7340:D *6900:A1 4.0752e-05
15 *525:54 *6900:A1 6.75302e-05
16 *541:5 *6747:B 0.00104831
17 *599:22 *6747:B 2.22923e-05
18 *599:22 *6900:A1 8.36602e-05
*RES
1 *6746:X *601:5 13.7491
2 *601:5 *6747:B 26.842
3 *601:5 *6900:A1 22.3996
*END
*D_NET *602 0.00718212
*CONN
*I *6748:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6754:A I *D sky130_fd_sc_hd__and2_1
*I *6867:A I *D sky130_fd_sc_hd__nand2_2
*I *6747:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6748:B1 3.23274e-05
2 *6754:A 8.49288e-05
3 *6867:A 0
4 *6747:X 0.000764788
5 *602:12 0.000966479
6 *602:8 0.00167867
7 *6754:A *609:8 0.000200794
8 *6754:A *617:9 1.96574e-05
9 *6754:A *647:52 0.000351426
10 *6754:A *695:16 2.16355e-05
11 *602:8 *1022:14 7.25247e-05
12 *602:8 *1022:18 2.56635e-05
13 *602:8 *1044:12 0
14 *602:8 *1044:18 1.40761e-05
15 *602:12 *603:6 0.000248186
16 *602:12 *603:10 0.000345761
17 *602:12 *695:16 5.56367e-05
18 *602:12 *717:11 9.24241e-05
19 *602:12 *1022:14 0.00180451
20 *602:12 *1044:12 0
21 *6747:B *602:8 4.34469e-05
22 *6748:A2 *6748:B1 0.000220183
23 *484:5 *6748:B1 9.40969e-05
24 *541:5 *602:8 4.49059e-05
*RES
1 *6747:X *602:8 26.5633
2 *602:8 *602:12 37.8912
3 *602:12 *6867:A 9.24915
4 *602:12 *6754:A 13.3002
5 *602:8 *6748:B1 16.1364
*END
*D_NET *603 0.00893819
*CONN
*I *6760:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6805:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6798:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6750:A I *D sky130_fd_sc_hd__and2_2
*I *6748:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6760:A_N 0.000392209
2 *6805:A 8.30313e-05
3 *6798:A 0
4 *6750:A 0.00014756
5 *6748:X 0.000349591
6 *603:19 0.000772737
7 *603:10 0.00122263
8 *603:6 0.00125622
9 *6750:A *6855:A2 1.07248e-05
10 *6750:A *646:11 0.00057316
11 *6750:A *987:14 4.77801e-05
12 *6750:A *1110:50 0.00057316
13 *6750:A *1133:18 0.000139226
14 *6760:A_N *614:11 6.08467e-05
15 *6760:A_N *1105:57 0.000191235
16 *6805:A *648:8 3.21413e-05
17 *603:6 *6907:A1 0.000113374
18 *603:6 *717:11 9.24241e-05
19 *603:6 *1044:12 0.000247101
20 *603:10 *663:30 6.87762e-05
21 *603:10 *1044:12 0.000321683
22 *603:19 *6762:A 7.8874e-05
23 *603:19 *6762:C 7.4287e-05
24 *603:19 *646:11 5.09367e-05
25 *603:19 *647:36 0.000191556
26 *603:19 *647:52 6.50727e-05
27 *603:19 *648:8 0.000100493
28 *603:19 *967:10 0.000105575
29 *603:19 *978:12 0
30 *603:19 *1011:15 0.000364356
31 *603:19 *1098:55 5.04829e-06
32 *603:19 *1110:50 0.000161234
33 *6902:B1 *6760:A_N 0.000451196
34 *602:12 *603:6 0.000248186
35 *602:12 *603:10 0.000345761
*RES
1 *6748:X *603:6 26.3777
2 *603:6 *603:10 20.4506
3 *603:10 *603:19 28.1049
4 *603:19 *6750:A 27.1811
5 *603:19 *6798:A 9.24915
6 *603:10 *6805:A 11.0817
7 *603:6 *6760:A_N 24.4554
*END
*D_NET *604 0.0097638
*CONN
*I *6857:B I *D sky130_fd_sc_hd__nand2_2
*I *6750:B I *D sky130_fd_sc_hd__and2_2
*I *6855:A2 I *D sky130_fd_sc_hd__o22a_1
*I *6749:Y O *D sky130_fd_sc_hd__nand2_2
*CAP
1 *6857:B 0
2 *6750:B 0
3 *6855:A2 0.000306849
4 *6749:Y 0.00103657
5 *604:15 0.000387761
6 *604:13 0.000420843
7 *604:8 0.0013765
8 *6855:A2 *7551:A 6.9787e-05
9 *6855:A2 *606:30 6.08467e-05
10 *6855:A2 *987:14 0.000334613
11 *6855:A2 *1133:18 0.000153715
12 *604:8 *6834:B2 0.000375484
13 *604:8 *6860:B 0.000268744
14 *604:8 *6898:C1 4.89898e-06
15 *604:8 *608:10 1.41976e-05
16 *604:8 *652:15 0.00040385
17 *604:8 *1044:12 0.00171837
18 *604:13 *6767:C 6.75302e-05
19 *604:13 *6813:A1 0.000464127
20 *604:13 *6857:A 6.36477e-05
21 *604:13 *613:19 0.000562343
22 *604:13 *616:24 6.50586e-05
23 *604:13 *636:28 0.00081006
24 *604:13 *650:14 6.98045e-05
25 *604:13 *650:53 2.81957e-05
26 *604:15 *613:19 0.000193287
27 *604:15 *650:14 6.6641e-05
28 *604:15 *1123:53 0.000313495
29 *6749:B *604:8 8.5044e-05
30 *6750:A *6855:A2 1.07248e-05
31 *7320:D *604:8 3.07997e-05
*RES
1 *6749:Y *604:8 49.5303
2 *604:8 *604:13 21.331
3 *604:13 *604:15 5.16022
4 *604:15 *6855:A2 27.0333
5 *604:15 *6750:B 9.24915
6 *604:13 *6857:B 9.24915
*END
*D_NET *605 0.0142494
*CONN
*I *6751:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6875:A2 I *D sky130_fd_sc_hd__a221o_1
*I *6871:A2 I *D sky130_fd_sc_hd__a221o_1
*I *6864:A2 I *D sky130_fd_sc_hd__a221o_1
*I *6809:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6750:X O *D sky130_fd_sc_hd__and2_2
*CAP
1 *6751:A 0
2 *6875:A2 5.29636e-05
3 *6871:A2 0.000123162
4 *6864:A2 3.8387e-05
5 *6809:A 0.000398019
6 *6750:X 0.000133436
7 *605:40 0.000676174
8 *605:29 0.00220935
9 *605:22 0.00234861
10 *605:6 0.00123831
11 *6809:A *7551:A 0.000578593
12 *6809:A *641:31 0.000523665
13 *6809:A *655:11 6.92705e-05
14 *6809:A *655:45 0.000474783
15 *6809:A *978:12 0.000248266
16 *6809:A *987:14 4.42142e-05
17 *6864:A2 *6874:B2 8.69374e-05
18 *6864:A2 *982:15 4.97077e-05
19 *6871:A2 *6864:A1 0
20 *6871:A2 *6871:A1 0
21 *6871:A2 *6871:B1 0
22 *6871:A2 *610:12 0
23 *6871:A2 *976:19 8.69374e-05
24 *6871:A2 *1063:47 0.000202039
25 *6875:A2 *6875:B1 1.67329e-05
26 *6875:A2 *1013:13 1.90494e-05
27 *6875:A2 *1014:21 3.93117e-06
28 *605:6 *978:12 9.71265e-05
29 *605:6 *987:14 0.000239168
30 *605:22 *6845:A2 2.1801e-05
31 *605:22 *6855:B1 0.000216532
32 *605:22 *6855:B2 0.000158357
33 *605:22 *606:30 0.000362588
34 *605:22 *688:51 0.000980066
35 *605:29 *6845:A2 0.000468457
36 *605:29 *6877:A 7.48797e-05
37 *605:29 *688:51 0.000514826
38 *605:29 *1014:9 0.000373718
39 *605:29 *1142:30 0
40 *605:40 *6874:B1 0.000178863
41 *605:40 *6874:B2 0.000240367
42 *605:40 *6874:C1 7.46669e-05
43 *605:40 *982:15 0.000118683
44 *605:40 *1012:16 2.8711e-05
45 *605:40 *1013:25 4.59164e-06
46 *605:40 *1014:21 0
47 *7470:A *6871:A2 0.000165495
48 *583:34 *605:29 0.000167133
49 *583:34 *605:40 0.000140889
*RES
1 *6750:X *605:6 18.4879
2 *605:6 *6809:A 31.262
3 *605:6 *605:22 24.6827
4 *605:22 *605:29 48.3197
5 *605:29 *605:40 19.5752
6 *605:40 *6864:A2 11.3591
7 *605:40 *6871:A2 23.4368
8 *605:29 *6875:A2 15.0595
9 *605:22 *6751:A 9.24915
*END
*D_NET *606 0.0190805
*CONN
*I *6794:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6786:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6752:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6812:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6845:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6751:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6794:A2 9.26813e-05
2 *6786:A2 0
3 *6752:A 0
4 *6812:A2 0
5 *6845:A2 0.000502787
6 *6751:X 0
7 *606:61 0.00047779
8 *606:49 0.000923535
9 *606:43 0.00125233
10 *606:30 0.0018061
11 *606:4 0.00159498
12 *6794:A2 *6787:B1 7.92757e-06
13 *6794:A2 *6795:B1 8.07794e-05
14 *6794:A2 *1007:14 1.07248e-05
15 *6794:A2 *1007:33 0.000179271
16 *6845:A2 *6846:B1 2.99929e-05
17 *6845:A2 *1016:8 0
18 *6845:A2 *1078:8 0
19 *606:30 *6854:A 0
20 *606:30 *6855:A1 2.16355e-05
21 *606:30 *6855:B1 0.000566144
22 *606:30 *6855:B2 0.00013083
23 *606:30 *6856:B1 0.000207266
24 *606:30 *6859:B1 4.12977e-05
25 *606:30 *7328:CLK 6.98337e-06
26 *606:30 *619:34 9.82433e-05
27 *606:30 *660:11 4.97148e-05
28 *606:30 *982:8 2.5386e-05
29 *606:30 *1033:23 0
30 *606:43 *6785:A 6.08467e-05
31 *606:43 *6812:B1 4.0752e-05
32 *606:43 *609:18 0.000192942
33 *606:43 *637:13 0.000207266
34 *606:43 *637:36 0.00120763
35 *606:43 *660:11 0.000292519
36 *606:43 *992:8 1.9101e-05
37 *606:49 *6772:A 0.000213725
38 *606:49 *6792:A2 0.000324166
39 *606:49 *6812:B1 6.50586e-05
40 *606:49 *6816:A 0.00236955
41 *606:49 *623:41 0.000101794
42 *606:49 *625:5 9.97706e-05
43 *606:49 *625:12 0.00266464
44 *606:49 *637:36 1.92172e-05
45 *606:49 *637:48 0.000257033
46 *606:49 *660:11 0.000146583
47 *606:61 *6771:A2 0.000299298
48 *606:61 *6787:B1 3.31745e-05
49 *606:61 *6789:B2 0.000211324
50 *606:61 *6792:A2 0.000169041
51 *606:61 *607:36 0.000208218
52 *606:61 *637:48 0.000328715
53 *6855:A2 *606:30 6.08467e-05
54 *7328:D *606:30 6.01329e-05
55 *577:46 *606:30 0.000467914
56 *605:22 *6845:A2 2.1801e-05
57 *605:22 *606:30 0.000362588
58 *605:29 *6845:A2 0.000468457
*RES
1 *6751:X *606:4 9.24915
2 *606:4 *6845:A2 27.7598
3 *606:4 *606:30 42.8732
4 *606:30 *606:43 35.8233
5 *606:43 *6812:A2 9.24915
6 *606:43 *606:49 40.1003
7 *606:49 *6752:A 9.24915
8 *606:49 *606:61 14.8055
9 *606:61 *6786:A2 9.24915
10 *606:61 *6794:A2 22.0503
*END
*D_NET *607 0.00613162
*CONN
*I *6771:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6789:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6757:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6766:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6792:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6752:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6771:A2 0.000153383
2 *6789:A2 0.00030646
3 *6757:A2 0.000201495
4 *6766:A2 3.2913e-05
5 *6792:A2 0.000360556
6 *6752:X 0
7 *607:36 0.000480573
8 *607:16 0.000465822
9 *607:4 0.000612701
10 *6757:A2 *6768:A2 7.18317e-05
11 *6757:A2 *6768:B1 3.20069e-06
12 *6757:A2 *626:57 0
13 *6757:A2 *998:39 0
14 *6757:A2 *1007:33 0.000174378
15 *6757:A2 *1117:68 1.82696e-05
16 *6766:A2 *6766:A1 7.89268e-05
17 *6766:A2 *611:45 1.64789e-05
18 *6766:A2 *1117:68 1.80842e-05
19 *6771:A2 *6771:A1 6.08467e-05
20 *6771:A2 *6773:B1 6.50727e-05
21 *6771:A2 *6789:B2 4.01573e-05
22 *6771:A2 *7562:A 0.000191541
23 *6771:A2 *637:48 0.000313495
24 *6771:A2 *1096:420 0
25 *6789:A2 *6771:B2 7.86847e-05
26 *6789:A2 *6789:B1 0
27 *6789:A2 *6789:B2 4.35719e-05
28 *6789:A2 *6790:B1 2.14494e-05
29 *6789:A2 *611:48 0
30 *6789:A2 *998:39 6.69303e-05
31 *6789:A2 *1062:28 2.02035e-05
32 *6789:A2 *1063:20 1.5714e-05
33 *6792:A2 *6790:B1 0.000178179
34 *6792:A2 *6793:A2 0
35 *6792:A2 *637:48 0.000472818
36 *6792:A2 *1008:41 0
37 *607:16 *611:48 0.000337624
38 *607:16 *998:39 0
39 *607:16 *1008:41 0
40 *607:16 *1117:68 9.35753e-06
41 *607:36 *637:48 0.000220183
42 *577:46 *6792:A2 0
43 *606:49 *6792:A2 0.000324166
44 *606:61 *6771:A2 0.000299298
45 *606:61 *6792:A2 0.000169041
46 *606:61 *607:36 0.000208218
*RES
1 *6752:X *607:4 9.24915
2 *607:4 *6792:A2 30.6396
3 *607:4 *607:16 11.315
4 *607:16 *6766:A2 15.1959
5 *607:16 *6757:A2 19.3521
6 *607:4 *607:36 2.38721
7 *607:36 *6789:A2 26.4801
8 *607:36 *6771:A2 25.962
*END
*D_NET *608 0.00501649
*CONN
*I *6822:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6800:A I *D sky130_fd_sc_hd__buf_2
*I *6754:B I *D sky130_fd_sc_hd__and2_1
*I *6753:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6822:A 4.51066e-05
2 *6800:A 5.23245e-05
3 *6754:B 0.000147243
4 *6753:X 0.00125968
5 *608:12 0.000282113
6 *608:10 0.00138734
7 *6754:B *7320:CLK 0
8 *6754:B *609:8 0.000122378
9 *6754:B *617:9 1.00846e-05
10 *6754:B *647:52 0.000175485
11 *6800:A *648:8 4.31703e-05
12 *6822:A *664:5 0.000111722
13 *608:10 *6749:A 0.000392721
14 *608:10 *6834:A1 6.35906e-05
15 *608:10 *6834:B1 2.32397e-05
16 *608:10 *6836:A 0
17 *608:10 *6898:C1 2.78547e-05
18 *608:10 *6902:C1 0.000184946
19 *608:10 *7320:CLK 0
20 *608:10 *619:22 0
21 *608:10 *1044:12 0
22 *608:12 *7320:CLK 0
23 *6753:B *608:10 5.56461e-05
24 *6803:B2 *6754:B 0.000195139
25 *6803:B2 *608:10 0.00024682
26 *6803:B2 *608:12 0.000175689
27 *7320:D *608:10 0
28 *604:8 *608:10 1.41976e-05
*RES
1 *6753:X *608:10 44.577
2 *608:10 *608:12 3.07775
3 *608:12 *6754:B 19.49
4 *608:12 *6800:A 15.0271
5 *608:10 *6822:A 15.0271
*END
*D_NET *609 0.0139299
*CONN
*I *6785:A I *D sky130_fd_sc_hd__buf_2
*I *6755:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6875:B1 I *D sky130_fd_sc_hd__a221o_1
*I *6754:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6785:A 2.36623e-05
2 *6755:A 2.06324e-05
3 *6875:B1 0.000511168
4 *6754:X 0.000551772
5 *609:19 0.00155595
6 *609:18 0.00246629
7 *609:8 0.00201758
8 *6875:B1 *692:17 0.00077553
9 *6875:B1 *1013:13 8.03867e-05
10 *6875:B1 *1013:25 2.16355e-05
11 *6875:B1 *1014:21 0.000553213
12 *609:8 *617:9 0.000165377
13 *609:8 *637:13 4.2266e-05
14 *609:8 *647:52 3.83336e-05
15 *609:8 *695:16 4.62983e-05
16 *609:8 *1022:14 0
17 *609:8 *1044:12 0.00072778
18 *609:18 *6859:A1 2.16355e-05
19 *609:18 *6859:A2 1.82895e-05
20 *609:18 *6859:B1 1.4699e-05
21 *609:18 *7551:A 7.39195e-05
22 *609:18 *637:13 5.26029e-05
23 *609:18 *1044:12 4.90621e-05
24 *609:18 *1133:18 3.1718e-05
25 *609:19 *6844:A2 6.08467e-05
26 *609:19 *6845:A1 0.000139747
27 *609:19 *6845:B1 2.65831e-05
28 *609:19 *6845:B2 0.000319954
29 *609:19 *610:26 0.000389352
30 *609:19 *650:19 7.85867e-05
31 *6754:A *609:8 0.000200794
32 *6754:B *609:8 0.000122378
33 *6844:A1 *609:19 0.000369003
34 *6875:A2 *6875:B1 1.67329e-05
35 *7327:D *609:19 1.43848e-05
36 *288:11 *609:19 0.00149629
37 *502:36 *6875:B1 0.000223775
38 *595:52 *609:19 0.000357898
39 *606:43 *6785:A 6.08467e-05
40 *606:43 *609:18 0.000192942
*RES
1 *6754:X *609:8 32.2291
2 *609:8 *609:18 36.0528
3 *609:18 *609:19 38.991
4 *609:19 *6875:B1 28.8532
5 *609:19 *6755:A 9.82786
6 *609:8 *6785:A 14.4725
*END
*D_NET *610 0.011283
*CONN
*I *6845:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6756:A I *D sky130_fd_sc_hd__buf_2
*I *6791:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6864:B1 I *D sky130_fd_sc_hd__a221o_1
*I *6871:B1 I *D sky130_fd_sc_hd__a221o_1
*I *6755:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6845:B1 2.37606e-05
2 *6756:A 8.47039e-05
3 *6791:A 7.87251e-05
4 *6864:B1 0
5 *6871:B1 8.33026e-05
6 *6755:X 0
7 *610:34 0.00096132
8 *610:26 0.0013136
9 *610:12 0.00171708
10 *610:4 0.00212573
11 *6756:A *6843:B1 0.00011818
12 *6756:A *7550:A 1.34849e-05
13 *6756:A *1015:24 6.37169e-05
14 *6791:A *7550:A 3.5516e-05
15 *6791:A *1015:24 0.000143017
16 *6871:B1 *6864:B2 5.33266e-05
17 *6871:B1 *6871:A1 9.60216e-05
18 *610:12 *6864:A1 4.40272e-05
19 *610:12 *6864:B2 9.22013e-06
20 *610:12 *7622:A 0.00161477
21 *610:12 *692:22 1.24386e-05
22 *610:12 *1055:11 0
23 *610:12 *1062:50 0.000401253
24 *610:12 *1071:8 0
25 *610:12 *1143:30 7.87232e-05
26 *610:26 *6845:B2 0.000319954
27 *610:34 *6843:B2 7.23432e-05
28 *610:34 *1016:8 0
29 *610:34 *1078:8 0.000213422
30 *6811:A1 *610:12 0.000121545
31 *6871:A2 *6871:B1 0
32 *6871:A2 *610:12 0
33 *502:30 *610:12 9.35753e-06
34 *571:36 *610:34 0.00105848
35 *609:19 *6845:B1 2.65831e-05
36 *609:19 *610:26 0.000389352
*RES
1 *6755:X *610:4 9.24915
2 *610:4 *610:12 22.4804
3 *610:12 *6871:B1 16.4116
4 *610:12 *6864:B1 13.7491
5 *610:4 *610:26 13.4793
6 *610:26 *610:34 29.2237
7 *610:34 *6791:A 16.4116
8 *610:34 *6756:A 16.4439
9 *610:26 *6845:B1 9.97254
*END
*D_NET *611 0.0199424
*CONN
*I *6766:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6789:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6771:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6757:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6858:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6756:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6766:B1 0
2 *6789:B1 0.000204836
3 *6771:B1 0.000179851
4 *6757:B1 0.00013334
5 *6858:B1 0
6 *6756:X 0.00119829
7 *611:48 0.000627239
8 *611:45 0.000318177
9 *611:31 0.000615419
10 *611:25 0.000921927
11 *611:13 0.00171376
12 *6757:B1 *6757:A1 0.00017729
13 *6771:B1 *6773:B1 0.000172954
14 *6789:B1 *6773:A2 4.75721e-06
15 *6789:B1 *1008:41 0
16 *611:13 *6854:B 0.000983451
17 *611:13 *6863:A 0.00036437
18 *611:13 *7550:A 0.000541521
19 *611:13 *686:8 2.29454e-05
20 *611:13 *1111:14 0.000537909
21 *611:13 *1117:55 0.000421246
22 *611:13 *1123:53 0.000218017
23 *611:13 *1123:60 3.31745e-05
24 *611:25 *6858:A2 0.000224381
25 *611:25 *650:53 0.00032595
26 *611:25 *990:17 0.0017216
27 *611:25 *1117:55 7.08059e-05
28 *611:25 *1117:59 0.00340605
29 *611:25 *1117:68 2.41483e-05
30 *611:25 *1123:60 9.39793e-05
31 *611:31 *6801:A1 0.00187592
32 *611:31 *7309:CLK 0.000883993
33 *611:31 *1096:385 0.00038453
34 *611:31 *1117:68 0.000179379
35 *611:45 *6757:A1 0.000111722
36 *611:45 *6801:A1 0.000221563
37 *611:45 *999:22 0.000112448
38 *611:48 *1008:41 0.000145165
39 *6766:A2 *611:45 1.64789e-05
40 *6789:A2 *6789:B1 0
41 *6789:A2 *611:48 0
42 *7306:D *6789:B1 0.000133623
43 *7312:D *611:25 0.000178097
44 *7312:D *611:31 0.000104483
45 *607:16 *611:48 0.000337624
*RES
1 *6756:X *611:13 49.1235
2 *611:13 *6858:B1 9.24915
3 *611:13 *611:25 39.7627
4 *611:25 *611:31 28.0919
5 *611:31 *6757:B1 21.7421
6 *611:31 *611:45 4.09924
7 *611:45 *611:48 13.3913
8 *611:48 *6771:B1 18.3548
9 *611:48 *6789:B1 18.8055
10 *611:45 *6766:B1 9.24915
*END
*D_NET *612 0.000878728
*CONN
*I *6765:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6757:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6765:A1 0.00028988
2 *6757:X 0.00028988
3 *6765:A1 *6765:A2 0
4 *6765:A1 *6768:B1 0.000171273
5 *6765:A1 *1007:33 1.07248e-05
6 *6765:A1 *1096:412 0.000116971
7 *7304:D *6765:A1 0
*RES
1 *6757:X *6765:A1 34.9002
*END
*D_NET *613 0.0133533
*CONN
*I *6889:A3 I *D sky130_fd_sc_hd__a311oi_1
*I *6760:B I *D sky130_fd_sc_hd__and3b_1
*I *6863:B I *D sky130_fd_sc_hd__nor2_2
*I *6758:X O *D sky130_fd_sc_hd__or2b_2
*CAP
1 *6889:A3 0.000228879
2 *6760:B 0
3 *6863:B 0.000244986
4 *6758:X 4.51842e-05
5 *613:19 0.00187621
6 *613:11 0.00227323
7 *613:5 0.000916067
8 *6863:B *6848:A1 0.000623477
9 *6863:B *6863:A 7.40769e-05
10 *6863:B *7550:A 7.97954e-05
11 *6863:B *1015:24 8.32828e-05
12 *6863:B *1096:313 0.000672373
13 *6863:B *1117:51 4.97795e-05
14 *6889:A3 *7183:A1 0.000310124
15 *6889:A3 *1022:18 0.000310124
16 *6889:A3 *1099:89 7.92757e-06
17 *613:11 *7183:A1 7.77309e-06
18 *613:11 *989:16 0.00110095
19 *613:11 *1105:57 0.000357898
20 *613:19 *6761:A 3.31736e-05
21 *613:19 *6767:C 8.61131e-05
22 *613:19 *6784:A 0.00026474
23 *613:19 *614:11 9.24241e-05
24 *613:19 *615:6 4.72872e-05
25 *613:19 *617:8 7.86847e-05
26 *613:19 *636:8 0.000137936
27 *613:19 *636:28 0.000169653
28 *613:19 *1011:18 0
29 *613:19 *1123:53 0.000106185
30 *6767:B *613:19 7.86847e-05
31 *523:15 *6889:A3 4.66492e-05
32 *523:15 *613:5 6.08467e-05
33 *523:16 *613:11 0.00108511
34 *523:26 *613:19 4.06401e-05
35 *561:10 *613:19 0.00100736
36 *604:13 *613:19 0.000562343
37 *604:15 *613:19 0.000193287
*RES
1 *6758:X *613:5 9.97254
2 *613:5 *613:11 33.1541
3 *613:11 *613:19 49.2561
4 *613:19 *6863:B 30.8382
5 *613:11 *6760:B 9.24915
6 *613:5 *6889:A3 25.651
*END
*D_NET *614 0.003846
*CONN
*I *6760:C I *D sky130_fd_sc_hd__and3b_1
*I *6759:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *6760:C 0
2 *6759:X 0.000875838
3 *614:11 0.000875838
4 *614:11 *6886:A2 0.000311578
5 *614:11 *6889:C1 0.00020502
6 *614:11 *6902:A1 5.58587e-05
7 *614:11 *6902:A2 6.28168e-05
8 *614:11 *6903:A2 5.36397e-05
9 *614:11 *6903:B1_N 0.000130777
10 *614:11 *6905:B 0.000311578
11 *614:11 *1011:18 0.000207309
12 *614:11 *1099:89 0.00033614
13 *6760:A_N *614:11 6.08467e-05
14 *561:8 *614:11 0.000138401
15 *561:10 *614:11 0.000127933
16 *613:19 *614:11 9.24241e-05
*RES
1 *6759:X *614:11 47.6916
2 *614:11 *6760:C 9.24915
*END
*D_NET *615 0.00305269
*CONN
*I *6783:B I *D sky130_fd_sc_hd__and2_1
*I *6761:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6760:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6783:B 0.000128761
2 *6761:A 0.000322581
3 *6760:X 6.52055e-05
4 *615:6 0.000516547
5 *6761:A *6784:A 0.000264978
6 *6761:A *1011:18 0.000135844
7 *6761:A *1015:21 0.000663654
8 *6783:B *6784:A 3.024e-05
9 *6783:B *6821:A 2.23259e-05
10 *615:6 *1011:18 5.04879e-05
11 *581:35 *6783:B 0.000112149
12 *586:63 *6761:A 0.000659456
13 *613:19 *6761:A 3.31736e-05
14 *613:19 *615:6 4.72872e-05
*RES
1 *6760:X *615:6 15.9964
2 *615:6 *6761:A 27.9428
3 *615:6 *6783:B 17.2456
*END
*D_NET *616 0.00487338
*CONN
*I *6769:A I *D sky130_fd_sc_hd__buf_2
*I *6762:C I *D sky130_fd_sc_hd__and3_1
*I *6802:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6767:C I *D sky130_fd_sc_hd__and3_1
*I *6858:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6761:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6769:A 2.86212e-05
2 *6762:C 0.000147259
3 *6802:A 0
4 *6767:C 0.000138128
5 *6858:A2 0.000191567
6 *6761:X 0.000417892
7 *616:24 0.000156341
8 *616:12 0.000407549
9 *616:10 0.00038562
10 *616:8 0.000487105
11 *6767:C *6848:S 3.79145e-06
12 *6767:C *647:52 2.23105e-05
13 *6767:C *650:14 7.97944e-05
14 *6769:A *664:5 6.08467e-05
15 *6858:A2 *1033:23 7.48982e-05
16 *6858:A2 *1123:60 0.000103943
17 *616:8 *636:8 4.66386e-05
18 *616:8 *1011:18 0.000134323
19 *616:10 *636:28 0.000114955
20 *616:10 *1011:18 0.000123582
21 *616:12 *636:28 0.000368131
22 *616:12 *1011:18 3.31733e-05
23 *616:12 *1033:23 0.000153022
24 *616:24 *650:14 1.00846e-05
25 *616:24 *650:53 2.41274e-06
26 *523:26 *6767:C 0.000157458
27 *523:26 *6858:A2 0
28 *589:68 *616:8 0.000506564
29 *603:19 *6762:C 7.4287e-05
30 *604:13 *6767:C 6.75302e-05
31 *604:13 *616:24 6.50586e-05
32 *611:25 *6858:A2 0.000224381
33 *613:19 *6767:C 8.61131e-05
*RES
1 *6761:X *616:8 22.1265
2 *616:8 *616:10 2.24725
3 *616:10 *616:12 7.64553
4 *616:12 *6858:A2 20.0446
5 *616:12 *616:24 5.2234
6 *616:24 *6767:C 24.0035
7 *616:24 *6802:A 9.24915
8 *616:10 *6762:C 15.9796
9 *616:8 *6769:A 14.4725
*END
*D_NET *617 0.0106633
*CONN
*I *6765:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6762:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6765:A2 6.82933e-05
2 *6762:X 2.84672e-05
3 *617:9 0.00381234
4 *617:8 0.00377251
5 *617:8 *636:28 7.50872e-05
6 *617:9 *6795:A1 0.000835516
7 *617:9 *6803:B1 7.02172e-06
8 *617:9 *6804:A1 0.000175485
9 *617:9 *6806:A1 3.93679e-06
10 *617:9 *6806:B1 0.000205732
11 *617:9 *6806:B2 5.67857e-05
12 *617:9 *6848:S 0.000457655
13 *617:9 *6867:B 7.92757e-06
14 *617:9 *647:52 9.79796e-06
15 *617:9 *695:16 0.000107496
16 *617:9 *1008:11 7.68538e-06
17 *617:9 *1120:74 6.01574e-05
18 *6754:A *617:9 1.96574e-05
19 *6754:B *617:9 1.00846e-05
20 *6765:A1 *6765:A2 0
21 *6803:B2 *617:9 0.000697609
22 *7304:D *6765:A2 0
23 *609:8 *617:9 0.000165377
24 *613:19 *617:8 7.86847e-05
*RES
1 *6762:X *617:8 19.6659
2 *617:8 *617:9 62.839
3 *617:9 *6765:A2 19.6659
*END
*D_NET *618 0.0153535
*CONN
*I *7258:B I *D sky130_fd_sc_hd__and2_1
*I *6909:A I *D sky130_fd_sc_hd__and3_1
*I *6764:A I *D sky130_fd_sc_hd__buf_2
*I *6772:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6816:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6763:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7258:B 2.0703e-05
2 *6909:A 2.14452e-05
3 *6764:A 0
4 *6772:A 8.01437e-05
5 *6816:A 0.000737277
6 *6763:X 0.00160757
7 *618:24 0.00104299
8 *618:20 0.00182303
9 *618:16 0.00223492
10 *618:9 0.00224429
11 *6816:A *623:41 0.000102215
12 *6816:A *660:11 6.50586e-05
13 *6816:A *660:43 0.000113968
14 *7258:B *6912:A0 0.000171288
15 *7258:B *7258:A 5.08751e-05
16 *618:9 *6912:A0 0.000326398
17 *618:9 *7258:A 0.000373047
18 *618:16 *6908:B1 0
19 *618:16 *7258:A 0
20 *618:16 *702:43 0
21 *618:16 *725:6 0
22 *618:16 *1154:8 0
23 *618:20 *6832:A 0
24 *618:20 *7559:A 0
25 *618:20 *7560:A 0
26 *618:20 *619:14 0.000346726
27 *618:20 *997:18 0
28 *618:20 *1096:500 0
29 *618:20 *1154:8 0
30 *618:24 *7560:A 0.000401434
31 *6636:B1 *618:16 0
32 *6636:B1 *618:20 0
33 *6679:A *618:9 6.50586e-05
34 *6900:A1 *618:9 0.000324166
35 *7269:D *618:20 0
36 *7319:D *618:20 4.61732e-05
37 *7340:D *618:9 4.801e-05
38 *484:50 *618:16 0.000144531
39 *484:50 *618:20 0.000111311
40 *525:54 *618:9 7.6719e-06
41 *525:61 *7258:B 2.82583e-05
42 *525:61 *618:9 0.000166639
43 *525:61 *618:16 0
44 *547:39 *6909:A 6.50586e-05
45 *606:49 *6772:A 0.000213725
46 *606:49 *6816:A 0.00236955
*RES
1 *6763:X *618:9 39.4148
2 *618:9 *618:16 19.0094
3 *618:16 *618:20 39.4001
4 *618:20 *618:24 11.7303
5 *618:24 *6816:A 34.3751
6 *618:24 *6772:A 11.6364
7 *618:20 *6764:A 13.7491
8 *618:16 *6909:A 14.4725
9 *618:9 *7258:B 11.0817
*END
*D_NET *619 0.0243338
*CONN
*I *6768:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6765:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6899:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6907:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6859:C1 I *D sky130_fd_sc_hd__o221a_1
*I *6764:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6768:B1 0.000556369
2 *6765:B1 0
3 *6899:C1 0.000327653
4 *6907:B1 8.77779e-05
5 *6859:C1 1.19969e-05
6 *6764:X 0.000363043
7 *619:66 0.00084426
8 *619:34 0.00118969
9 *619:26 0.00238004
10 *619:22 0.00232843
11 *619:15 0.0023928
12 *619:14 0.00181659
13 *619:8 0.00161623
14 *6768:B1 *6768:A2 0.000115615
15 *6768:B1 *998:39 0
16 *6768:B1 *1007:33 8.07811e-05
17 *6899:C1 *6899:A2 0
18 *6899:C1 *6899:B1 4.31603e-06
19 *6899:C1 *7317:CLK 0
20 *6899:C1 *1096:572 0
21 *6899:C1 *1103:65 0
22 *6907:B1 *6907:A1 6.08467e-05
23 *6907:B1 *702:15 6.22703e-05
24 *619:8 *7309:CLK 0
25 *619:8 *7560:A 0.000538009
26 *619:8 *997:18 8.16827e-05
27 *619:8 *1008:11 5.65445e-05
28 *619:14 *7559:A 0
29 *619:14 *996:8 0
30 *619:14 *997:7 1.43848e-05
31 *619:22 *6902:C1 1.93378e-05
32 *619:26 *702:15 0.00164415
33 *619:26 *1114:44 6.64609e-05
34 *619:34 *6783:A 4.72583e-05
35 *619:34 *6854:A 0
36 *619:34 *6859:B1 1.64979e-05
37 *619:34 *660:11 0.000322565
38 *619:34 *662:34 2.78838e-05
39 *619:34 *688:16 0.000348298
40 *619:34 *967:10 0.000925964
41 *619:34 *967:12 0.00012438
42 *619:34 *1015:21 1.91391e-05
43 *619:34 *1114:44 0.000436757
44 *6757:A2 *6768:B1 3.20069e-06
45 *6765:A1 *6768:B1 0.000171273
46 *7304:D *619:8 0
47 *7305:D *6768:B1 0.000118166
48 *500:28 *619:15 0.00146991
49 *500:28 *619:22 0.00185558
50 *523:26 *619:34 0.000352487
51 *547:39 *6899:C1 5.2233e-05
52 *577:46 *619:34 7.13044e-06
53 *586:52 *619:26 7.14746e-05
54 *586:52 *619:34 0.000859346
55 *606:30 *619:34 9.82433e-05
56 *608:10 *619:22 0
57 *618:20 *619:14 0.000346726
*RES
1 *6764:X *619:8 29.6319
2 *619:8 *619:14 34.5392
3 *619:14 *619:15 15.6977
4 *619:15 *619:22 32.0729
5 *619:22 *619:26 24.3875
6 *619:26 *619:34 47.3761
7 *619:34 *6859:C1 14.0506
8 *619:26 *6907:B1 16.1364
9 *619:15 *6899:C1 26.1265
10 *619:8 *619:66 6.82404
11 *619:66 *6765:B1 9.24915
12 *619:66 *6768:B1 33.7208
*END
*D_NET *620 0.000950774
*CONN
*I *6768:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6766:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6768:A1 0.000169381
2 *6766:X 0.000169381
3 *6768:A1 *6787:A1 0.000156384
4 *6768:A1 *626:57 0.000317693
5 *6768:A1 *999:6 0.000137936
6 *6768:A1 *1007:33 0
*RES
1 *6766:X *6768:A1 34.2118
*END
*D_NET *621 0.0117152
*CONN
*I *6768:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6767:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6768:A2 0.00158502
2 *6767:X 0.00196567
3 *621:16 0.00355069
4 *6768:A2 *6757:B2 0.000527976
5 *6768:A2 *6801:S 0.000377245
6 *6768:A2 *6807:B 2.85274e-05
7 *6768:A2 *7311:CLK 5.97576e-05
8 *6768:A2 *1007:33 1.5006e-05
9 *6768:A2 *1008:5 0.000118166
10 *6768:A2 *1008:41 0.000685233
11 *6768:A2 *1096:412 0.000619251
12 *621:16 *6807:B 3.57315e-05
13 *621:16 *6808:A 3.20069e-06
14 *621:16 *626:48 4.99661e-05
15 *621:16 *626:57 0.000353731
16 *621:16 *636:51 0.000116788
17 *621:16 *647:52 0.00127486
18 *621:16 *1120:74 1.47712e-05
19 *6757:A2 *6768:A2 7.18317e-05
20 *6768:B1 *6768:A2 0.000115615
21 *6817:A1 *621:16 7.23587e-06
22 *7305:D *6768:A2 2.20702e-05
23 *276:19 *6768:A2 0.000116865
*RES
1 *6767:X *621:16 44.4554
2 *621:16 *6768:A2 47.8785
*END
*D_NET *622 0.0228735
*CONN
*I *6828:B1 I *D sky130_fd_sc_hd__a32o_1
*I *6770:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6882:B1 I *D sky130_fd_sc_hd__a311oi_1
*I *6849:B1 I *D sky130_fd_sc_hd__a32o_1
*I *6869:B I *D sky130_fd_sc_hd__nor2_1
*I *6769:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6828:B1 0.000157574
2 *6770:A 0.000574797
3 *6882:B1 0.000665793
4 *6849:B1 4.10833e-05
5 *6869:B 0
6 *6769:X 0
7 *622:54 0.00215879
8 *622:30 0.0010043
9 *622:24 0.00128963
10 *622:18 0.000928862
11 *622:10 0.00247404
12 *622:4 0.00263221
13 *6770:A *6803:A3 4.37976e-05
14 *6770:A *6807:A 1.71446e-05
15 *6770:A *645:37 0.000138003
16 *6770:A *990:17 6.08467e-05
17 *6770:A *1123:60 6.50727e-05
18 *6828:B1 *645:37 8.35474e-05
19 *6849:B1 *6849:A1 3.10962e-05
20 *6882:B1 *6837:S 4.15661e-05
21 *6882:B1 *6838:A2 0.000174175
22 *6882:B1 *6852:B 5.61969e-05
23 *6882:B1 *663:8 0.000132885
24 *6882:B1 *696:48 7.7821e-05
25 *6882:B1 *696:50 8.35615e-06
26 *6882:B1 *702:15 6.50586e-05
27 *6882:B1 *702:21 4.91225e-06
28 *6882:B1 *740:14 0.000116215
29 *622:10 *6837:S 0.000244162
30 *622:10 *6882:A1 2.67922e-05
31 *622:10 *696:48 0.000189939
32 *622:18 *6837:A1 0
33 *622:18 *6849:A2 3.31882e-05
34 *622:18 *1015:24 0
35 *622:18 *1111:14 0.000516219
36 *622:18 *1122:20 0
37 *622:24 *6846:A1 7.2401e-05
38 *622:24 *6849:A1 5.04829e-06
39 *622:24 *1016:8 0.000174205
40 *622:24 *1098:55 0.000184449
41 *622:30 *695:20 9.0164e-06
42 *622:30 *696:39 4.70267e-05
43 *622:30 *1070:8 0
44 *622:30 *1096:305 0.0007828
45 *622:30 *1096:1123 0
46 *622:30 *1110:42 0.00103541
47 *622:30 *1110:50 0.000409601
48 *622:30 *1123:32 2.1203e-06
49 *7336:D *6882:B1 2.16355e-05
50 *283:16 *6770:A 0.000179271
51 *502:9 *622:10 0.00162098
52 *502:9 *622:54 0.00228018
53 *524:33 *622:18 0.000593404
54 *589:68 *6828:B1 3.85049e-05
55 *595:40 *6882:B1 0
56 *595:52 *6882:B1 2.125e-05
57 *597:69 *6849:B1 8.04661e-05
58 *597:69 *622:24 0.000863195
59 *599:26 *6770:A 0.00036243
60 *599:26 *6828:B1 3.60522e-05
*RES
1 *6769:X *622:4 9.24915
2 *622:4 *622:10 28.3029
3 *622:10 *622:18 30.0458
4 *622:18 *622:24 21.6748
5 *622:24 *622:30 42.0558
6 *622:30 *6869:B 9.24915
7 *622:18 *6849:B1 10.8286
8 *622:10 *6882:B1 30.7018
9 *622:4 *622:54 29.626
10 *622:54 *6770:A 31.0582
11 *622:54 *6828:B1 17.7615
*END
*D_NET *623 0.0112179
*CONN
*I *6773:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6790:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6793:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6811:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6817:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6770:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6773:A2 0.00034138
2 *6790:A2 0
3 *6793:A2 0.000374967
4 *6811:A2 0.000416762
5 *6817:A2 0.000441258
6 *6770:X 0.000343902
7 *623:44 0.000387397
8 *623:41 0.00184143
9 *623:10 0.000908878
10 *623:8 0.00188238
11 *6773:A2 *1008:41 1.91246e-05
12 *6773:A2 *1063:20 0.000149882
13 *6793:A2 *6792:A1 0
14 *6793:A2 *6792:B2 2.27135e-05
15 *6793:A2 *6793:B1 6.03102e-05
16 *6793:A2 *7309:CLK 0.0001576
17 *6811:A2 *6811:B1 0
18 *6811:A2 *625:13 3.85049e-05
19 *6817:A2 *6815:B2 5.92192e-05
20 *6817:A2 *6817:B1 6.50727e-05
21 *6817:A2 *7556:A 7.77309e-06
22 *6817:A2 *992:13 0
23 *6817:A2 *1062:31 9.33812e-05
24 *623:8 *6803:B1 3.28261e-05
25 *623:8 *6812:B1 0
26 *623:8 *6819:A2 0.000141223
27 *623:8 *625:12 0.000165495
28 *623:8 *660:43 0
29 *623:8 *990:17 0.000224381
30 *623:8 *1123:60 0.000253957
31 *623:10 *7556:A 1.69093e-05
32 *623:10 *992:13 0
33 *623:41 *6819:B1 0.000232403
34 *623:41 *625:12 0.000101794
35 *623:41 *641:58 0
36 *623:41 *1062:28 7.22715e-05
37 *623:41 *1063:20 0.000368999
38 sram_din0[19] *6811:A2 0.000171273
39 sram_din0[19] *6817:A2 0.00018643
40 sram_din0[22] *6793:A2 0
41 *6789:B1 *6773:A2 4.75721e-06
42 *6792:A2 *6793:A2 0
43 *6811:A1 *6811:A2 3.5534e-06
44 *6816:A *623:41 0.000102215
45 *7309:D *6793:A2 0.000151726
46 *571:43 *6811:A2 0.00125484
47 *577:46 *6793:A2 9.12416e-06
48 *577:46 *623:41 0
49 *577:46 *623:44 1.00004e-05
50 *606:49 *623:41 0.000101794
*RES
1 *6770:X *623:8 25.2353
2 *623:8 *623:10 1.20912
3 *623:10 *6817:A2 23.5892
4 *623:10 *6811:A2 37.1214
5 *623:8 *623:41 7.54914
6 *623:41 *623:44 3.7474
7 *623:44 *6793:A2 24.3014
8 *623:44 *6790:A2 13.7491
9 *623:41 *6773:A2 18.3878
*END
*D_NET *624 0.00153362
*CONN
*I *6773:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6771:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6773:B1 0.000198049
2 *6771:X 0.000198049
3 *6773:B1 *6771:A1 4.56667e-05
4 *6773:B1 *6771:B2 2.15348e-05
5 *6771:A2 *6773:B1 6.50727e-05
6 *6771:B1 *6773:B1 0.000172954
7 *6773:A1 *6773:B1 6.24198e-05
8 *273:17 *6773:B1 0.000769869
*RES
1 *6771:X *6773:B1 28.6982
*END
*D_NET *625 0.0142973
*CONN
*I *6773:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6790:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6793:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6811:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6861:C1 I *D sky130_fd_sc_hd__o221a_1
*I *6772:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6773:B2 0.000408237
2 *6790:B2 0
3 *6793:B2 0.000377517
4 *6811:B2 2.3034e-05
5 *6861:C1 0.000472739
6 *6772:X 1.60672e-05
7 *625:36 0.000407285
8 *625:34 0.000529717
9 *625:13 0.000997405
10 *625:12 0.000840401
11 *625:5 0.000446547
12 *6773:B2 *6790:B1 0.000413238
13 *6793:B2 *7309:CLK 6.07544e-05
14 *6793:B2 *7560:A 8.70332e-05
15 *6793:B2 *1022:8 6.08467e-05
16 *6861:C1 *6860:B 0.000217937
17 *6861:C1 *6861:B1 6.92705e-05
18 *6861:C1 *6861:B2 0
19 *6861:C1 *637:13 0.000782786
20 *6861:C1 *967:10 0
21 *6861:C1 *982:10 1.67271e-05
22 *6861:C1 *982:14 4.70005e-05
23 *6861:C1 *1033:23 0
24 *6861:C1 *1062:33 2.65831e-05
25 *625:5 *637:48 0.000113968
26 *625:12 *6812:B1 0
27 *625:12 *637:48 0.00266464
28 *625:12 *992:13 0
29 *625:13 *6811:B1 3.8519e-05
30 *625:13 *7556:A 0.000228593
31 *625:34 *7309:CLK 5.47736e-05
32 *625:34 *7560:A 3.18543e-05
33 *625:36 *7309:CLK 2.02201e-05
34 *625:36 *7560:A 1.30635e-05
35 sram_din0[22] *6793:B2 0.000340125
36 *6773:A1 *6773:B2 5.26134e-05
37 *6811:A2 *625:13 3.85049e-05
38 *7452:A *6793:B2 2.65667e-05
39 *571:43 *6861:C1 0.000852129
40 *571:43 *625:13 0.000488881
41 *606:49 *625:5 9.97706e-05
42 *606:49 *625:12 0.00266464
43 *623:8 *625:12 0.000165495
44 *623:41 *625:12 0.000101794
*RES
1 *6772:X *625:5 10.5271
2 *625:5 *625:12 40.5313
3 *625:12 *625:13 15.1431
4 *625:13 *6861:C1 36.7753
5 *625:13 *6811:B2 9.82786
6 *625:5 *625:34 7.1625
7 *625:34 *625:36 1.00149
8 *625:36 *6793:B2 25.7576
9 *625:36 *6790:B2 13.7491
10 *625:34 *6773:B2 21.0072
*END
*D_NET *626 0.0392384
*CONN
*I *6904:A I *D sky130_fd_sc_hd__nor2_1
*I *6847:A I *D sky130_fd_sc_hd__nor2_1
*I *6814:A I *D sky130_fd_sc_hd__nor2_1
*I *6796:A I *D sky130_fd_sc_hd__nor2_1
*I *6788:A I *D sky130_fd_sc_hd__nor2_1
*I *6774:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6904:A 0.000352579
2 *6847:A 0
3 *6814:A 3.98255e-05
4 *6796:A 0.000458179
5 *6788:A 5.08208e-05
6 *6774:X 0.00019339
7 *626:57 0.00455968
8 *626:48 0.00692968
9 *626:33 0.00387561
10 *626:22 0.00173723
11 *626:11 0.00181606
12 *626:10 0.00133641
13 *626:6 0.000767116
14 *6788:A *6788:B 3.75603e-05
15 *6796:A *1001:6 0
16 *6904:A *6904:B 1.41976e-05
17 *6904:A *981:32 5.04829e-06
18 *626:6 *7058:A1 0.000146794
19 *626:6 *823:17 1.80207e-05
20 *626:6 *963:8 0
21 *626:10 *7058:A1 6.4628e-05
22 *626:10 *823:17 0.000103416
23 *626:10 *1057:14 0
24 *626:11 *6946:A2 0.000122378
25 *626:11 *748:25 0.000172085
26 *626:11 *748:31 2.41483e-05
27 *626:11 *1135:19 0.00378435
28 *626:11 *1135:36 0.000364356
29 *626:11 *1138:29 0.000107496
30 *626:22 *6944:A2 0.000157483
31 *626:22 *6946:A2 5.8256e-05
32 *626:22 *6948:B 0
33 *626:22 *746:15 8.65143e-05
34 *626:22 *1120:53 7.65564e-05
35 *626:22 *1137:11 2.36813e-05
36 *626:33 *6847:B 9.9122e-05
37 *626:33 *695:20 0.000274482
38 *626:33 *696:31 0.00229195
39 *626:33 *697:8 0.000264586
40 *626:33 *1096:278 0.000609048
41 *626:33 *1123:39 0.00172836
42 *626:48 *6813:B1 1.5714e-05
43 *626:48 *6814:B 0.000377259
44 *626:48 *636:51 2.42138e-05
45 *626:48 *688:16 0.000117998
46 *626:48 *695:16 0.00181339
47 *626:48 *1096:305 1.83477e-05
48 *626:57 *6787:A1 0.000102545
49 *626:57 *6788:B 0.000342009
50 *626:57 *990:17 0.000333441
51 *626:57 *998:39 1.96152e-05
52 *626:57 *1117:68 1.07248e-05
53 *6695:A2 *626:11 0.00103538
54 *6757:A2 *626:57 0
55 *6768:A1 *626:57 0.000317693
56 *6944:A1 *626:33 5.73392e-05
57 *6944:B1 *626:22 9.24241e-05
58 *7307:D *6788:A 2.99287e-05
59 *7307:D *6796:A 1.03414e-05
60 *7310:D *6796:A 0.000165521
61 *438:66 *626:11 0.000447628
62 *571:11 *626:11 0.000264586
63 *571:17 *626:11 0.000448346
64 *577:46 *626:48 0
65 *577:46 *626:57 0
66 *587:38 *626:48 3.91944e-05
67 *599:26 *6814:A 0
68 *599:38 *626:48 0
69 *621:16 *626:48 4.99661e-05
70 *621:16 *626:57 0.000353731
*RES
1 *6774:X *626:6 19.7337
2 *626:6 *626:10 10.8998
3 *626:10 *626:11 57.8476
4 *626:11 *626:22 30.4305
5 *626:22 *626:33 48.1299
6 *626:33 *626:48 34.3418
7 *626:48 *626:57 36.8651
8 *626:57 *6788:A 11.3711
9 *626:57 *6796:A 28.0382
10 *626:48 *6814:A 14.543
11 *626:33 *6847:A 9.24915
12 *626:6 *6904:A 20.5732
*END
*D_NET *627 0.00189875
*CONN
*I *6782:A I *D sky130_fd_sc_hd__and2_2
*I *7024:B I *D sky130_fd_sc_hd__nand2_1
*I *7051:B I *D sky130_fd_sc_hd__nor2_1
*I *6775:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *6782:A 0
2 *7024:B 0.000265021
3 *7051:B 5.1515e-05
4 *6775:X 3.46128e-05
5 *627:11 0.000421009
6 *627:8 0.000139085
7 *7024:B *829:8 1.56321e-05
8 *7024:B *838:10 0.000128977
9 *7024:B *1054:14 0.000153886
10 *7024:B *1128:23 0
11 *627:8 *1128:24 0.000130777
12 *7051:A *7051:B 6.69978e-05
13 *470:41 *627:8 0.000130777
14 *514:22 *7024:B 0
15 *526:18 *7051:B 0.000173862
16 *526:18 *627:11 0.000186597
*RES
1 *6775:X *627:8 20.4964
2 *627:8 *627:11 2.96592
3 *627:11 *7051:B 11.8293
4 *627:11 *7024:B 24.6489
5 *627:8 *6782:A 9.24915
*END
*D_NET *628 0.00219181
*CONN
*I *7030:C I *D sky130_fd_sc_hd__or4b_1
*I *6777:B I *D sky130_fd_sc_hd__or2_1
*I *6776:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *7030:C 0
2 *6777:B 0.000164603
3 *6776:X 0.000147632
4 *628:5 0.000312235
5 *6777:B *6778:A 3.31745e-05
6 *6777:B *7030:A 0.00011818
7 *6777:B *1109:21 0.000258128
8 *6777:B *1157:20 1.19721e-05
9 *6777:B *1158:20 0.000195154
10 *628:5 *6776:A 2.16355e-05
11 *628:5 *6778:A 0.000271913
12 *628:5 *7030:A 4.88955e-05
13 *628:5 *1109:21 0.000608286
*RES
1 *6776:X *628:5 16.0732
2 *628:5 *6777:B 24.684
3 *628:5 *7030:C 9.24915
*END
*D_NET *629 0.00141139
*CONN
*I *6780:A2 I *D sky130_fd_sc_hd__a2111o_1
*I *6777:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6780:A2 0.000360637
2 *6777:X 0.000360637
3 *6780:A2 *6778:A 0.00011289
4 *6780:A2 *1157:30 0.000568298
5 *481:28 *6780:A2 8.92609e-06
*RES
1 *6777:X *6780:A2 35.0727
*END
*D_NET *630 0.00201801
*CONN
*I *6779:D I *D sky130_fd_sc_hd__or4_1
*I *6778:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6779:D 0.000355329
2 *6778:Y 0.000355329
3 *6779:D *6626:A 0.000412644
4 *6779:D *6626:B 6.50586e-05
5 *6779:D *6626:C 1.41689e-05
6 *6779:D *6778:A 0.000430366
7 *6779:D *6780:B1 0.000200794
8 *6779:D *1021:11 2.59533e-05
9 *6779:D *1162:31 0.000158371
*RES
1 *6778:Y *6779:D 30.7478
*END
*D_NET *631 0.00068552
*CONN
*I *6780:B1 I *D sky130_fd_sc_hd__a2111o_1
*I *6779:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6780:B1 0.000188893
2 *6779:X 0.000188893
3 *6780:B1 *6626:B 8.62625e-06
4 *6780:B1 *6778:A 1.25165e-05
5 *6779:D *6780:B1 0.000200794
6 *481:33 *6780:B1 1.07248e-05
7 *512:8 *6780:B1 7.50722e-05
*RES
1 *6779:X *6780:B1 31.3022
*END
*D_NET *632 0.00805512
*CONN
*I *6781:B I *D sky130_fd_sc_hd__nor2_1
*I *7026:B I *D sky130_fd_sc_hd__or4b_1
*I *6780:X O *D sky130_fd_sc_hd__a2111o_1
*CAP
1 *6781:B 6.37266e-05
2 *7026:B 0
3 *6780:X 0.00102536
4 *632:12 0.00139062
5 *632:8 0.0024797
6 *632:8 *6776:A 0
7 *632:8 *1102:9 9.8325e-05
8 *632:8 *1156:39 0
9 *632:12 *817:6 0
10 *632:12 *817:8 0
11 *632:12 *969:20 7.50722e-05
12 *632:12 *1156:39 0
13 *632:12 *1156:40 0
14 *6628:C *632:8 0
15 *6629:A *632:8 0.000247127
16 *6781:A *6781:B 6.50727e-05
17 *7030:B *632:8 0
18 *7035:A *6781:B 4.0752e-05
19 *7045:A_N *632:12 0.00049962
20 *7206:B *632:12 1.23455e-05
21 *481:28 *632:8 0.000158371
22 *511:10 *632:12 0.0010729
23 *511:17 *632:8 1.44611e-05
24 *511:17 *632:12 0.000530718
25 *513:9 *632:8 5.46928e-05
26 *521:6 *632:12 0.000226267
*RES
1 *6780:X *632:8 34.8655
2 *632:8 *632:12 48.6877
3 *632:12 *7026:B 9.24915
4 *632:8 *6781:B 15.5817
*END
*D_NET *633 0.0057156
*CONN
*I *6782:B I *D sky130_fd_sc_hd__and2_2
*I *7035:B I *D sky130_fd_sc_hd__nand2_1
*I *6781:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6782:B 0
2 *7035:B 1.90605e-05
3 *6781:Y 0.000335876
4 *633:18 0.00112087
5 *633:5 0.00147581
6 *633:5 *838:15 6.08467e-05
7 *633:18 *7265:A0 6.17339e-05
8 *633:18 *7265:A1 0
9 *633:18 *7433:CLK 1.1573e-05
10 *633:18 *821:5 9.98615e-06
11 *633:18 *838:15 0.000190903
12 *633:18 *963:17 0
13 *633:18 *1125:53 5.22654e-06
14 *6632:A *633:18 5.77352e-05
15 *6781:A *633:5 3.14978e-05
16 *7035:A *7035:B 4.80635e-06
17 *7035:A *633:5 0.000142479
18 *7035:A *633:18 2.20583e-05
19 *7433:D *633:18 9.96342e-05
20 *470:33 *633:18 0.00107437
21 *470:41 *633:18 0.0009268
22 *519:14 *633:18 6.43474e-05
*RES
1 *6781:Y *633:5 17.1824
2 *633:5 *7035:B 9.82786
3 *633:5 *633:18 47.2699
4 *633:18 *6782:B 9.24915
*END
*D_NET *634 0.0267625
*CONN
*I *6783:A I *D sky130_fd_sc_hd__and2_1
*I *6782:X O *D sky130_fd_sc_hd__and2_2
*CAP
1 *6783:A 0.000138911
2 *6782:X 0.000341406
3 *634:19 0.0025434
4 *634:17 0.00242512
5 *634:15 0.00409025
6 *634:14 0.00441102
7 *6783:A *967:12 0.0004041
8 *6783:A *1114:44 0.000290659
9 *634:14 *7265:A1 0.000451933
10 *634:14 *1057:27 4.09467e-05
11 *634:14 *1125:43 0.000484834
12 *634:14 *1125:53 0.000131599
13 *634:15 *7058:B2 1.11729e-05
14 *634:15 *7064:C_N 0.000494871
15 *634:15 *832:36 0.000665887
16 *634:15 *842:47 0.000134832
17 *634:15 *848:5 0.00113519
18 *634:15 *848:35 0.000160617
19 *634:15 *859:7 0.000217937
20 *634:15 *936:9 0.000623476
21 *634:19 *6880:A 0.000453457
22 *634:19 *6880:C_N 0.00189161
23 *634:19 *6882:A2 0.000856723
24 *634:19 *1140:23 0.00221492
25 *634:19 *1140:28 0.000587442
26 *6710:B1 *634:15 1.63804e-05
27 *7281:D *634:15 0.000263323
28 *7287:D *634:15 0.000160617
29 *500:20 *634:19 0.00085589
30 *526:18 *634:14 6.08467e-05
31 *567:19 *634:15 0.000155816
32 *619:34 *6783:A 4.72583e-05
*RES
1 *6782:X *634:14 31.2591
2 *634:14 *634:15 66.4439
3 *634:15 *634:17 0.578717
4 *634:17 *634:19 81.4182
5 *634:19 *6783:A 25.4794
*END
*D_NET *635 0.0021879
*CONN
*I *6784:A I *D sky130_fd_sc_hd__buf_2
*I *6821:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6783:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6784:A 0.00031107
2 *6821:A 0.000337221
3 *6783:X 0
4 *635:4 0.000648291
5 *6784:A *1015:21 6.50727e-05
6 *6821:A *662:34 3.82228e-05
7 *6821:A *967:12 0.000140285
8 *6761:A *6784:A 0.000264978
9 *6783:B *6784:A 3.024e-05
10 *6783:B *6821:A 2.23259e-05
11 *524:38 *6821:A 0
12 *581:35 *6821:A 4.61168e-06
13 *586:63 *6784:A 6.08467e-05
14 *613:19 *6784:A 0.00026474
*RES
1 *6783:X *635:4 9.24915
2 *635:4 *6821:A 24.4081
3 *635:4 *6784:A 26.3744
*END
*D_NET *636 0.0220822
*CONN
*I *6795:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6787:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6806:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6813:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6846:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6784:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6795:A2 4.27775e-05
2 *6787:A2 0.000203407
3 *6806:A2 0
4 *6813:A2 2.06324e-05
5 *6846:A2 0.000445457
6 *6784:X 0.000121483
7 *636:70 0.000246184
8 *636:68 0.002403
9 *636:51 0.00318849
10 *636:28 0.00196715
11 *636:13 0.00149905
12 *636:8 0.0023361
13 *6787:A2 *6766:A1 3.14978e-05
14 *6787:A2 *6788:B 6.50586e-05
15 *6787:A2 *6795:B1 0.000141572
16 *6787:A2 *1007:33 6.76864e-05
17 *6795:A2 *6795:B1 1.09738e-05
18 *6813:A2 *6814:B 0
19 *6846:A2 *6846:B1 1.44467e-05
20 *6846:A2 *1016:8 0
21 *6846:A2 *1078:8 0
22 *636:13 *6850:A0 0.000197314
23 *636:13 *6850:A1 0.000164829
24 *636:13 *664:5 0.0014279
25 *636:28 *6813:A1 0.000189948
26 *636:28 *6813:B1 6.50586e-05
27 *636:28 *6814:B 7.68538e-06
28 *636:51 *6807:A 6.08467e-05
29 *636:51 *6807:B 0.000285062
30 *636:51 *6808:A 4.31539e-05
31 *636:51 *6814:B 0.000131108
32 *636:68 *6795:A1 0.000935877
33 *636:68 *6795:B1 0.000171273
34 *636:68 *6804:A0 0.000373061
35 *636:68 *6806:A1 9.51479e-06
36 *636:68 *6806:B1 0.000124446
37 *636:68 *1008:11 7.64557e-05
38 *636:68 *1096:404 0.00134189
39 *636:68 *1120:74 0.000185642
40 *6817:A1 *636:51 6.67374e-05
41 *7304:D *636:68 0.000367984
42 *276:19 *636:51 0.000531392
43 *502:9 *636:13 0.000441061
44 *502:20 *636:13 0.000150487
45 *589:68 *636:8 6.50727e-05
46 *604:13 *636:28 0.00081006
47 *613:19 *636:8 0.000137936
48 *613:19 *636:28 0.000169653
49 *616:8 *636:8 4.66386e-05
50 *616:10 *636:28 0.000114955
51 *616:12 *636:28 0.000368131
52 *617:8 *636:28 7.50872e-05
53 *621:16 *636:51 0.000116788
54 *626:48 *636:51 2.42138e-05
*RES
1 *6784:X *636:8 17.135
2 *636:8 *636:13 43.5542
3 *636:13 *6846:A2 23.4709
4 *636:8 *636:28 29.8459
5 *636:28 *6813:A2 9.82786
6 *636:28 *636:51 32.0823
7 *636:51 *6806:A2 9.24915
8 *636:51 *636:68 47.7441
9 *636:68 *636:70 4.5
10 *636:70 *6787:A2 20.4571
11 *636:70 *6795:A2 14.7506
*END
*D_NET *637 0.0209459
*CONN
*I *6786:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6794:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6812:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6855:B1 I *D sky130_fd_sc_hd__o22a_1
*I *6843:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6785:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6786:B1 0
2 *6794:B1 0.000110915
3 *6812:B1 9.3081e-05
4 *6855:B1 0.000377697
5 *6843:B1 0.000341561
6 *6785:X 0
7 *637:51 0.000137304
8 *637:48 0.00168331
9 *637:36 0.00201911
10 *637:13 0.0025351
11 *637:4 0.00208495
12 *6794:B1 *6771:B2 1.31657e-05
13 *6794:B1 *6786:B2 5.39608e-05
14 *6794:B1 *1003:10 3.31882e-05
15 *6794:B1 *1007:33 3.94997e-05
16 *6812:B1 *660:11 0.000169041
17 *6812:B1 *992:8 2.22198e-05
18 *6812:B1 *992:13 1.44611e-05
19 *6843:B1 *6843:A1 7.2379e-05
20 *6843:B1 *6843:A2 0.000413266
21 *6843:B1 *1096:316 0
22 *6843:B1 *1100:14 1.98036e-05
23 *6855:B1 *6855:B2 9.95922e-06
24 *6855:B1 *1096:316 0
25 *6855:B1 *1100:14 6.93222e-05
26 *637:13 *1022:14 3.70636e-05
27 *637:13 *1044:12 2.33193e-05
28 *637:36 *6813:B1 0.000948538
29 *637:36 *660:11 2.11196e-05
30 *637:48 *6787:B1 6.50586e-05
31 *637:48 *6813:B1 6.50727e-05
32 *637:51 *1003:10 5.41227e-05
33 *637:51 *1096:420 9.98029e-06
34 *6756:A *6843:B1 0.00011818
35 *6771:A2 *637:48 0.000313495
36 *6792:A2 *637:48 0.000472818
37 *6861:C1 *637:13 0.000782786
38 *571:36 *6843:B1 0.00110711
39 *571:36 *637:13 6.94654e-05
40 *571:43 *637:13 0.000513419
41 *595:52 *6855:B1 2.90086e-05
42 *605:22 *6855:B1 0.000216532
43 *606:30 *6855:B1 0.000566144
44 *606:43 *6812:B1 4.0752e-05
45 *606:43 *637:13 0.000207266
46 *606:43 *637:36 0.00120763
47 *606:49 *6812:B1 6.50586e-05
48 *606:49 *637:36 1.92172e-05
49 *606:49 *637:48 0.000257033
50 *606:61 *637:48 0.000328715
51 *607:36 *637:48 0.000220183
52 *609:8 *637:13 4.2266e-05
53 *609:18 *637:13 5.26029e-05
54 *623:8 *6812:B1 0
55 *625:5 *637:48 0.000113968
56 *625:12 *6812:B1 0
57 *625:12 *637:48 0.00266464
*RES
1 *6785:X *637:4 9.24915
2 *637:4 *637:13 45.7547
3 *637:13 *6843:B1 28.1147
4 *637:13 *6855:B1 26.1666
5 *637:4 *637:36 15.1431
6 *637:36 *6812:B1 21.4985
7 *637:36 *637:48 51.7469
8 *637:48 *637:51 5.50149
9 *637:51 *6794:B1 17.2985
10 *637:51 *6786:B1 13.7491
*END
*D_NET *638 0.00111419
*CONN
*I *6787:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6786:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6787:B1 0.000327407
2 *6786:X 0.000327407
3 *6787:B1 *1003:10 3.00073e-05
4 *6787:B1 *1007:33 0.000195427
5 *6787:B1 *1096:415 5.96936e-05
6 *6787:B1 *1096:420 6.80864e-05
7 *6794:A2 *6787:B1 7.92757e-06
8 *606:61 *6787:B1 3.31745e-05
9 *637:48 *6787:B1 6.50586e-05
*RES
1 *6786:X *6787:B1 35.5941
*END
*D_NET *639 0.00107868
*CONN
*I *6788:B I *D sky130_fd_sc_hd__nor2_1
*I *6787:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6788:B 0.000181551
2 *6787:Y 0.000181551
3 *6788:B *6766:A1 0.000155017
4 *6788:B *6787:A1 0.000115934
5 *6787:A2 *6788:B 6.50586e-05
6 *6788:A *6788:B 3.75603e-05
7 *626:57 *6788:B 0.000342009
*RES
1 *6787:Y *6788:B 28.1436
*END
*D_NET *640 0.00198425
*CONN
*I *6790:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6789:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6790:B1 0.000574503
2 *6789:X 0.000574503
3 *6790:B1 *6789:B2 3.40867e-05
4 *6790:B1 *6792:A1 4.26431e-05
5 *6790:B1 *1008:41 0
6 *6773:A1 *6790:B1 0.000145644
7 *6773:B2 *6790:B1 0.000413238
8 *6789:A2 *6790:B1 2.14494e-05
9 *6792:A2 *6790:B1 0.000178179
*RES
1 *6789:X *6790:B1 43.1685
*END
*D_NET *641 0.0143661
*CONN
*I *6810:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6792:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6818:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6815:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6841:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6791:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6810:B1 0
2 *6792:B1 0.000826541
3 *6818:B1 0.000129195
4 *6815:B1 4.86208e-05
5 *6841:B1 0.000440155
6 *6791:X 2.06324e-05
7 *641:58 0.00159381
8 *641:41 0.0017561
9 *641:31 0.00255053
10 *641:5 0.00194191
11 *6792:B1 *6818:A1 0.0002817
12 *6792:B1 *6818:A2 5.04829e-06
13 *6792:B1 *995:9 0.000318644
14 *6792:B1 *1063:24 4.91225e-06
15 *6815:B1 *6815:B2 7.00732e-05
16 *6815:B1 *655:65 3.53803e-05
17 *6818:B1 *6818:A1 1.84721e-05
18 *6818:B1 *6818:A2 2.16355e-05
19 *6818:B1 *1063:24 1.43828e-05
20 *6841:B1 *6842:A2 0.000115934
21 *6841:B1 *6842:B1 6.85742e-05
22 *6841:B1 *6842:B2 5.04829e-06
23 *6841:B1 *7549:A 3.40126e-05
24 *6841:B1 *1062:33 8.5824e-05
25 *6841:B1 *1063:35 1.5714e-05
26 *6841:B1 *1089:14 1.37385e-05
27 *641:31 *6810:A2 6.50727e-05
28 *641:31 *6861:A1 0.000123754
29 *641:31 *6861:A2 1.82895e-05
30 *641:31 *6861:B1 0.000487686
31 *641:31 *655:11 0.000286363
32 *641:31 *655:45 1.92172e-05
33 *641:31 *1062:31 0.000299363
34 *641:31 *1062:33 0.000655187
35 *641:31 *1111:13 5.36612e-05
36 *641:41 *6810:A1 0.000636352
37 *641:41 *6810:A2 1.67988e-05
38 *641:41 *1055:11 0
39 *641:58 *6818:A2 5.65463e-05
40 *641:58 *6819:B1 9.30429e-05
41 *641:58 *1055:11 0
42 *641:58 *1062:28 7.98526e-05
43 sram_din0[18] *641:41 5.65463e-05
44 sram_din0[19] *6815:B1 6.64907e-05
45 sram_din0[20] *6792:B1 0.000277502
46 sram_din0[20] *641:58 1.04568e-05
47 sram_din0[22] *6792:B1 6.08467e-05
48 *6809:A *641:31 0.000523665
49 *6842:A1 *6841:B1 1.43983e-05
50 *7512:A *641:31 2.57986e-05
51 *587:60 *641:41 2.26334e-05
52 *623:41 *641:58 0
*RES
1 *6791:X *641:5 9.82786
2 *641:5 *6841:B1 28.0679
3 *641:5 *641:31 39.8109
4 *641:31 *641:41 18.156
5 *641:41 *6815:B1 19.0504
6 *641:41 *641:58 10.6576
7 *641:58 *6818:B1 11.8293
8 *641:58 *6792:B1 31.2645
9 *641:31 *6810:B1 9.24915
*END
*D_NET *642 0.00132372
*CONN
*I *6793:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6792:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6793:B1 0.000555409
2 *6792:X 0.000555409
3 *6793:B1 *6792:A1 8.80509e-05
4 sram_din0[22] *6793:B1 6.1351e-05
5 *6793:A2 *6793:B1 6.03102e-05
6 *7309:D *6793:B1 3.18826e-06
*RES
1 *6792:X *6793:B1 48.1632
*END
*D_NET *643 0.00212215
*CONN
*I *6795:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6794:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6795:B1 0.000634737
2 *6794:X 0.000634737
3 *6795:B1 *6795:A1 0.000122378
4 *6795:B1 *6796:B 5.99691e-05
5 *6795:B1 *7310:CLK 0.000205332
6 *6795:B1 *1001:6 0
7 *6795:B1 *1001:8 0
8 *6795:B1 *1007:14 1.78514e-05
9 *6795:B1 *1007:33 4.25423e-05
10 *6787:A2 *6795:B1 0.000141572
11 *6794:A2 *6795:B1 8.07794e-05
12 *6795:A2 *6795:B1 1.09738e-05
13 *636:68 *6795:B1 0.000171273
*RES
1 *6794:X *6795:B1 47.2212
*END
*D_NET *644 0.000780038
*CONN
*I *6796:B I *D sky130_fd_sc_hd__nor2_1
*I *6795:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6796:B 0.000262976
2 *6795:Y 0.000262976
3 *6796:B *6795:A1 8.08156e-05
4 *6796:B *7310:CLK 0.000113302
5 *6795:B1 *6796:B 5.99691e-05
*RES
1 *6795:Y *6796:B 26.5762
*END
*D_NET *645 0.0234349
*CONN
*I *7015:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6803:A1 I *D sky130_fd_sc_hd__a32o_1
*I *6807:A I *D sky130_fd_sc_hd__and2_1
*I *6828:A1 I *D sky130_fd_sc_hd__a32o_1
*I *6849:A1 I *D sky130_fd_sc_hd__a32o_1
*I *6797:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *7015:A1 0.000583099
2 *6803:A1 0.000136876
3 *6807:A 0.000128694
4 *6828:A1 0
5 *6849:A1 0.000261122
6 *6797:X 0.000222311
7 *645:59 0.00126745
8 *645:37 0.000695069
9 *645:26 0.00221363
10 *645:8 0.00297037
11 *645:7 0.00183178
12 *6803:A1 *6803:B1 9.66992e-05
13 *6803:A1 *6828:A2 0
14 *6807:A *1123:60 0.000125405
15 *6849:A1 *6849:A2 7.98425e-06
16 *6849:A1 *6851:B1 4.88016e-05
17 *6849:A1 *646:40 5.40444e-05
18 *6849:A1 *1098:55 0.000207266
19 *6849:A1 *1100:14 0.000346385
20 *7015:A1 *5652:DIODE 0.000103983
21 *7015:A1 *1114:35 0.00132242
22 *7015:A1 *1114:41 0.000194551
23 *645:8 *6838:B2 3.64684e-05
24 *645:8 *6851:B1 0.000108108
25 *645:8 *6882:A1 0
26 *645:8 *6882:A2 3.00073e-05
27 *645:8 *6882:A3 0.000148129
28 *645:8 *7133:A1 0.000168287
29 *645:8 *646:40 1.30635e-05
30 *645:8 *696:50 0
31 *645:8 *740:14 0
32 *645:8 *1100:14 0.00128877
33 *645:26 *6834:A1 0.000597674
34 *645:26 *652:20 0.00145579
35 *645:26 *662:34 5.1828e-05
36 *645:26 *965:38 0.000145008
37 *645:26 *1015:21 0.0018537
38 *645:37 *6803:B1 3.81416e-06
39 *645:37 *6806:B2 0.000235703
40 *645:37 *668:18 2.47057e-05
41 *645:59 *7133:A1 0.000221015
42 *645:59 *691:8 9.24241e-05
43 *645:59 *691:62 0.000447513
44 *645:59 *740:31 0
45 *6770:A *6807:A 1.71446e-05
46 *6770:A *645:37 0.000138003
47 *6803:B2 *6803:A1 1.40911e-05
48 *6817:A1 *645:37 0
49 *6828:B1 *645:37 8.35474e-05
50 *6849:B1 *6849:A1 3.10962e-05
51 *6981:A3 *645:59 0
52 *7361:D *645:59 1.61274e-05
53 *283:16 *645:37 0.000175689
54 *523:40 *645:26 0
55 *541:10 *645:8 2.69064e-05
56 *558:17 *7015:A1 0.000127411
57 *572:16 *645:7 0.000124641
58 *574:51 *7015:A1 0.00224114
59 *586:63 *645:26 0.000167076
60 *586:63 *645:37 0.000343551
61 *589:68 *645:26 5.97411e-05
62 *589:68 *645:37 4.28467e-05
63 *597:69 *6849:A1 1.71492e-05
64 *599:26 *645:26 2.86353e-06
65 *599:26 *645:37 0
66 *622:24 *6849:A1 5.04829e-06
67 *636:51 *6807:A 6.08467e-05
*RES
1 *6797:X *645:7 17.2456
2 *645:7 *645:8 30.692
3 *645:8 *6849:A1 22.7437
4 *645:8 *645:26 19.4649
5 *645:26 *6828:A1 9.24915
6 *645:26 *645:37 19.9727
7 *645:37 *6807:A 17.135
8 *645:37 *6803:A1 16.1605
9 *645:7 *645:59 24.1878
10 *645:59 *7015:A1 38.3056
*END
*D_NET *646 0.0100077
*CONN
*I *6838:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6851:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6799:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6854:B I *D sky130_fd_sc_hd__and2_1
*I *6863:A I *D sky130_fd_sc_hd__nor2_2
*I *6798:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6838:B2 0.000405752
2 *6851:B2 0
3 *6799:A 8.21141e-05
4 *6854:B 0.000200781
5 *6863:A 0.000185109
6 *6798:X 0.000702205
7 *646:40 0.000842214
8 *646:31 0.00064895
9 *646:14 0.000684437
10 *646:11 0.00113113
11 *6799:A *6849:A2 1.82679e-05
12 *6799:A *647:7 4.26566e-05
13 *6799:A *1098:55 0.000108054
14 *6838:B2 *6838:A1 4.35163e-05
15 *6838:B2 *6838:A2 0.000364356
16 *6838:B2 *6882:A1 0.000127314
17 *6838:B2 *1105:57 7.02172e-06
18 *6854:B *1117:55 0.000391314
19 *6863:A *692:7 1.41976e-05
20 *6863:A *1117:51 5.74874e-05
21 *6863:A *1117:55 0.000189558
22 *646:11 *986:13 6.08467e-05
23 *646:11 *1110:50 0.00036189
24 *646:14 *6848:A1 1.88656e-05
25 *646:14 *6850:A1 2.95757e-05
26 *646:14 *688:48 7.65861e-05
27 *646:14 *1096:316 5.33011e-05
28 *646:14 *1100:14 0
29 *646:31 *6850:A1 0.000243633
30 *646:31 *1100:14 0
31 *646:40 *6850:A1 0.000148144
32 *646:40 *6851:B1 0.000411689
33 *646:40 *6882:A1 0.000207183
34 *646:40 *1100:14 0
35 *6750:A *646:11 0.00057316
36 *6849:A1 *646:40 5.40444e-05
37 *6863:B *6863:A 7.40769e-05
38 *595:52 *646:14 0
39 *603:19 *646:11 5.09367e-05
40 *611:13 *6854:B 0.000983451
41 *611:13 *6863:A 0.00036437
42 *645:8 *6838:B2 3.64684e-05
43 *645:8 *646:40 1.30635e-05
*RES
1 *6798:X *646:11 27.4213
2 *646:11 *646:14 11.315
3 *646:14 *6863:A 16.6519
4 *646:14 *6854:B 20.5341
5 *646:11 *646:31 4.32351
6 *646:31 *6799:A 16.691
7 *646:31 *646:40 13.4591
8 *646:40 *6851:B2 13.7491
9 *646:40 *6838:B2 24.4172
*END
*D_NET *647 0.0129086
*CONN
*I *6803:A2 I *D sky130_fd_sc_hd__a32o_1
*I *6828:A2 I *D sky130_fd_sc_hd__a32o_1
*I *6857:A I *D sky130_fd_sc_hd__nand2_2
*I *6882:A1 I *D sky130_fd_sc_hd__a311oi_1
*I *6849:A2 I *D sky130_fd_sc_hd__a32o_1
*I *6799:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6803:A2 7.8316e-05
2 *6828:A2 0.000373863
3 *6857:A 0.00012795
4 *6882:A1 0.000868837
5 *6849:A2 0.000403998
6 *6799:X 0
7 *647:52 0.00141055
8 *647:36 0.00162064
9 *647:7 0.00146967
10 *647:4 0.00073116
11 *6828:A2 *668:18 4.78118e-05
12 *6849:A2 *6849:A3 7.86847e-05
13 *6849:A2 *1098:55 9.00479e-06
14 *6849:A2 *1111:14 1.55462e-05
15 *6857:A *650:14 1.81626e-05
16 *6857:A *967:10 1.98557e-05
17 *6857:A *978:12 0
18 *6882:A1 *6837:S 1.32509e-05
19 *6882:A1 *6850:A1 0.000217602
20 *6882:A1 *6882:A2 3.00073e-05
21 *6882:A1 *696:48 0.000531465
22 *6882:A1 *696:50 0.000202031
23 *6882:A1 *702:21 6.50586e-05
24 *647:7 *1098:55 6.14949e-06
25 *647:36 *967:10 4.3116e-06
26 *647:36 *978:12 0
27 *647:36 *1098:55 0.000144274
28 *647:52 *6848:S 0.000117265
29 *647:52 *6867:B 6.50586e-05
30 *647:52 *695:16 1.00981e-05
31 *647:52 *1110:50 8.45043e-05
32 *6754:A *647:52 0.000351426
33 *6754:B *647:52 0.000175485
34 *6767:C *647:52 2.23105e-05
35 *6799:A *6849:A2 1.82679e-05
36 *6799:A *647:7 4.26566e-05
37 *6803:A1 *6828:A2 0
38 *6803:B2 *6803:A2 4.86852e-05
39 *6803:B2 *647:52 0.000425543
40 *6838:B2 *6882:A1 0.000127314
41 *6849:A1 *6849:A2 7.98425e-06
42 *276:19 *6803:A2 1.41976e-05
43 *276:19 *6828:A2 5.13902e-05
44 *276:19 *647:52 6.08467e-05
45 *283:16 *6828:A2 5.08013e-05
46 *595:52 *6882:A1 0
47 *597:69 *6849:A2 0.000213739
48 *599:26 *6828:A2 0.000622361
49 *603:19 *647:36 0.000191556
50 *603:19 *647:52 6.50727e-05
51 *604:13 *6857:A 6.36477e-05
52 *609:8 *647:52 3.83336e-05
53 *617:9 *647:52 9.79796e-06
54 *621:16 *647:52 0.00127486
55 *622:10 *6882:A1 2.67922e-05
56 *622:18 *6849:A2 3.31882e-05
57 *645:8 *6882:A1 0
58 *646:40 *6882:A1 0.000207183
*RES
1 *6799:X *647:4 9.24915
2 *647:4 *647:7 3.52053
3 *647:7 *6849:A2 25.3807
4 *647:7 *6882:A1 43.3014
5 *647:4 *647:36 18.0053
6 *647:36 *6857:A 16.7198
7 *647:36 *647:52 34.8103
8 *647:52 *6828:A2 30.2107
9 *647:52 *6803:A2 11.0817
*END
*D_NET *648 0.00885631
*CONN
*I *6827:S I *D sky130_fd_sc_hd__mux2_1
*I *6804:S I *D sky130_fd_sc_hd__mux2_1
*I *6801:S I *D sky130_fd_sc_hd__mux2_1
*I *6848:S I *D sky130_fd_sc_hd__mux2_1
*I *6867:B I *D sky130_fd_sc_hd__nand2_2
*I *6800:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6827:S 0.000181086
2 *6804:S 9.10398e-05
3 *6801:S 0.000288645
4 *6848:S 0.000770952
5 *6867:B 3.40999e-05
6 *6800:X 0
7 *648:31 0.000496333
8 *648:30 0.00131804
9 *648:8 0.00135165
10 *648:4 0.00156691
11 *6801:S *6801:A0 3.14978e-05
12 *6801:S *6804:A0 0
13 *6801:S *1120:74 9.35753e-06
14 *6827:S *6823:A0 7.65861e-05
15 *6827:S *6829:A1 5.92342e-05
16 *6827:S *966:12 0
17 *6827:S *997:18 2.16355e-05
18 *6848:S *1110:50 0.000543452
19 *6867:B *1011:15 5.56367e-05
20 *6867:B *1099:92 5.92192e-05
21 *648:8 *1011:15 0.000118485
22 *648:8 *1099:92 0.000113456
23 *648:31 *6807:B 0
24 *648:31 *966:12 0
25 *648:31 *1120:74 8.13812e-06
26 *6767:C *6848:S 3.79145e-06
27 *6768:A2 *6801:S 0.000377245
28 *6800:A *648:8 4.31703e-05
29 *6805:A *648:8 3.21413e-05
30 *7318:D *648:30 0.000292532
31 *276:19 *6801:S 0.000102721
32 *502:9 *6827:S 6.08467e-05
33 *603:19 *648:8 0.000100493
34 *617:9 *6848:S 0.000457655
35 *617:9 *6867:B 7.92757e-06
36 *647:52 *6848:S 0.000117265
37 *647:52 *6867:B 6.50586e-05
*RES
1 *6800:X *648:4 9.24915
2 *648:4 *648:8 17.4535
3 *648:8 *6867:B 15.474
4 *648:8 *6848:S 35.5716
5 *648:4 *648:30 28.5167
6 *648:30 *648:31 2.6625
7 *648:31 *6801:S 22.7024
8 *648:31 *6804:S 15.0438
9 *648:30 *6827:S 18.7961
*END
*D_NET *649 0.00313229
*CONN
*I *6803:A3 I *D sky130_fd_sc_hd__a32o_1
*I *6801:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6803:A3 0.000908517
2 *6801:X 0.000908517
3 *6770:A *6803:A3 4.37976e-05
4 *276:19 *6803:A3 0.0011534
5 *599:26 *6803:A3 0.000118054
*RES
1 *6801:X *6803:A3 44.334
*END
*D_NET *650 0.0159581
*CONN
*I *6803:B1 I *D sky130_fd_sc_hd__a32o_1
*I *6819:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6856:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6844:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6842:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6802:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6803:B1 0.000404341
2 *6819:A2 0.000572046
3 *6856:A2 0
4 *6844:A2 4.99575e-05
5 *6842:A2 0.000199455
6 *6802:X 0
7 *650:56 0.00116266
8 *650:53 0.000768638
9 *650:19 0.00104503
10 *650:14 0.00190408
11 *650:4 0.00169084
12 *6803:B1 *6806:A1 6.08467e-05
13 *6803:B1 *6806:B2 6.50586e-05
14 *6803:B1 *990:17 1.48603e-05
15 *6803:B1 *1123:60 0.000345174
16 *6819:A2 *6819:B2 2.65667e-05
17 *6819:A2 *7556:A 5.04829e-06
18 *6819:A2 *660:43 2.652e-05
19 *6842:A2 *1062:33 4.31539e-05
20 *6842:A2 *1089:16 0.00031321
21 *6842:A2 *1122:20 0.000120122
22 *6844:A2 *1089:16 3.7061e-05
23 *6844:A2 *1122:20 3.13473e-05
24 *650:14 *688:8 0.00021218
25 *650:14 *1096:316 7.17656e-05
26 *650:14 *1123:53 0.000612807
27 *650:14 *1133:18 0
28 *650:53 *989:14 0.000160384
29 *650:53 *990:17 0.00116323
30 *650:53 *1033:23 6.60052e-05
31 *650:53 *1123:60 0.00196555
32 *650:56 *1096:364 0.00012123
33 *6767:C *650:14 7.97944e-05
34 *6773:A1 *6819:A2 2.63252e-05
35 *6803:A1 *6803:B1 9.66992e-05
36 *6803:B2 *6803:B1 4.12769e-05
37 *6817:A1 *6803:B1 0
38 *6817:A1 *6819:A2 0
39 *6819:A1 *6819:A2 2.53145e-06
40 *6841:B1 *6842:A2 0.000115934
41 *6844:A1 *6844:A2 6.08467e-05
42 *6844:A1 *650:19 0.000869654
43 *6857:A *650:14 1.81626e-05
44 *7316:D *6819:A2 1.16655e-05
45 *571:43 *6819:A2 7.68538e-06
46 *595:52 *650:14 0.000175864
47 *599:26 *650:53 9.24241e-05
48 *599:38 *650:14 0.000207616
49 *599:38 *650:56 6.50727e-05
50 *604:13 *650:14 6.98045e-05
51 *604:13 *650:53 2.81957e-05
52 *604:15 *650:14 6.6641e-05
53 *609:19 *6844:A2 6.08467e-05
54 *609:19 *650:19 7.85867e-05
55 *611:25 *650:53 0.00032595
56 *616:24 *650:14 1.00846e-05
57 *616:24 *650:53 2.41274e-06
58 *617:9 *6803:B1 7.02172e-06
59 *623:8 *6803:B1 3.28261e-05
60 *623:8 *6819:A2 0.000141223
61 *645:37 *6803:B1 3.81416e-06
*RES
1 *6802:X *650:4 9.24915
2 *650:4 *650:14 35.7216
3 *650:14 *650:19 18.4133
4 *650:19 *6842:A2 21.0117
5 *650:19 *6844:A2 15.474
6 *650:14 *6856:A2 9.24915
7 *650:4 *650:53 46.9559
8 *650:53 *650:56 9.66022
9 *650:56 *6819:A2 26.3219
10 *650:56 *6803:B1 24.8709
*END
*D_NET *651 0.000831013
*CONN
*I *6806:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6804:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6806:B1 0.000126433
2 *6804:X 0.000126433
3 *6806:B1 *6804:A1 0
4 *6806:B1 *6806:A1 2.3575e-05
5 *6806:B1 *1120:74 0.000224395
6 *617:9 *6806:B1 0.000205732
7 *636:68 *6806:B1 0.000124446
*RES
1 *6804:X *6806:B1 25.1535
*END
*D_NET *652 0.0110798
*CONN
*I *6834:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6830:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6824:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6806:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6860:B I *D sky130_fd_sc_hd__and2_1
*I *6805:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6834:B2 0.000224039
2 *6830:B2 0.000100647
3 *6824:B2 0
4 *6806:B2 0.000392933
5 *6860:B 0.000512826
6 *6805:X 0
7 *652:30 0.000384668
8 *652:20 0.00172548
9 *652:15 0.00140526
10 *652:5 0.00064553
11 *6806:B2 *6806:A1 6.98314e-05
12 *6830:B2 *6824:B1 5.22654e-06
13 *6830:B2 *6830:A2 0
14 *6830:B2 *1103:65 4.80148e-05
15 *6834:B2 *7320:CLK 0.000181821
16 *6834:B2 *663:30 1.74698e-05
17 *6860:B *7320:CLK 0.000660451
18 *6860:B *660:11 4.15236e-05
19 *6860:B *1044:12 0.00026964
20 *6860:B *1096:343 0.000344148
21 *652:15 *7320:CLK 0.000415177
22 *652:30 *6824:B1 0.000128853
23 *6803:B1 *6806:B2 6.50586e-05
24 *6817:A1 *6806:B2 0
25 *6861:C1 *6860:B 0.000217937
26 *7320:D *6834:B2 7.65758e-05
27 *571:43 *6860:B 0.000222149
28 *581:35 *6834:B2 3.18327e-05
29 *586:63 *6806:B2 1.5714e-05
30 *586:63 *652:30 8.05921e-05
31 *599:22 *6830:B2 0
32 *599:22 *652:30 0
33 *604:8 *6834:B2 0.000375484
34 *604:8 *6860:B 0.000268744
35 *604:8 *652:15 0.00040385
36 *617:9 *6806:B2 5.67857e-05
37 *645:26 *652:20 0.00145579
38 *645:37 *6806:B2 0.000235703
*RES
1 *6805:X *652:5 13.7491
2 *652:5 *6860:B 34.9937
3 *652:5 *652:15 7.4379
4 *652:15 *652:20 9.38812
5 *652:20 *6806:B2 26.0658
6 *652:20 *652:30 7.4379
7 *652:30 *6824:B2 13.7491
8 *652:30 *6830:B2 16.8269
9 *652:15 *6834:B2 22.031
*END
*D_NET *653 0.00135616
*CONN
*I *6807:B I *D sky130_fd_sc_hd__and2_1
*I *6806:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6807:B 0.000214496
2 *6806:X 0.000214496
3 *6807:B *1120:74 0.000160384
4 *6768:A2 *6807:B 2.85274e-05
5 *6817:A1 *6807:B 0
6 *276:19 *6807:B 0.000417464
7 *621:16 *6807:B 3.57315e-05
8 *636:51 *6807:B 0.000285062
9 *648:31 *6807:B 0
*RES
1 *6806:X *6807:B 36.0122
*END
*D_NET *654 0.000561068
*CONN
*I *6808:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6807:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6808:A 0.000192098
2 *6807:X 0.000192098
3 *6808:A *7312:CLK 0
4 *6817:A1 *6808:A 0.000130517
5 *621:16 *6808:A 3.20069e-06
6 *636:51 *6808:A 4.31539e-05
*RES
1 *6807:X *6808:A 31.4388
*END
*D_NET *655 0.0144975
*CONN
*I *6815:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6818:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6810:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6843:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6841:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6809:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6815:A2 3.6437e-05
2 *6818:A2 0.00079522
3 *6810:A2 0.000168095
4 *6843:A2 0.000342849
5 *6841:A2 0.000103826
6 *6809:X 0
7 *655:65 0.00126978
8 *655:45 0.00138733
9 *655:11 0.00105281
10 *655:4 0.00138724
11 *6810:A2 *6810:A1 6.92705e-05
12 *6810:A2 *7553:A 6.08076e-05
13 *6810:A2 *990:18 1.07248e-05
14 *6810:A2 *1062:31 0.000171288
15 *6818:A2 *6818:A1 5.37648e-05
16 *6818:A2 *7315:CLK 7.17625e-05
17 *6818:A2 *993:11 6.30852e-05
18 *6818:A2 *1063:24 2.65831e-05
19 *6841:A2 *6841:A1 9.51132e-06
20 *6841:A2 *6842:B1 2.41483e-05
21 *6841:A2 *994:11 0.000318638
22 *6843:A2 *6844:B1 3.14978e-05
23 *6843:A2 *1015:24 0.000380284
24 *6843:A2 *1122:14 7.20043e-06
25 *6843:A2 *1122:20 0.000119399
26 *655:11 *7550:A 0.000114664
27 *655:11 *994:11 0.000205985
28 *655:11 *1015:24 3.6666e-05
29 *655:11 *1100:14 0
30 *655:45 *6861:A1 9.14669e-05
31 *655:45 *7313:CLK 2.41483e-05
32 *655:45 *7551:A 4.03102e-05
33 *655:45 *7553:A 0.00047703
34 *655:45 *978:12 0.000110844
35 *655:45 *994:11 0.000195119
36 *655:45 *1096:325 0.000408772
37 *655:65 *7554:A 0.000122378
38 *655:65 *991:7 5.79304e-05
39 *655:65 *993:11 1.43848e-05
40 sram_din0[16] *655:45 1.50167e-05
41 sram_din0[17] *655:45 4.91225e-06
42 sram_din0[17] *655:65 0.000570356
43 sram_din0[19] *6815:A2 2.36813e-05
44 sram_din0[20] *6818:A2 0
45 *6792:B1 *6818:A2 5.04829e-06
46 *6809:A *655:11 6.92705e-05
47 *6809:A *655:45 0.000474783
48 *6815:B1 *655:65 3.53803e-05
49 *6818:B1 *6818:A2 2.16355e-05
50 *6843:B1 *6843:A2 0.000413266
51 *7313:D *6810:A2 0
52 *7313:D *655:65 0.000233788
53 *7315:D *6818:A2 8.99353e-05
54 *7322:D *6841:A2 6.50727e-05
55 *7329:D *655:45 0.000798637
56 *587:53 *6841:A2 1.43848e-05
57 *587:53 *6843:A2 3.88213e-05
58 *587:53 *655:11 4.65396e-05
59 *587:53 *655:45 0.000203604
60 *587:60 *655:45 0.000887276
61 *587:60 *655:65 0.000180861
62 *641:31 *6810:A2 6.50727e-05
63 *641:31 *655:11 0.000286363
64 *641:31 *655:45 1.92172e-05
65 *641:41 *6810:A2 1.67988e-05
66 *641:58 *6818:A2 5.65463e-05
*RES
1 *6809:X *655:4 9.24915
2 *655:4 *655:11 21.9829
3 *655:11 *6841:A2 14.0477
4 *655:11 *6843:A2 30.085
5 *655:4 *655:45 41.1958
6 *655:45 *6810:A2 23.1595
7 *655:45 *655:65 18.8565
8 *655:65 *6818:A2 33.65
9 *655:65 *6815:A2 19.2506
*END
*D_NET *656 0.000848516
*CONN
*I *6811:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6810:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6811:B1 0.00023849
2 *6810:X 0.00023849
3 *6811:B1 *990:18 6.37856e-05
4 *6811:B1 *1063:24 0.000228593
5 *6811:A1 *6811:B1 2.1203e-06
6 *6811:A2 *6811:B1 0
7 *7313:D *6811:B1 0
8 *571:43 *6811:B1 3.8519e-05
9 *625:13 *6811:B1 3.8519e-05
*RES
1 *6810:X *6811:B1 32.9941
*END
*D_NET *657 0.00342672
*CONN
*I *6813:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6812:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6813:B1 0.00113153
2 *6812:X 0.00113153
3 *6813:B1 *6813:A1 6.92705e-05
4 *6813:B1 *7320:CLK 0
5 *6813:B1 *990:18 0
6 *7314:D *6813:B1 0
7 *626:48 *6813:B1 1.5714e-05
8 *636:28 *6813:B1 6.50586e-05
9 *637:36 *6813:B1 0.000948538
10 *637:48 *6813:B1 6.50727e-05
*RES
1 *6812:X *6813:B1 49.5535
*END
*D_NET *658 0.000833279
*CONN
*I *6814:B I *D sky130_fd_sc_hd__nor2_1
*I *6813:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6814:B 0.00010276
2 *6813:Y 0.00010276
3 *6814:B *6813:A1 0.000111708
4 *6813:A2 *6814:B 0
5 *626:48 *6814:B 0.000377259
6 *636:28 *6814:B 7.68538e-06
7 *636:51 *6814:B 0.000131108
*RES
1 *6813:Y *6814:B 24.2613
*END
*D_NET *659 0.000327349
*CONN
*I *6817:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6815:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6817:B1 0.000107257
2 *6815:X 0.000107257
3 *6817:B1 *7556:A 2.11802e-05
4 *6817:B1 *1062:31 2.65831e-05
5 *6817:A2 *6817:B1 6.50727e-05
6 *7315:D *6817:B1 0
*RES
1 *6815:X *6817:B1 30.0537
*END
*D_NET *660 0.0104174
*CONN
*I *6819:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6817:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6856:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6844:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6842:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6816:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6819:B2 1.82149e-05
2 *6817:B2 0.000142402
3 *6856:B2 7.52198e-06
4 *6844:B2 5.29437e-06
5 *6842:B2 0.000231504
6 *6816:X 0
7 *660:43 0.00032271
8 *660:15 0.000843767
9 *660:11 0.00286508
10 *660:4 0.00241269
11 *6817:B2 *6819:B1 8.55619e-05
12 *6817:B2 *7556:A 8.46481e-05
13 *6842:B2 *7549:A 0.000136288
14 *6842:B2 *985:6 8.11463e-06
15 *6842:B2 *1062:33 2.65667e-05
16 *6842:B2 *1089:16 0
17 *6844:B2 *985:6 6.34651e-06
18 *6856:B2 *1096:316 2.02035e-05
19 *660:11 *1044:12 4.40272e-05
20 *6773:A1 *6819:B2 1.00846e-05
21 *6811:A1 *660:11 0.000582884
22 *6811:A1 *660:15 0.000303575
23 *6812:B1 *660:11 0.000169041
24 *6816:A *660:11 6.50586e-05
25 *6816:A *660:43 0.000113968
26 *6817:A1 *6817:B2 2.95473e-05
27 *6817:A1 *660:43 2.30039e-05
28 *6819:A1 *6817:B2 3.88655e-06
29 *6819:A2 *6819:B2 2.65667e-05
30 *6819:A2 *660:43 2.652e-05
31 *6841:B1 *6842:B2 5.04829e-06
32 *6842:A1 *6842:B2 0.000325127
33 *6842:A1 *6844:B2 2.02035e-05
34 *6860:B *660:11 4.15236e-05
35 *571:43 *6819:B2 1.61631e-05
36 *577:46 *660:11 0.000330229
37 *577:46 *660:15 0.00021136
38 *595:52 *6856:B2 2.02035e-05
39 *606:30 *660:11 4.97148e-05
40 *606:43 *660:11 0.000292519
41 *606:49 *660:11 0.000146583
42 *619:34 *660:11 0.000322565
43 *623:8 *660:43 0
44 *637:36 *660:11 2.11196e-05
*RES
1 *6816:X *660:4 9.24915
2 *660:4 *660:11 32.5186
3 *660:11 *660:15 5.25444
4 *660:15 *6842:B2 21.0799
5 *660:15 *6844:B2 14.1278
6 *660:11 *6856:B2 17.4965
7 *660:4 *660:43 8.02525
8 *660:43 *6817:B2 17.6574
9 *660:43 *6819:B2 14.4725
*END
*D_NET *661 0.00187025
*CONN
*I *6819:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6818:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6819:B1 0.000429541
2 *6818:X 0.000429541
3 *6819:B1 *1062:28 0.000440113
4 *6817:B2 *6819:B1 8.55619e-05
5 *6819:A1 *6819:B1 0.000143567
6 *571:43 *6819:B1 1.64789e-05
7 *623:41 *6819:B1 0.000232403
8 *641:58 *6819:B1 9.30429e-05
*RES
1 *6818:X *6819:B1 38.5012
*END
*D_NET *662 0.0136645
*CONN
*I *6839:A I *D sky130_fd_sc_hd__and2_1
*I *6831:A I *D sky130_fd_sc_hd__and2_1
*I *6825:A I *D sky130_fd_sc_hd__and2_1
*I *6835:A I *D sky130_fd_sc_hd__and2_1
*I *6852:A I *D sky130_fd_sc_hd__and2_1
*I *6820:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6839:A 9.2379e-05
2 *6831:A 1.83836e-05
3 *6825:A 0.000135656
4 *6835:A 0
5 *6852:A 0
6 *6820:X 0.000368138
7 *662:39 0.000563405
8 *662:34 0.00152959
9 *662:15 0.00171648
10 *662:6 0.00105677
11 *6825:A *6825:B 5.53934e-05
12 *6825:A *6830:A2 0
13 *6825:A *6830:B1 0
14 *6825:A *6831:B 0
15 *6831:A *6831:B 0.000277502
16 *6839:A *6839:B 0.000211478
17 *6839:A *6840:A 8.39223e-05
18 *662:6 *6834:A1 6.96029e-05
19 *662:6 *1106:38 0.00073338
20 *662:6 *1122:20 0.00053624
21 *662:6 *1122:26 0.000163772
22 *662:15 *6834:A1 5.32948e-05
23 *662:15 *6852:B 0.000224834
24 *662:15 *1114:57 0.000213069
25 *662:34 *6834:A1 0.0006212
26 *662:34 *6852:B 0.000112501
27 *662:34 *967:12 8.28179e-05
28 *662:34 *1114:57 0.000609326
29 *662:39 *6831:B 0.000277488
30 *662:39 *6835:B 0.00011818
31 *662:39 *6836:A 1.43983e-05
32 *662:39 *663:47 6.75302e-05
33 *6803:B2 *662:34 1.87454e-05
34 *6821:A *662:34 3.82228e-05
35 *7341:D *6839:A 3.58208e-05
36 *306:18 *662:15 0.000866513
37 *306:18 *662:34 0.000105334
38 *523:40 *6831:A 0.000265537
39 *523:40 *662:34 0.00050671
40 *523:40 *662:39 0.00156649
41 *581:35 *662:34 0.000159013
42 *595:52 *662:15 1.5714e-05
43 *619:34 *662:34 2.78838e-05
44 *645:26 *662:34 5.1828e-05
*RES
1 *6820:X *662:6 30.3226
2 *662:6 *662:15 15.7361
3 *662:15 *6852:A 9.24915
4 *662:15 *662:34 31.3481
5 *662:34 *6835:A 9.24915
6 *662:34 *662:39 18.4707
7 *662:39 *6825:A 21.7421
8 *662:39 *6831:A 12.191
9 *662:6 *6839:A 17.2456
*END
*D_NET *663 0.0117444
*CONN
*I *6830:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6824:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6834:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6838:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6851:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6821:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6830:A2 6.01511e-05
2 *6824:A2 0
3 *6834:A2 4.02328e-05
4 *6838:A2 0.000206934
5 *6851:A2 0
6 *6821:X 0
7 *663:47 0.00114168
8 *663:30 0.00227314
9 *663:8 0.00100342
10 *663:4 0.00194787
11 *6830:A2 *6830:B1 0.000127164
12 *6830:A2 *1103:65 0.000151726
13 *6834:A2 *6834:A1 5.50435e-05
14 *6834:A2 *6835:B 1.55578e-05
15 *6838:A2 *696:48 0.00016345
16 *6838:A2 *1105:57 0.000230294
17 *663:8 *6837:S 4.31539e-05
18 *663:8 *696:48 0.000136483
19 *663:30 *6834:A1 3.10209e-06
20 *663:30 *1044:12 6.51637e-05
21 *663:47 *6824:B1 3.00073e-05
22 *663:47 *6830:B1 7.50872e-05
23 *663:47 *6835:B 0.00010407
24 *6825:A *6830:A2 0
25 *6830:B2 *6830:A2 0
26 *6834:B2 *663:30 1.74698e-05
27 *6838:B2 *6838:A2 0.000364356
28 *6882:B1 *6838:A2 0.000174175
29 *6882:B1 *663:8 0.000132885
30 *523:40 *663:47 0.00120763
31 *524:33 *663:8 5.23787e-05
32 *525:19 *663:8 0.000612662
33 *525:19 *663:30 0.00049012
34 *525:50 *663:30 0.000654964
35 *581:35 *6834:A2 1.56593e-05
36 *581:35 *663:30 9.64249e-06
37 *581:35 *663:47 2.46092e-06
38 *603:10 *663:30 6.87762e-05
39 *662:39 *663:47 6.75302e-05
*RES
1 *6821:X *663:4 9.24915
2 *663:4 *663:8 18.978
3 *663:8 *6851:A2 13.7491
4 *663:8 *6838:A2 23.0963
5 *663:4 *663:30 27.947
6 *663:30 *6834:A2 11.4314
7 *663:30 *663:47 24.3875
8 *663:47 *6824:A2 13.7491
9 *663:47 *6830:A2 16.8269
*END
*D_NET *664 0.0126704
*CONN
*I *6823:S I *D sky130_fd_sc_hd__mux2_1
*I *6829:S I *D sky130_fd_sc_hd__mux2_1
*I *6833:S I *D sky130_fd_sc_hd__mux2_1
*I *6850:S I *D sky130_fd_sc_hd__mux2_1
*I *6837:S I *D sky130_fd_sc_hd__mux2_1
*I *6822:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6823:S 0.000310824
2 *6829:S 0
3 *6833:S 0
4 *6850:S 0
5 *6837:S 0.000518623
6 *6822:X 0
7 *664:42 0.000949291
8 *664:28 0.000861379
9 *664:5 0.00114883
10 *664:4 0.000853124
11 *6823:S *6823:A1 2.93844e-05
12 *6823:S *6829:A1 9.75356e-05
13 *6823:S *965:17 4.88955e-05
14 *6823:S *998:14 6.50586e-05
15 *6837:S *965:38 4.51357e-05
16 *664:42 *6830:B1 0.000103943
17 *664:42 *965:17 0.00118454
18 *664:42 *998:14 0.000171288
19 *664:42 *1015:9 4.10998e-05
20 *6769:A *664:5 6.08467e-05
21 *6803:B2 *664:28 0
22 *6803:B2 *664:42 0
23 *6822:A *664:5 0.000111722
24 *6882:A1 *6837:S 1.32509e-05
25 *6882:B1 *6837:S 4.15661e-05
26 *283:16 *664:28 0
27 *502:9 *664:5 0.00281816
28 *502:9 *664:28 0.000224381
29 *524:33 *6837:S 0.000650738
30 *525:50 *664:42 0.000543039
31 *595:52 *6837:S 6.25625e-05
32 *622:10 *6837:S 0.000244162
33 *636:13 *664:5 0.0014279
34 *663:8 *6837:S 4.31539e-05
*RES
1 *6822:X *664:4 9.24915
2 *664:4 *664:5 31.2266
3 *664:5 *6837:S 33.3466
4 *664:5 *6850:S 9.24915
5 *664:4 *664:28 9.96496
6 *664:28 *6833:S 13.7491
7 *664:28 *664:42 29.7055
8 *664:42 *6829:S 9.24915
9 *664:42 *6823:S 25.9807
*END
*D_NET *665 0.00179561
*CONN
*I *6824:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6823:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6824:B1 0.000722763
2 *6823:X 0.000722763
3 *6824:B1 *6830:B1 1.61918e-05
4 *6824:B1 *668:18 0.000169805
5 *6830:B2 *6824:B1 5.22654e-06
6 *652:30 *6824:B1 0.000128853
7 *663:47 *6824:B1 3.00073e-05
*RES
1 *6823:X *6824:B1 41.416
*END
*D_NET *666 0.000851456
*CONN
*I *6825:B I *D sky130_fd_sc_hd__and2_1
*I *6824:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6825:B 0.000316067
2 *6824:X 0.000316067
3 *6825:B *6831:B 0.000163928
4 *6825:A *6825:B 5.53934e-05
5 *7317:D *6825:B 0
*RES
1 *6824:X *6825:B 33.7966
*END
*D_NET *667 0.000728065
*CONN
*I *6826:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6825:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6826:A 0.000182449
2 *6825:X 0.000182449
3 *6826:A *7317:CLK 0
4 *7317:D *6826:A 3.25584e-05
5 *500:28 *6826:A 0.00033061
*RES
1 *6825:X *6826:A 33.6572
*END
*D_NET *668 0.00200236
*CONN
*I *6828:A3 I *D sky130_fd_sc_hd__a32o_1
*I *6827:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6828:A3 0
2 *6827:X 0.000582351
3 *668:18 0.000582351
4 *668:18 *6829:A1 0
5 *6817:A1 *668:18 0
6 *6824:B1 *668:18 0.000169805
7 *6828:A2 *668:18 4.78118e-05
8 *283:16 *668:18 7.09666e-06
9 *586:63 *668:18 0.000327867
10 *589:68 *668:18 0.000260374
11 *645:37 *668:18 2.47057e-05
*RES
1 *6827:X *668:18 44.1701
2 *668:18 *6828:A3 9.24915
*END
*D_NET *669 0.00131545
*CONN
*I *6830:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6829:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6830:B1 0.000428355
2 *6829:X 0.000428355
3 *6830:B1 *6831:B 0.000127164
4 *6830:B1 *1105:57 9.18559e-06
5 *6824:B1 *6830:B1 1.61918e-05
6 *6825:A *6830:B1 0
7 *6830:A2 *6830:B1 0.000127164
8 *663:47 *6830:B1 7.50872e-05
9 *664:42 *6830:B1 0.000103943
*RES
1 *6829:X *6830:B1 37.0356
*END
*D_NET *670 0.00127201
*CONN
*I *6831:B I *D sky130_fd_sc_hd__and2_1
*I *6830:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6831:B 0.000188818
2 *6830:X 0.000188818
3 *6825:A *6831:B 0
4 *6825:B *6831:B 0.000163928
5 *6830:B1 *6831:B 0.000127164
6 *6831:A *6831:B 0.000277502
7 *523:40 *6831:B 4.82966e-05
8 *662:39 *6831:B 0.000277488
*RES
1 *6830:X *6831:B 36.5696
*END
*D_NET *671 0.00277195
*CONN
*I *6832:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6831:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6832:A 0.000965323
2 *6831:X 0.000965323
3 *6832:A *1096:500 0
4 *6636:A2 *6832:A 0.000404491
5 *523:40 *6832:A 0.000436811
6 *618:20 *6832:A 0
*RES
1 *6831:X *6832:A 44.7493
*END
*D_NET *672 0.00147314
*CONN
*I *6834:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6833:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6834:B1 0.000377687
2 *6833:X 0.000377687
3 *6834:B1 *6834:A1 0.000200812
4 *6834:B1 *7320:CLK 3.99658e-05
5 *7320:D *6834:B1 0.000178493
6 *586:63 *6834:B1 0.000275256
7 *608:10 *6834:B1 2.32397e-05
*RES
1 *6833:X *6834:B1 36.998
*END
*D_NET *673 0.000553497
*CONN
*I *6835:B I *D sky130_fd_sc_hd__and2_1
*I *6834:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6835:B 0.000143587
2 *6834:X 0.000143587
3 *6834:A2 *6835:B 1.55578e-05
4 *581:35 *6835:B 2.85139e-05
5 *662:39 *6835:B 0.00011818
6 *663:47 *6835:B 0.00010407
*RES
1 *6834:X *6835:B 23.8755
*END
*D_NET *674 0.000760222
*CONN
*I *6836:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6835:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6836:A 0.000287183
2 *6835:X 0.000287183
3 *6836:A *1105:57 0.000171456
4 *525:54 *6836:A 0
5 *608:10 *6836:A 0
6 *662:39 *6836:A 1.43983e-05
*RES
1 *6835:X *6836:A 35.0987
*END
*D_NET *675 0.00102384
*CONN
*I *6838:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6837:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6838:B1 0.000366797
2 *6837:X 0.000366797
3 *6838:B1 *6834:A1 0
4 *6838:B1 *1111:14 3.56076e-05
5 *306:18 *6838:B1 0.00011044
6 *525:19 *6838:B1 0.000120546
7 *581:32 *6838:B1 2.36494e-05
*RES
1 *6837:X *6838:B1 35.5941
*END
*D_NET *676 0.00157234
*CONN
*I *6839:B I *D sky130_fd_sc_hd__and2_1
*I *6838:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6839:B 0.000247721
2 *6838:X 0.000247721
3 *6839:B *1100:14 0.000122098
4 *6839:B *1111:14 0.000122098
5 *6839:A *6839:B 0.000211478
6 *7341:D *6839:B 0.000563884
7 *581:35 *6839:B 5.73392e-05
*RES
1 *6838:X *6839:B 37.6788
*END
*D_NET *677 0.00128462
*CONN
*I *6840:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6839:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6840:A 0.000312785
2 *6839:X 0.000312785
3 *6840:A *1016:8 1.32509e-05
4 *6840:A *1112:52 0.000299886
5 *6839:A *6840:A 8.39223e-05
6 *7341:D *6840:A 3.99086e-06
7 *589:58 *6840:A 0.000258002
*RES
1 *6839:X *6840:A 36.0094
*END
*D_NET *678 0.000582408
*CONN
*I *6842:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6841:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6842:B1 0.000166063
2 *6841:X 0.000166063
3 *6842:B1 *7549:A 8.92568e-06
4 *6842:B1 *1089:14 1.5714e-05
5 *6842:B1 *1089:16 1.69862e-05
6 *6841:A2 *6842:B1 2.41483e-05
7 *6841:B1 *6842:B1 6.85742e-05
8 *7322:D *6842:B1 0.000115934
*RES
1 *6841:X *6842:B1 31.1629
*END
*D_NET *679 0.000345058
*CONN
*I *6844:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6843:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6844:B1 8.58709e-05
2 *6843:X 8.58709e-05
3 *6844:B1 *1089:16 3.98321e-05
4 *6842:A1 *6844:B1 0.000101987
5 *6843:A2 *6844:B1 3.14978e-05
*RES
1 *6843:X *6844:B1 30.0537
*END
*D_NET *680 0.00140612
*CONN
*I *6846:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6845:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6846:B1 0.000418403
2 *6845:X 0.000418403
3 *6846:B1 *6846:A1 0.000164829
4 *6846:B1 *6847:B 7.27341e-05
5 *6846:B1 *1016:8 0.000216411
6 *6846:B1 *1078:8 0
7 *6846:B1 *1123:39 7.09013e-05
8 *6845:A2 *6846:B1 2.99929e-05
9 *6846:A2 *6846:B1 1.44467e-05
*RES
1 *6845:X *6846:B1 40.1619
*END
*D_NET *681 0.000867769
*CONN
*I *6847:B I *D sky130_fd_sc_hd__nor2_1
*I *6846:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6847:B 0.000201389
2 *6846:Y 0.000201389
3 *6847:B *695:20 5.62462e-05
4 *6847:B *1123:39 0.000236888
5 *6846:B1 *6847:B 7.27341e-05
6 *626:33 *6847:B 9.9122e-05
*RES
1 *6846:Y *6847:B 25.6237
*END
*D_NET *682 0.000830273
*CONN
*I *6849:A3 I *D sky130_fd_sc_hd__a32o_1
*I *6848:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6849:A3 0.000304771
2 *6848:X 0.000304771
3 *6849:A3 *1015:24 1.75625e-05
4 *6849:A3 *1110:50 7.66988e-05
5 *6849:A3 *1111:14 1.43055e-05
6 *6849:A2 *6849:A3 7.86847e-05
7 *7325:D *6849:A3 3.34802e-05
*RES
1 *6848:X *6849:A3 33.6572
*END
*D_NET *683 0.00158352
*CONN
*I *6851:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6850:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6851:B1 0.000397891
2 *6850:X 0.000397891
3 *6851:B1 *5653:DIODE 0.000117835
4 *6851:B1 *6851:A1 2.61237e-05
5 *6849:A1 *6851:B1 4.88016e-05
6 *581:35 *6851:B1 7.51763e-05
7 *645:8 *6851:B1 0.000108108
8 *646:40 *6851:B1 0.000411689
*RES
1 *6850:X *6851:B1 39.3743
*END
*D_NET *684 0.000777193
*CONN
*I *6852:B I *D sky130_fd_sc_hd__and2_1
*I *6851:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6852:B 0.000106155
2 *6851:X 0.000106155
3 *6852:B *1114:57 1.41291e-05
4 *6882:B1 *6852:B 5.61969e-05
5 *581:35 *6852:B 0.000144868
6 *595:52 *6852:B 1.23518e-05
7 *662:15 *6852:B 0.000224834
8 *662:34 *6852:B 0.000112501
*RES
1 *6851:X *6852:B 32.816
*END
*D_NET *685 0.000819506
*CONN
*I *6853:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6852:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6853:A 0.000224775
2 *6852:X 0.000224775
3 *6853:A *978:12 0
4 *6853:A *1114:57 0.000224395
5 *6853:A *1133:18 0.000137693
6 *525:19 *6853:A 7.86825e-06
*RES
1 *6852:X *6853:A 32.548
*END
*D_NET *686 0.00233538
*CONN
*I *6859:B1 I *D sky130_fd_sc_hd__o221a_1
*I *6855:B2 I *D sky130_fd_sc_hd__o22a_1
*I *6854:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6859:B1 0.000150336
2 *6855:B2 0.000318624
3 *6854:X 0.000194107
4 *686:8 0.000663067
5 *6855:B2 *6855:A1 6.08467e-05
6 *6855:B2 *7328:CLK 6.78596e-05
7 *6859:B1 *6854:A 0
8 *6859:B1 *6861:B1 7.48292e-05
9 *6859:B1 *689:8 0.000145045
10 *686:8 *6854:A 0
11 *686:8 *689:8 9.83856e-05
12 *686:8 *1123:53 0.000167692
13 *6855:B1 *6855:B2 9.95922e-06
14 *605:22 *6855:B2 0.000158357
15 *606:30 *6855:B2 0.00013083
16 *606:30 *6859:B1 4.12977e-05
17 *609:18 *6859:B1 1.4699e-05
18 *611:13 *686:8 2.29454e-05
19 *619:34 *6859:B1 1.64979e-05
*RES
1 *6854:X *686:8 17.9683
2 *686:8 *6855:B2 22.4393
3 *686:8 *6859:B1 18.4102
*END
*D_NET *687 0.000892643
*CONN
*I *6856:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6855:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *6856:B1 0.000200583
2 *6855:X 0.000200583
3 *6856:B1 *1096:316 0.000143912
4 *599:38 *6856:B1 0.0001403
5 *606:30 *6856:B1 0.000207266
*RES
1 *6855:X *6856:B1 32.548
*END
*D_NET *688 0.0155917
*CONN
*I *6878:A2 I *D sky130_fd_sc_hd__o221a_1
*I *6870:A2 I *D sky130_fd_sc_hd__o221a_1
*I *6874:A2 I *D sky130_fd_sc_hd__o221a_1
*I *6861:A2 I *D sky130_fd_sc_hd__o221a_1
*I *6859:A2 I *D sky130_fd_sc_hd__o221a_1
*I *6857:Y O *D sky130_fd_sc_hd__nand2_2
*CAP
1 *6878:A2 0
2 *6870:A2 2.77428e-05
3 *6874:A2 0.00039114
4 *6861:A2 0.000358433
5 *6859:A2 4.41515e-05
6 *6857:Y 0.000183437
7 *688:56 0.000666483
8 *688:51 0.00186709
9 *688:48 0.00188245
10 *688:16 0.00116806
11 *688:8 0.00121186
12 *6861:A2 *6860:A 0.00041971
13 *6861:A2 *6861:B2 0.000107496
14 *6861:A2 *967:10 8.12567e-05
15 *6861:A2 *982:10 0.000165087
16 *6861:A2 *982:14 3.31882e-05
17 *6861:A2 *989:14 4.52324e-05
18 *6861:A2 *1033:23 0.000163982
19 *6861:A2 *1062:31 3.75221e-05
20 *6861:A2 *1063:24 5.41377e-05
21 *6870:A2 *695:27 5.04829e-06
22 *6870:A2 *1009:24 1.43983e-05
23 *6874:A2 *6874:A1 7.76725e-05
24 *6874:A2 *6874:B2 5.85233e-05
25 *6874:A2 *6874:C1 0
26 *6874:A2 *7331:CLK 0.000107496
27 *6874:A2 *1009:10 2.58814e-05
28 *6874:A2 *1012:11 0.000105942
29 *6874:A2 *1012:16 7.06454e-05
30 *6874:A2 *1070:8 0.000214168
31 *688:8 *978:12 8.74104e-05
32 *688:8 *1123:53 8.98279e-05
33 *688:16 *967:10 9.39114e-06
34 *688:16 *978:12 1.9101e-05
35 *688:16 *982:8 0.000137023
36 *688:16 *982:10 7.09666e-06
37 *688:16 *1033:23 0
38 *688:16 *1123:53 7.09666e-06
39 *688:48 *1096:316 0
40 *688:48 *1100:14 4.8794e-05
41 *688:48 *1117:55 0.000909859
42 *688:48 *1120:55 0.000905633
43 *688:51 *1014:9 0.000844584
44 *688:56 *692:17 0.000166548
45 *688:56 *695:27 3.10924e-05
46 *688:56 *1013:8 3.40382e-05
47 *688:56 *1013:13 0.000204483
48 *688:56 *1014:21 1.55462e-05
49 *688:56 *1070:8 8.03119e-06
50 *7328:D *688:16 3.90348e-05
51 *523:26 *688:16 6.01329e-05
52 *571:29 *688:56 0
53 *571:43 *6861:A2 1.65872e-05
54 *599:38 *688:16 7.56198e-05
55 *605:22 *688:51 0.000980066
56 *605:29 *688:51 0.000514826
57 *609:18 *6859:A2 1.82895e-05
58 *619:34 *688:16 0.000348298
59 *626:48 *688:16 0.000117998
60 *641:31 *6861:A2 1.82895e-05
61 *646:14 *688:48 7.65861e-05
62 *650:14 *688:8 0.00021218
*RES
1 *6857:Y *688:8 17.9683
2 *688:8 *688:16 15.5219
3 *688:16 *6859:A2 14.928
4 *688:16 *6861:A2 35.3415
5 *688:8 *688:48 27.1447
6 *688:48 *688:51 43.452
7 *688:51 *688:56 12.0478
8 *688:56 *6874:A2 24.5685
9 *688:56 *6870:A2 14.4725
10 *688:51 *6878:A2 9.24915
*END
*D_NET *689 0.00332662
*CONN
*I *6859:B2 I *D sky130_fd_sc_hd__o221a_1
*I *6861:B1 I *D sky130_fd_sc_hd__o221a_1
*I *6858:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6859:B2 3.8144e-05
2 *6861:B1 0.000371318
3 *6858:Y 0.000241978
4 *689:8 0.00065144
5 *6861:B1 *6854:A 0
6 *6861:B1 *6861:A1 0
7 *6861:B1 *967:10 0.000459044
8 *6861:B1 *1062:33 0.000107157
9 *6861:B1 *1111:13 5.47736e-05
10 *689:8 *967:10 0.000312552
11 *689:8 *1117:55 0.000107496
12 *689:8 *1120:55 0.000107496
13 *689:8 *1123:53 0
14 *6859:B1 *6861:B1 7.48292e-05
15 *6859:B1 *689:8 0.000145045
16 *6861:C1 *6861:B1 6.92705e-05
17 *641:31 *6861:B1 0.000487686
18 *686:8 *689:8 9.83856e-05
*RES
1 *6858:Y *689:8 21.4269
2 *689:8 *6861:B1 28.2052
3 *689:8 *6859:B2 14.7378
*END
*D_NET *690 0.00152096
*CONN
*I *6861:B2 I *D sky130_fd_sc_hd__o221a_1
*I *6860:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6861:B2 0.000531457
2 *6860:X 0.000531457
3 *6861:B2 *6860:A 0.000143774
4 *6861:B2 *1033:23 0.000122083
5 *6861:A2 *6861:B2 0.000107496
6 *6861:C1 *6861:B2 0
7 *571:43 *6861:B2 8.46922e-05
*RES
1 *6860:X *6861:B2 37.3318
*END
*D_NET *691 0.0281582
*CONN
*I *6926:A I *D sky130_fd_sc_hd__and2_1
*I *7028:A I *D sky130_fd_sc_hd__and2_1
*I *6876:A I *D sky130_fd_sc_hd__and2_1
*I *6865:A I *D sky130_fd_sc_hd__and2_1
*I *6872:A I *D sky130_fd_sc_hd__and2_1
*I *6862:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6926:A 0.00191223
2 *7028:A 0
3 *6876:A 0
4 *6865:A 0
5 *6872:A 0.000325975
6 *6862:X 0.00021973
7 *691:62 0.00232278
8 *691:30 0.00097714
9 *691:25 0.00160864
10 *691:20 0.00319305
11 *691:16 0.00301193
12 *691:8 0.00140664
13 *6872:A *6864:B2 0
14 *6872:A *6871:C1 6.76088e-05
15 *6872:A *6873:A 0.000122083
16 *6872:A *692:22 6.15805e-05
17 *6872:A *976:19 0.000281456
18 *6872:A *1006:29 6.96573e-05
19 *6872:A *1009:28 0
20 *6926:A *6889:B1 0.000164843
21 *6926:A *6926:B 4.80635e-06
22 *6926:A *708:11 5.64607e-05
23 *6926:A *1099:89 0.000591351
24 *691:8 *7133:A1 9.24241e-05
25 *691:16 *6969:A 0.000107496
26 *691:16 *6969:B 6.50727e-05
27 *691:16 *6971:A3 9.14669e-05
28 *691:16 *881:10 9.75356e-05
29 *691:16 *1143:56 0.000224841
30 *691:20 *7372:CLK 0.000118938
31 *691:20 *888:8 0
32 *691:20 *1096:670 8.84292e-05
33 *691:20 *1142:8 3.62662e-06
34 *691:25 *6699:A 0.000141016
35 *691:25 *6876:B 8.12388e-06
36 *691:25 *6877:A 6.50586e-05
37 *691:25 *1069:11 0
38 *691:25 *1096:235 0
39 *691:25 *1117:44 0.000986704
40 *691:30 *692:22 8.71055e-05
41 *691:62 *6979:A2 0.000459887
42 *691:62 *6980:B 6.50727e-05
43 *691:62 *7133:A1 0.000442499
44 *691:62 *1099:75 0.000502199
45 *691:62 *1099:89 0.000566452
46 sram_addr1[7] *6872:A 9.34396e-06
47 sram_din0[7] *6872:A 1.32509e-05
48 *6678:A *691:20 2.65667e-05
49 *6944:B1 *691:30 8.07939e-05
50 *6962:B1 *691:20 6.50176e-05
51 *6963:B1_N *691:20 7.31687e-05
52 *6971:A1 *691:16 0.000107496
53 *6979:B1 *691:62 0.000171273
54 *7357:D *691:20 0.000400395
55 *7359:D *691:16 0.000111722
56 *502:36 *691:30 0
57 *522:30 *691:16 9.75356e-05
58 *538:21 *691:16 0.000279164
59 *538:46 *691:20 0.000476743
60 *538:46 *691:25 0.00164201
61 *557:52 *691:20 0.000464127
62 *557:54 *691:8 0.000262339
63 *559:11 *691:62 0.000602515
64 *560:44 *6926:A 0.00049257
65 *572:23 *691:20 3.21865e-05
66 *577:46 *691:30 0
67 *580:11 *691:20 3.96686e-05
68 *583:34 *691:20 0.000212971
69 *583:34 *691:25 0.000406239
70 *586:49 *691:16 0.000939309
71 *645:59 *691:8 9.24241e-05
72 *645:59 *691:62 0.000447513
*RES
1 *6862:X *691:8 18.523
2 *691:8 *691:16 39.3487
3 *691:16 *691:20 48.762
4 *691:20 *691:25 44.155
5 *691:25 *691:30 20.9121
6 *691:30 *6872:A 24.3747
7 *691:30 *6865:A 13.7491
8 *691:25 *6876:A 9.24915
9 *691:20 *7028:A 13.7491
10 *691:8 *691:62 27.728
11 *691:62 *6926:A 40.9098
*END
*D_NET *692 0.0120563
*CONN
*I *6875:C1 I *D sky130_fd_sc_hd__a221o_1
*I *6864:C1 I *D sky130_fd_sc_hd__a221o_1
*I *6871:C1 I *D sky130_fd_sc_hd__a221o_1
*I *6863:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
1 *6875:C1 0
2 *6864:C1 0
3 *6871:C1 7.72983e-05
4 *6863:Y 0.00204814
5 *692:22 0.000566163
6 *692:17 0.000937952
7 *692:7 0.00249723
8 *6871:C1 *6864:B2 0.000167579
9 *692:7 *1096:263 5.07314e-05
10 *692:7 *1096:272 9.47507e-05
11 *692:7 *1117:51 0.00279862
12 *692:7 *1120:55 3.93679e-06
13 *692:17 *6875:B2 1.43779e-05
14 *692:17 *6876:B 0.000346053
15 *692:17 *1013:8 5.01524e-05
16 *692:17 *1013:25 3.37402e-05
17 *692:17 *1014:21 0.000166548
18 *692:22 *6864:B2 1.07248e-05
19 *692:22 *6865:B 0
20 *692:22 *6870:B2 0
21 *692:22 *6876:B 2.82583e-05
22 *692:22 *1013:25 6.50727e-05
23 *6863:A *692:7 1.41976e-05
24 *6872:A *6871:C1 6.76088e-05
25 *6872:A *692:22 6.15805e-05
26 *6875:B1 *692:17 0.00077553
27 *7335:D *692:7 0.000100285
28 *7335:D *692:17 1.79672e-05
29 *502:36 *692:22 0.000412036
30 *571:29 *692:17 0
31 *577:46 *692:22 0.000383717
32 *610:12 *692:22 1.24386e-05
33 *688:56 *692:17 0.000166548
34 *691:30 *692:22 8.71055e-05
*RES
1 *6863:Y *692:7 49.9672
2 *692:7 *692:17 21.1319
3 *692:17 *692:22 22.0213
4 *692:22 *6871:C1 16.8269
5 *692:22 *6864:C1 13.7491
6 *692:17 *6875:C1 9.24915
*END
*D_NET *693 0.000902363
*CONN
*I *6865:B I *D sky130_fd_sc_hd__and2_1
*I *6864:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *6865:B 0.000214184
2 *6864:X 0.000214184
3 *6865:B *6864:A1 1.05272e-06
4 *6865:B *6870:B2 0.000189126
5 *6865:B *1009:24 8.90486e-05
6 *6865:B *1012:24 0.000166542
7 *7470:A *6865:B 2.82251e-05
8 *692:22 *6865:B 0
*RES
1 *6864:X *6865:B 34.6271
*END
*D_NET *694 0.00520646
*CONN
*I *6866:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6865:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6866:A 0
2 *6865:X 0.00107512
3 *694:13 0.00107512
4 *694:13 *7573:A 0.000829242
5 *694:13 *976:9 1.67988e-05
6 *694:13 *982:15 4.33655e-05
7 *694:13 *1009:24 0.000368568
8 *694:13 *1010:14 0.000207756
9 *694:13 *1012:24 2.72058e-05
10 *694:13 *1062:50 1.5714e-05
11 *694:13 *1068:10 4.3116e-06
12 *694:13 *1096:180 6.50727e-05
13 *7467:A *694:13 0.000370829
14 *502:37 *694:13 0.000995201
15 *578:34 *694:13 0.000112163
*RES
1 *6865:X *694:13 46.3699
2 *694:13 *6866:A 9.24915
*END
*D_NET *695 0.0147473
*CONN
*I *6878:B1 I *D sky130_fd_sc_hd__o221a_1
*I *6870:B1 I *D sky130_fd_sc_hd__o221a_1
*I *6874:B1 I *D sky130_fd_sc_hd__o221a_1
*I *6867:Y O *D sky130_fd_sc_hd__nand2_2
*CAP
1 *6878:B1 0
2 *6870:B1 2.74012e-05
3 *6874:B1 0.000341636
4 *6867:Y 0.00253085
5 *695:27 0.000628428
6 *695:20 0.00203391
7 *695:16 0.00430537
8 *6874:B1 *6870:B2 2.41483e-05
9 *6874:B1 *6874:B2 8.39941e-06
10 *6874:B1 *6874:C1 0.000319954
11 *6874:B1 *697:15 6.50727e-05
12 *6874:B1 *1012:16 5.76947e-06
13 *6874:B1 *1014:21 3.86732e-05
14 *695:16 *1022:14 3.32986e-05
15 *695:16 *1044:12 5.66868e-06
16 *695:16 *1078:8 1.5714e-05
17 *695:20 *696:31 4.81849e-05
18 *695:20 *1013:8 0.000127164
19 *695:20 *1070:8 0.00040261
20 *695:20 *1096:278 0.000555911
21 *695:20 *1123:32 5.90788e-05
22 *695:27 *6870:B2 1.00846e-05
23 *695:27 *1009:24 9.80242e-07
24 *695:27 *1013:8 2.49141e-05
25 *695:27 *1013:13 0.000204483
26 *695:27 *1070:8 0.000313195
27 *6754:A *695:16 2.16355e-05
28 *6847:B *695:20 5.62462e-05
29 *6870:A2 *695:27 5.04829e-06
30 *7335:D *695:20 0
31 *587:38 *695:16 7.08723e-06
32 *602:12 *695:16 5.56367e-05
33 *605:40 *6874:B1 0.000178863
34 *609:8 *695:16 4.62983e-05
35 *617:9 *695:16 0.000107496
36 *622:30 *695:20 9.0164e-06
37 *626:33 *695:20 0.000274482
38 *626:48 *695:16 0.00181339
39 *647:52 *695:16 1.00981e-05
40 *688:56 *695:27 3.10924e-05
*RES
1 *6867:Y *695:16 35.6826
2 *695:16 *695:20 37.8261
3 *695:20 *695:27 12.3412
4 *695:27 *6874:B1 27.3712
5 *695:27 *6870:B1 9.82786
6 *695:20 *6878:B1 13.7491
*END
*D_NET *696 0.0351391
*CONN
*I *6869:A I *D sky130_fd_sc_hd__nor2_1
*I *6990:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6889:C1 I *D sky130_fd_sc_hd__a311oi_1
*I *6882:C1 I *D sky130_fd_sc_hd__a311oi_1
*I *6953:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6868:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6869:A 0
2 *6990:A1 0
3 *6889:C1 0.00107929
4 *6882:C1 1.47608e-05
5 *6953:A1 0.000403987
6 *6868:X 0
7 *696:89 0.0015108
8 *696:86 0.00213648
9 *696:65 0.00252535
10 *696:50 0.000934738
11 *696:48 0.00146419
12 *696:39 0.00325658
13 *696:31 0.00257302
14 *696:4 0.00108502
15 *6882:C1 *702:21 6.08467e-05
16 *6889:C1 *6759:B_N 0.000164815
17 *6889:C1 *6889:A1 0.000175485
18 *6889:C1 *6889:A2 9.9028e-05
19 *6889:C1 *1152:20 0.000193069
20 *6953:A1 *6947:A_N 4.60197e-05
21 *6953:A1 *6950:B 1.9101e-05
22 *6953:A1 *1098:47 5.0715e-05
23 *6953:A1 *1136:17 0.00021569
24 *6953:A1 *1137:11 9.04224e-05
25 *6953:A1 *1138:36 0
26 *6953:A1 *1141:26 0.000142337
27 *696:31 *6944:A3 0.000124674
28 *696:31 *1123:32 0.00171289
29 *696:31 *1136:17 0.000295086
30 *696:39 *1096:1123 0
31 *696:39 *1110:42 0.000384438
32 *696:39 *1110:50 0.00187761
33 *696:48 *1089:16 0.000562454
34 *696:50 *6882:A3 0
35 *696:50 *740:14 0.000212506
36 *696:65 *6882:A3 0
37 *696:65 *6896:A 0.000134323
38 *696:65 *6906:B 0.00031994
39 *696:65 *740:14 0.000139435
40 *696:65 *740:31 0
41 *696:86 *6980:A 9.37427e-05
42 *696:86 *6980:B 0.000297357
43 *696:86 *740:31 0
44 *696:86 *1133:20 1.14755e-05
45 *696:89 *6990:B1 2.20702e-05
46 *696:89 *7127:A 0.000213725
47 *696:89 *831:43 0.000704796
48 *696:89 *880:24 0.000719933
49 *696:89 *880:36 0.000141457
50 *6838:A2 *696:48 0.00016345
51 *6842:A1 *696:48 0.000194914
52 *6882:A1 *696:48 0.000531465
53 *6882:A1 *696:50 0.000202031
54 *6882:B1 *696:48 7.7821e-05
55 *6882:B1 *696:50 8.35615e-06
56 *6944:A1 *696:31 0.000188401
57 *6944:B1 *696:31 2.22342e-05
58 *6989:A *696:89 0.000489918
59 *7325:D *696:39 7.02539e-05
60 *7336:D *6882:C1 6.08467e-05
61 *522:49 *696:65 7.12632e-06
62 *522:58 *696:65 0.00028263
63 *529:43 *696:48 0.0011116
64 *533:8 *6953:A1 0
65 *533:47 *696:86 0.000951347
66 *534:8 *696:86 4.3114e-05
67 *534:20 *696:86 0
68 *538:21 *6889:C1 4.84066e-05
69 *586:49 *6889:C1 0.00106484
70 *594:48 *696:48 0.000112657
71 *595:40 *696:65 0.000348025
72 *595:40 *696:86 3.14544e-05
73 *614:11 *6889:C1 0.00020502
74 *622:10 *696:48 0.000189939
75 *622:30 *696:39 4.70267e-05
76 *626:33 *696:31 0.00229195
77 *645:8 *696:50 0
78 *663:8 *696:48 0.000136483
79 *695:20 *696:31 4.81849e-05
*RES
1 *6868:X *696:4 9.24915
2 *696:4 *6953:A1 29.7233
3 *696:4 *696:31 42.7231
4 *696:31 *696:39 46.9738
5 *696:39 *696:48 45.4913
6 *696:48 *696:50 4.53113
7 *696:50 *6882:C1 14.4725
8 *696:50 *696:65 31.94
9 *696:65 *6889:C1 36.8737
10 *696:65 *696:86 26.4839
11 *696:86 *696:89 41.2336
12 *696:89 *6990:A1 9.24915
13 *696:31 *6869:A 9.24915
*END
*D_NET *697 0.00450268
*CONN
*I *6878:C1 I *D sky130_fd_sc_hd__o221a_1
*I *6870:C1 I *D sky130_fd_sc_hd__o221a_1
*I *6874:C1 I *D sky130_fd_sc_hd__o221a_1
*I *6869:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6878:C1 0
2 *6870:C1 0
3 *6874:C1 0.000276372
4 *6869:Y 0.000298068
5 *697:15 0.000566815
6 *697:8 0.000588511
7 *6874:C1 *6870:B2 0.00056613
8 *6874:C1 *6874:B2 2.82583e-05
9 *6874:C1 *982:15 1.92336e-05
10 *6874:C1 *1012:16 0.000262258
11 *6874:C1 *1012:24 2.16355e-05
12 *6874:C1 *1013:25 0.000172096
13 *697:8 *1070:8 0.00030752
14 *697:8 *1142:30 0.000128828
15 *697:15 *6870:B2 5.08751e-05
16 *697:15 *1070:8 0.000352193
17 *697:15 *1142:30 0.000139608
18 *6874:A2 *6874:C1 0
19 *6874:B1 *6874:C1 0.000319954
20 *6874:B1 *697:15 6.50727e-05
21 *605:40 *6874:C1 7.46669e-05
22 *626:33 *697:8 0.000264586
*RES
1 *6869:Y *697:8 22.2602
2 *697:8 *697:15 12.7712
3 *697:15 *6874:C1 30.4229
4 *697:15 *6870:C1 9.24915
5 *697:8 *6878:C1 13.7491
*END
*D_NET *698 0.00116271
*CONN
*I *6872:B I *D sky130_fd_sc_hd__and2_1
*I *6871:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *6872:B 0.000284616
2 *6871:X 0.000284616
3 *6872:B *6864:B2 2.29201e-05
4 *6872:B *7540:A 1.05272e-06
5 *6872:B *994:32 1.9101e-05
6 *6872:B *994:36 2.64093e-05
7 *6872:B *1013:25 0.00021569
8 sram_addr1[7] *6872:B 8.39059e-05
9 sram_din0[7] *6872:B 0.000224395
10 *7470:A *6872:B 0
*RES
1 *6871:X *6872:B 34.9352
*END
*D_NET *699 0.00182992
*CONN
*I *6873:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6872:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6873:A 0.000356672
2 *6872:X 0.000356672
3 *6873:A *6864:B2 4.3116e-06
4 *6873:A *6871:B2 0.000103139
5 *6873:A *1063:57 0.000683001
6 sram_addr1[7] *6873:A 0.000164815
7 sram_din0[7] *6873:A 3.92275e-05
8 *6872:A *6873:A 0.000122083
*RES
1 *6872:X *6873:A 39.5355
*END
*D_NET *700 0.00129103
*CONN
*I *6876:B I *D sky130_fd_sc_hd__and2_1
*I *6875:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *6876:B 0.000382254
2 *6875:X 0.000382254
3 *6876:B *1096:235 0.000144085
4 *583:34 *6876:B 0
5 *691:25 *6876:B 8.12388e-06
6 *692:17 *6876:B 0.000346053
7 *692:22 *6876:B 2.82583e-05
*RES
1 *6875:X *6876:B 35.321
*END
*D_NET *701 0.000440498
*CONN
*I *6877:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6876:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6877:A 0.00015028
2 *6876:X 0.00015028
3 *605:29 *6877:A 7.48797e-05
4 *691:25 *6877:A 6.50586e-05
*RES
1 *6876:X *6877:A 22.5493
*END
*D_NET *702 0.0156712
*CONN
*I *7258:A I *D sky130_fd_sc_hd__and2_1
*I *6911:A I *D sky130_fd_sc_hd__or4b_1
*I *6895:A_N I *D sky130_fd_sc_hd__and2b_1
*I *6881:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6880:A I *D sky130_fd_sc_hd__or3b_1
*I *6879:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7258:A 0.000150736
2 *6911:A 2.19858e-05
3 *6895:A_N 0
4 *6881:A1 7.54608e-05
5 *6880:A 0.000150227
6 *6879:X 0
7 *702:43 0.000435301
8 *702:21 0.000575397
9 *702:15 0.00177382
10 *702:14 0.00165439
11 *702:9 0.000570815
12 *702:4 0.000603116
13 *6880:A *6880:B 0.000139435
14 *6880:A *1107:48 5.82465e-05
15 *6881:A1 *6880:B 0.00017419
16 *6881:A1 *1107:48 0.000112199
17 *6881:A1 *1131:46 7.81379e-05
18 *6911:A *6908:B1 6.50586e-05
19 *7258:A *714:8 7.93324e-05
20 *7258:A *714:10 1.2693e-05
21 *7258:A *725:6 0.000122083
22 *702:14 *7317:CLK 0
23 *702:14 *966:12 8.4299e-05
24 *702:14 *1096:570 0
25 *702:14 *1096:572 0
26 *702:15 *6901:A 6.50727e-05
27 *702:15 *6901:B 9.14669e-05
28 *702:15 *6902:C1 0.000213885
29 *702:15 *6907:A1 0.00103266
30 *702:15 *715:9 0.000446163
31 *702:15 *719:11 0.000363613
32 *702:43 *6879:A 0.000101133
33 *702:43 *6895:B 1.69932e-05
34 *702:43 *6908:B1 3.1218e-05
35 *702:43 *714:10 7.09685e-05
36 *702:43 *1149:20 0.000101133
37 *702:43 *1154:8 4.59164e-06
38 *6882:A1 *702:21 6.50586e-05
39 *6882:B1 *702:15 6.50586e-05
40 *6882:B1 *702:21 4.91225e-06
41 *6882:C1 *702:21 6.08467e-05
42 *6907:B1 *702:15 6.22703e-05
43 *7258:B *7258:A 5.08751e-05
44 *7336:D *702:21 0.00046988
45 *7343:D *702:15 0.000118796
46 *484:50 *702:9 0.00045658
47 *500:20 *6880:A 0.000457669
48 *508:11 *702:15 0.00173227
49 *525:61 *7258:A 0.000180515
50 *618:9 *7258:A 0.000373047
51 *618:16 *7258:A 0
52 *618:16 *702:43 0
53 *619:26 *702:15 0.00164415
54 *634:19 *6880:A 0.000453457
*RES
1 *6879:X *702:4 9.24915
2 *702:4 *702:9 7.57154
3 *702:9 *702:14 14.5693
4 *702:14 *702:15 58.9568
5 *702:15 *702:21 14.3864
6 *702:21 *6880:A 21.5719
7 *702:21 *6881:A1 18.0727
8 *702:9 *6895:A_N 9.24915
9 *702:4 *702:43 12.1455
10 *702:43 *6911:A 14.4725
11 *702:43 *7258:A 21.8478
*END
*D_NET *703 0.00213288
*CONN
*I *6882:A2 I *D sky130_fd_sc_hd__a311oi_1
*I *6880:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *6882:A2 0.000608071
2 *6880:X 0.000608071
3 *6882:A1 *6882:A2 3.00073e-05
4 *634:19 *6882:A2 0.000856723
5 *645:8 *6882:A2 3.00073e-05
*RES
1 *6880:X *6882:A2 38.5121
*END
*D_NET *704 0.00115118
*CONN
*I *6882:A3 I *D sky130_fd_sc_hd__a311oi_1
*I *6881:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6882:A3 0.000321454
2 *6881:Y 0.000321454
3 *6882:A3 *6906:B 0.000360145
4 *645:8 *6882:A3 0.000148129
5 *696:50 *6882:A3 0
6 *696:65 *6882:A3 0
*RES
1 *6881:Y *6882:A3 34.2118
*END
*D_NET *705 0.00647208
*CONN
*I *6925:A2 I *D sky130_fd_sc_hd__a31o_1
*I *6887:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6890:C_N I *D sky130_fd_sc_hd__or3b_1
*I *6885:A I *D sky130_fd_sc_hd__nand2_1
*I *6901:A I *D sky130_fd_sc_hd__and2_1
*I *6883:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6925:A2 0.000274498
2 *6887:A 0
3 *6890:C_N 0.000175805
4 *6885:A 0.000169678
5 *6901:A 0.000265948
6 *6883:X 5.93071e-05
7 *705:37 0.000416918
8 *705:28 0.000654329
9 *705:8 0.000551752
10 *705:7 0.000511537
11 *6885:A *6885:B 1.64789e-05
12 *6885:A *706:7 0.000844897
13 *6890:C_N *6890:A 0.000164829
14 *6890:C_N *710:8 7.48633e-05
15 *6901:A *6898:A2 0
16 *6901:A *710:12 7.0549e-05
17 *6901:A *719:11 3.14978e-05
18 *6901:A *1103:65 0
19 *6925:A2 *6926:B 0.000523679
20 *6925:A2 *708:11 7.89747e-05
21 *6925:A2 *1096:611 6.31665e-05
22 *6925:A2 *1147:11 7.24449e-05
23 *705:7 *6582:A 6.50727e-05
24 *705:7 *1149:29 0.000207266
25 *705:8 *710:12 8.69486e-05
26 *705:8 *1154:14 7.13655e-06
27 *705:8 *1154:24 8.42781e-05
28 *705:28 *710:8 4.03125e-05
29 *705:28 *710:12 0.000122826
30 *705:28 *1096:611 1.32509e-05
31 *705:28 *1154:24 7.84205e-05
32 *705:28 *1155:10 0.000122098
33 *705:37 *1096:611 8.65382e-05
34 *6583:A *6885:A 3.04234e-06
35 *6679:A *6925:A2 0
36 *6679:A *705:28 0
37 *6679:A *705:37 0
38 *6748:A1 *6885:A 0.000312592
39 *6898:A1 *6901:A 0
40 *6900:A1 *705:28 0
41 *484:5 *6885:A 2.15532e-05
42 *484:50 *6885:A 0.000108585
43 *541:5 *705:7 2.59398e-05
44 *599:22 *6901:A 0
45 *702:15 *6901:A 6.50727e-05
*RES
1 *6883:X *705:7 16.1364
2 *705:7 *705:8 3.90826
3 *705:8 *6901:A 20.4571
4 *705:8 *6885:A 23.9249
5 *705:7 *705:28 10.137
6 *705:28 *6890:C_N 18.9094
7 *705:28 *705:37 4.32351
8 *705:37 *6887:A 13.7491
9 *705:37 *6925:A2 23.7903
*END
*D_NET *706 0.00951759
*CONN
*I *6885:B I *D sky130_fd_sc_hd__nand2_1
*I *6890:A I *D sky130_fd_sc_hd__or3b_1
*I *6888:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6889:A2 I *D sky130_fd_sc_hd__a311oi_1
*I *6902:C1 I *D sky130_fd_sc_hd__a211o_1
*I *6884:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6885:B 1.5243e-05
2 *6890:A 0.00027901
3 *6888:A2 0.000196652
4 *6889:A2 0.000107241
5 *6902:C1 0.000475429
6 *6884:X 0.000595118
7 *706:24 0.000387051
8 *706:23 0.000908981
9 *706:11 0.00114713
10 *706:7 0.000735249
11 *6888:A2 *6888:B1 8.92568e-06
12 *6888:A2 *6889:A1 0.000311578
13 *6888:A2 *1044:18 0
14 *6889:A2 *6889:A1 4.6284e-05
15 *6902:C1 *6907:A1 0.00049786
16 *6902:C1 *719:11 0.000409856
17 *6902:C1 *1044:12 0
18 *6902:C1 *1149:29 0
19 *706:23 *6889:A1 0
20 *706:23 *1044:12 0
21 *706:23 *1044:18 0
22 *706:23 *1149:29 0
23 *6747:A *706:23 0.00011126
24 *6748:A1 *6885:B 0
25 *6748:A1 *706:7 1.777e-05
26 *6748:A1 *706:11 0.000224442
27 *6885:A *6885:B 1.64789e-05
28 *6885:A *706:7 0.000844897
29 *6889:C1 *6889:A2 9.9028e-05
30 *6890:C_N *6890:A 0.000164829
31 *6891:C *6888:A2 0
32 *6891:C *706:23 6.19155e-05
33 *538:21 *6889:A2 0.000472818
34 *538:21 *6890:A 0.000377273
35 *538:21 *706:24 0.000326398
36 *560:44 *6888:A2 0
37 *560:44 *6890:A 0.0002607
38 *608:10 *6902:C1 0.000184946
39 *619:22 *6902:C1 1.93378e-05
40 *702:15 *6902:C1 0.000213885
*RES
1 *6884:X *706:7 19.9795
2 *706:7 *706:11 8.55102
3 *706:11 *6902:C1 31.4098
4 *706:11 *706:23 18.7896
5 *706:23 *706:24 3.49641
6 *706:24 *6889:A2 14.9881
7 *706:24 *6888:A2 24.6489
8 *706:23 *6890:A 20.51
9 *706:7 *6885:B 9.82786
*END
*D_NET *707 0.00942219
*CONN
*I *6898:A2 I *D sky130_fd_sc_hd__o211ai_1
*I *6886:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6905:B I *D sky130_fd_sc_hd__and3_1
*I *6885:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6898:A2 0.000556228
2 *6886:A2 0.000179864
3 *6905:B 0.000710702
4 *6885:Y 0
5 *707:7 0.00197472
6 *707:4 0.00164038
7 *6886:A2 *6758:B_N 0.000107496
8 *6886:A2 *6886:A1 0.000211478
9 *6898:A2 *1154:14 0
10 *6898:A2 *1154:24 0
11 *6905:B *6902:A1 0.000113968
12 *6905:B *6905:A 3.89644e-05
13 *6749:B *6905:B 4.43826e-05
14 *6898:A1 *6898:A2 1.07248e-05
15 *6901:A *6898:A2 0
16 *484:5 *6898:A2 0.000465078
17 *484:5 *707:7 0.00125654
18 *484:11 *707:7 0.00049362
19 *484:50 *6898:A2 0.000160617
20 *523:15 *6886:A2 0.00011708
21 *547:39 *6905:B 1.4091e-06
22 *561:8 *6886:A2 0.000304451
23 *561:8 *6905:B 0.000210992
24 *561:10 *6905:B 0.000111311
25 *599:22 *6898:A2 8.90323e-05
26 *614:11 *6886:A2 0.000311578
27 *614:11 *6905:B 0.000311578
*RES
1 *6885:Y *707:4 9.24915
2 *707:4 *707:7 23.5253
3 *707:7 *6905:B 27.8063
4 *707:7 *6886:A2 22.8148
5 *707:4 *6898:A2 29.3967
*END
*D_NET *708 0.0105843
*CONN
*I *6918:B I *D sky130_fd_sc_hd__and4b_1
*I *6922:A I *D sky130_fd_sc_hd__and4_1
*I *6929:A I *D sky130_fd_sc_hd__and3_1
*I *6888:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6889:A1 I *D sky130_fd_sc_hd__a311oi_1
*I *6887:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6918:B 0.000391708
2 *6922:A 0
3 *6929:A 0
4 *6888:A1 0
5 *6889:A1 0.000419041
6 *6887:X 0.000170105
7 *708:41 0.000832373
8 *708:30 0.000944964
9 *708:11 0.00177899
10 *708:8 0.00203435
11 *6889:A1 *6888:B1 3.00073e-05
12 *6889:A1 *1044:18 8.84036e-05
13 *708:8 *1103:65 7.14746e-05
14 *708:11 *6926:B 6.84886e-05
15 *708:30 *6925:A3 0
16 *708:30 *1096:939 3.94997e-05
17 *708:30 *1103:65 0.00031316
18 *708:30 *1147:11 0
19 *708:41 *6922:D 8.62625e-06
20 *708:41 *6928:A1 0.000148823
21 *708:41 *6929:C 0.00036225
22 *6888:A2 *6889:A1 0.000311578
23 *6889:A2 *6889:A1 4.6284e-05
24 *6889:C1 *6889:A1 0.000175485
25 *6891:C *708:8 6.73186e-05
26 *6918:C *6918:B 0.000894242
27 *6925:A2 *708:11 7.89747e-05
28 *6926:A *708:11 5.64607e-05
29 *558:37 *708:30 0.000388808
30 *558:37 *708:41 5.56461e-05
31 *558:49 *6918:B 0.00011818
32 *558:49 *708:41 0.000571876
33 *560:44 *708:11 0.000117185
34 *706:23 *6889:A1 0
*RES
1 *6887:X *708:8 16.9985
2 *708:8 *708:11 25.1891
3 *708:11 *6889:A1 29.1152
4 *708:11 *6888:A1 9.24915
5 *708:8 *708:30 20.7727
6 *708:30 *6929:A 9.24915
7 *708:30 *708:41 24.0354
8 *708:41 *6922:A 9.24915
9 *708:41 *6918:B 30.6803
*END
*D_NET *709 0.00118029
*CONN
*I *6889:B1 I *D sky130_fd_sc_hd__a311oi_1
*I *6888:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6889:B1 0.000180275
2 *6888:Y 0.000180275
3 *6889:B1 *1022:18 5.19081e-05
4 *6889:B1 *1044:18 8.61791e-05
5 *6889:B1 *1096:631 0.000195139
6 *6926:A *6889:B1 0.000164843
7 *7338:D *6889:B1 0.000156823
8 *560:44 *6889:B1 0.000164843
*RES
1 *6888:Y *6889:B1 35.7307
*END
*D_NET *710 0.00337864
*CONN
*I *6900:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6899:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6898:B1 I *D sky130_fd_sc_hd__o211ai_1
*I *6890:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *6900:A2 0
2 *6899:A1 7.40488e-05
3 *6898:B1 7.25102e-05
4 *6890:X 0.000249395
5 *710:12 0.000529353
6 *710:8 0.00063219
7 *6898:B1 *6898:C1 3.14978e-05
8 *6898:B1 *6899:B1 0.000222149
9 *6899:A1 *6898:C1 0
10 *6899:A1 *6899:B1 7.48149e-05
11 *710:8 *1096:611 0.000122068
12 *710:12 *1096:600 0.000452027
13 *710:12 *1096:611 0.000188012
14 *710:12 *1103:65 3.71467e-05
15 *710:12 *1155:10 0.000118485
16 *6890:C_N *710:8 7.48633e-05
17 *6901:A *710:12 7.0549e-05
18 *7339:D *710:12 4.11147e-05
19 *547:39 *6898:B1 5.80004e-05
20 *547:39 *6899:A1 8.03308e-05
21 *705:8 *710:12 8.69486e-05
22 *705:28 *710:8 4.03125e-05
23 *705:28 *710:12 0.000122826
*RES
1 *6890:X *710:8 18.9382
2 *710:8 *710:12 18.3743
3 *710:12 *6898:B1 12.7456
4 *710:12 *6899:A1 12.8782
5 *710:8 *6900:A2 13.7491
*END
*D_NET *711 0.000817687
*CONN
*I *6894:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6891:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6894:A1 0.00022792
2 *6891:X 0.00022792
3 *6894:A1 *6891:A 2.15184e-05
4 *6894:A1 *6924:B 0.000217951
5 *6894:A1 *713:9 0.000122378
*RES
1 *6891:X *6894:A1 22.5493
*END
*D_NET *712 0.000825374
*CONN
*I *6894:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6892:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6894:A2 0.000375255
2 *6892:Y 0.000375255
3 *6894:A2 *6892:A 7.48633e-05
*RES
1 *6892:Y *6894:A2 33.7966
*END
*D_NET *713 0.00273961
*CONN
*I *6894:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6924:B I *D sky130_fd_sc_hd__nor3_1
*I *6893:Y O *D sky130_fd_sc_hd__nand3_1
*CAP
1 *6894:B1 0
2 *6924:B 0.000148832
3 *6893:Y 0.00079109
4 *713:9 0.000939921
5 *6924:B *6891:A 0.000173163
6 *6924:B *714:8 3.072e-06
7 *6924:B *966:12 8.71199e-05
8 *713:9 *6915:B 0.000171288
9 *713:9 *714:8 6.11359e-06
10 *713:9 *728:15 7.86847e-05
11 *6894:A1 *6924:B 0.000217951
12 *6894:A1 *713:9 0.000122378
13 *534:46 *713:9 0
*RES
1 *6893:Y *713:9 37.4426
2 *713:9 *6924:B 24.1294
3 *713:9 *6894:B1 9.24915
*END
*D_NET *714 0.00245852
*CONN
*I *6911:C I *D sky130_fd_sc_hd__or4b_1
*I *6908:A3 I *D sky130_fd_sc_hd__o31ai_2
*I *6895:B I *D sky130_fd_sc_hd__and2b_1
*I *6894:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6911:C 0
2 *6908:A3 1.26312e-05
3 *6895:B 0.000171052
4 *6894:Y 0.000361798
5 *714:10 0.000259587
6 *714:8 0.000437701
7 *6895:B *6879:A 3.31882e-05
8 *6895:B *6911:B 1.07248e-05
9 *6895:B *1096:570 0.000328363
10 *6895:B *1149:20 9.40059e-05
11 *6895:B *1154:40 0.000174205
12 *6908:A3 *1149:20 6.50727e-05
13 *714:8 *6911:B 5.2092e-05
14 *714:8 *6924:C 4.10825e-05
15 *714:8 *725:6 1.32509e-05
16 *714:8 *966:12 0
17 *714:10 *6911:B 0.000188012
18 *6924:B *714:8 3.072e-06
19 *7258:A *714:8 7.93324e-05
20 *7258:A *714:10 1.2693e-05
21 *541:5 *6908:A3 2.65831e-05
22 *702:43 *6895:B 1.69932e-05
23 *702:43 *714:10 7.09685e-05
24 *713:9 *714:8 6.11359e-06
*RES
1 *6894:Y *714:8 23.3638
2 *714:8 *714:10 3.493
3 *714:10 *6895:B 20.3233
4 *714:10 *6908:A3 14.4725
5 *714:8 *6911:C 13.7491
*END
*D_NET *715 0.00284405
*CONN
*I *6899:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6901:B I *D sky130_fd_sc_hd__and2_1
*I *6895:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *6899:A2 0.000200488
2 *6901:B 5.46037e-05
3 *6895:X 0.000552047
4 *715:9 0.00080714
5 *6899:A2 *6899:B1 7.93303e-06
6 *6899:A2 *1103:65 5.32239e-05
7 *6901:B *719:11 0.000115934
8 *715:9 *7317:CLK 0.000296719
9 *715:9 *966:12 0.00014998
10 *715:9 *1154:8 0
11 *6899:C1 *6899:A2 0
12 *508:11 *715:9 6.04131e-05
13 *547:39 *6899:A2 7.93303e-06
14 *702:15 *6901:B 9.14669e-05
15 *702:15 *715:9 0.000446163
*RES
1 *6895:X *715:9 37.7129
2 *715:9 *6901:B 11.6364
3 *715:9 *6899:A2 22.7097
*END
*D_NET *716 0.00111921
*CONN
*I *6897:B1 I *D sky130_fd_sc_hd__a211o_1
*I *6896:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6897:B1 0.000161947
2 *6896:Y 0.000161947
3 *6748:A2 *6897:B1 3.82228e-05
4 *6897:A1 *6897:B1 4.80635e-06
5 *6897:A2 *6897:B1 6.08467e-05
6 *484:11 *6897:B1 0.000544481
7 *484:23 *6897:B1 3.75603e-05
8 *522:58 *6897:B1 0.000109394
*RES
1 *6896:Y *6897:B1 25.3464
*END
*D_NET *717 0.00498314
*CONN
*I *6898:C1 I *D sky130_fd_sc_hd__o211ai_1
*I *6905:C I *D sky130_fd_sc_hd__and3_1
*I *6897:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *6898:C1 0.000271197
2 *6905:C 0
3 *6897:X 0.0004149
4 *717:11 0.000686097
5 *6898:C1 *6749:A 0.000176388
6 *6748:A2 *717:11 0.000326544
7 *6749:B *6898:C1 0.00045305
8 *6749:B *717:11 8.12985e-05
9 *6753:B *6898:C1 0.000330596
10 *6898:B1 *6898:C1 3.14978e-05
11 *6899:A1 *6898:C1 0
12 *522:58 *717:11 0.000160617
13 *547:39 *6898:C1 0.00175627
14 *547:39 *717:11 7.70866e-05
15 *602:12 *717:11 9.24241e-05
16 *603:6 *717:11 9.24241e-05
17 *604:8 *6898:C1 4.89898e-06
18 *608:10 *6898:C1 2.78547e-05
*RES
1 *6897:X *717:11 26.7123
2 *717:11 *6905:C 9.24915
3 *717:11 *6898:C1 28.6603
*END
*D_NET *718 0.000813206
*CONN
*I *6899:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6898:Y O *D sky130_fd_sc_hd__o211ai_1
*CAP
1 *6899:B1 0.000243379
2 *6898:Y 0.000243379
3 *6898:B1 *6899:B1 0.000222149
4 *6899:A1 *6899:B1 7.48149e-05
5 *6899:A2 *6899:B1 7.93303e-06
6 *6899:C1 *6899:B1 4.31603e-06
7 *547:39 *6899:B1 1.7236e-05
*RES
1 *6898:Y *6899:B1 25.2018
*END
*D_NET *719 0.00441105
*CONN
*I *6903:A2 I *D sky130_fd_sc_hd__o21ba_1
*I *6902:A2 I *D sky130_fd_sc_hd__a211o_1
*I *6901:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6903:A2 4.36237e-05
2 *6902:A2 4.02662e-05
3 *6901:X 0.00151204
4 *719:11 0.00159593
5 *6902:A2 *6902:A1 1.07248e-05
6 *6902:A2 *1011:18 2.01595e-05
7 *6903:A2 *1011:18 0.000127164
8 *719:11 *6907:A1 2.37827e-05
9 *6901:A *719:11 3.14978e-05
10 *6901:B *719:11 0.000115934
11 *6902:C1 *719:11 0.000409856
12 *614:11 *6902:A2 6.28168e-05
13 *614:11 *6903:A2 5.36397e-05
14 *702:15 *719:11 0.000363613
*RES
1 *6901:X *719:11 44.614
2 *719:11 *6902:A2 15.4834
3 *719:11 *6903:A2 15.9964
*END
*D_NET *720 0.00032614
*CONN
*I *6903:B1_N I *D sky130_fd_sc_hd__o21ba_1
*I *6902:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *6903:B1_N 3.22933e-05
2 *6902:X 3.22933e-05
3 *561:10 *6903:B1_N 0.000130777
4 *614:11 *6903:B1_N 0.000130777
*RES
1 *6902:X *6903:B1_N 29.7455
*END
*D_NET *721 0.00264071
*CONN
*I *6907:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6905:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6907:A1 0.000394236
2 *6905:X 0.000394236
3 *6907:A1 *1044:12 0.000113374
4 *6749:B *6907:A1 1.03403e-05
5 *6902:C1 *6907:A1 0.00049786
6 *6907:B1 *6907:A1 6.08467e-05
7 *603:6 *6907:A1 0.000113374
8 *702:15 *6907:A1 0.00103266
9 *719:11 *6907:A1 2.37827e-05
*RES
1 *6905:X *6907:A1 42.2844
*END
*D_NET *722 0.00131867
*CONN
*I *6907:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6906:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6907:A2 0.000518829
2 *6906:X 0.000518829
3 *6907:A2 *7343:CLK 3.6455e-05
4 *6907:A2 *967:12 7.50722e-05
5 *6907:A2 *1114:44 7.50722e-05
6 *6906:C *6907:A2 5.15114e-05
7 *7343:D *6907:A2 4.2898e-05
*RES
1 *6906:X *6907:A2 36.2937
*END
*D_NET *723 0.0045066
*CONN
*I *6915:B I *D sky130_fd_sc_hd__nand2_1
*I *6912:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6909:C I *D sky130_fd_sc_hd__and3_1
*I *6908:Y O *D sky130_fd_sc_hd__o31ai_2
*CAP
1 *6915:B 0.000400714
2 *6912:A1 0
3 *6909:C 0.000277921
4 *6908:Y 0.000262252
5 *723:20 0.000970661
6 *723:5 0.00111012
7 *6909:C *6910:A 0.000384206
8 *6915:B *728:15 0.000152239
9 *723:5 *6910:A 2.65667e-05
10 *723:20 *6913:B 0
11 *7344:D *723:5 0.000111708
12 *7345:D *6915:B 0
13 *7345:D *723:20 0
14 *541:5 *723:5 9.27488e-05
15 *541:5 *723:20 9.60622e-05
16 *547:39 *6909:C 0.000450119
17 *713:9 *6915:B 0.000171288
*RES
1 *6908:Y *723:5 16.0732
2 *723:5 *6909:C 28.7857
3 *723:5 *723:20 18.6909
4 *723:20 *6912:A1 13.7491
5 *723:20 *6915:B 25.4485
*END
*D_NET *724 0.00147548
*CONN
*I *6910:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6909:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6910:A 0.000338837
2 *6909:X 0.000338837
3 *6910:A *1096:565 0.000165481
4 *6636:B1 *6910:A 5.04535e-05
5 *6909:C *6910:A 0.000384206
6 *7344:D *6910:A 0.000144531
7 *541:5 *6910:A 2.65667e-05
8 *723:5 *6910:A 2.65667e-05
*RES
1 *6909:X *6910:A 39.3636
*END
*D_NET *725 0.00308892
*CONN
*I *6924:C I *D sky130_fd_sc_hd__nor3_1
*I *6912:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6911:X O *D sky130_fd_sc_hd__or4b_1
*CAP
1 *6924:C 0.000291572
2 *6912:A0 0.000385378
3 *6911:X 0.000108507
4 *725:6 0.000785457
5 *6924:C *6924:A 0.000175485
6 *7258:A *725:6 0.000122083
7 *7258:B *6912:A0 0.000171288
8 *473:5 *6912:A0 0.000647008
9 *525:61 *6912:A0 2.14065e-05
10 *618:9 *6912:A0 0.000326398
11 *618:16 *725:6 0
12 *714:8 *6924:C 4.10825e-05
13 *714:8 *725:6 1.32509e-05
*RES
1 *6911:X *725:6 16.8269
2 *725:6 *6912:A0 27.4213
3 *725:6 *6924:C 21.5719
*END
*D_NET *726 0.0002866
*CONN
*I *6913:B I *D sky130_fd_sc_hd__nor2_1
*I *6912:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6913:B 0.000105756
2 *6912:X 0.000105756
3 *6636:B1 *6913:B 0
4 *7345:D *6913:B 7.50872e-05
5 *525:61 *6913:B 0
6 *723:20 *6913:B 0
*RES
1 *6912:X *6913:B 30.1608
*END
*D_NET *727 0.00109266
*CONN
*I *6916:A I *D sky130_fd_sc_hd__nor2_1
*I *6917:A I *D sky130_fd_sc_hd__nand2_1
*I *6914:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6916:A 1.27394e-05
2 *6917:A 7.98888e-05
3 *6914:Y 0.000172697
4 *727:5 0.000265326
5 *6916:A *6917:B 4.80635e-06
6 *6916:A *1145:7 0
7 *6917:A *6917:B 0.000118003
8 *6917:A *6918:D 7.92757e-06
9 *6917:A *728:15 6.50586e-05
10 *6917:A *729:5 0.000171288
11 *6917:A *729:11 0.000118166
12 *6917:A *1146:24 4.33655e-05
13 *727:5 *6918:D 2.41274e-06
14 *727:5 *1145:7 1.43983e-05
15 *727:5 *1146:24 1.65872e-05
*RES
1 *6914:Y *727:5 13.3002
2 *727:5 *6917:A 13.8789
3 *727:5 *6916:A 9.82786
*END
*D_NET *728 0.00214417
*CONN
*I *6917:B I *D sky130_fd_sc_hd__nand2_1
*I *6916:B I *D sky130_fd_sc_hd__nor2_1
*I *6915:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6917:B 0.000102551
2 *6916:B 0
3 *6915:Y 0.000500611
4 *728:15 0.000603161
5 *6917:B *6918:D 0.000275256
6 *6917:B *729:11 2.82583e-05
7 *728:15 *6893:A 0.000148129
8 *728:15 *6918:A_N 0
9 *728:15 *6918:D 1.92172e-05
10 *728:15 *1146:8 4.81953e-05
11 *6915:B *728:15 0.000152239
12 *6916:A *6917:B 4.80635e-06
13 *6917:A *6917:B 0.000118003
14 *6917:A *728:15 6.50586e-05
15 *534:46 *728:15 0
16 *713:9 *728:15 7.86847e-05
*RES
1 *6915:Y *728:15 29.9119
2 *728:15 *6916:B 9.24915
3 *728:15 *6917:B 14.4576
*END
*D_NET *729 0.00360188
*CONN
*I *6918:A_N I *D sky130_fd_sc_hd__and4b_1
*I *6920:B I *D sky130_fd_sc_hd__or2_1
*I *6921:B I *D sky130_fd_sc_hd__nand2_1
*I *6928:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6916:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6918:A_N 0.00018428
2 *6920:B 1.17532e-05
3 *6921:B 0
4 *6928:A3 9.7344e-05
5 *6916:Y 1.22907e-05
6 *729:15 0.000171295
7 *729:11 0.000306244
8 *729:5 0.00041711
9 *6918:A_N *6918:D 0
10 *6918:A_N *1146:8 0
11 *6920:B *6921:A 0
12 *6920:B *1146:32 4.80635e-06
13 *6928:A3 *6925:A3 2.82583e-05
14 *6928:A3 *6928:A2 0.000221012
15 *6928:A3 *966:12 7.44124e-05
16 *6928:A3 *1148:8 5.68225e-06
17 *729:5 *1146:24 0.000159322
18 *729:11 *6922:C 1.19856e-05
19 *729:11 *6922:D 0.000139959
20 *729:11 *1146:24 0.00104993
21 *729:11 *1146:32 4.82966e-05
22 *729:15 *6921:A 4.80635e-06
23 *729:15 *6922:D 0.000147325
24 *729:15 *6925:A3 2.65667e-05
25 *729:15 *6928:A2 4.0752e-05
26 *729:15 *1146:32 0.000120742
27 *6917:A *729:5 0.000171288
28 *6917:A *729:11 0.000118166
29 *6917:B *729:11 2.82583e-05
30 *728:15 *6918:A_N 0
*RES
1 *6916:Y *729:5 11.0817
2 *729:5 *729:11 13.1176
3 *729:11 *729:15 4.62973
4 *729:15 *6928:A3 22.6049
5 *729:15 *6921:B 9.24915
6 *729:11 *6920:B 9.82786
7 *729:5 *6918:A_N 22.1574
*END
*D_NET *730 0.00105228
*CONN
*I *6918:D I *D sky130_fd_sc_hd__and4b_1
*I *6917:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6918:D 0.000324965
2 *6917:Y 0.000324965
3 *6918:D *1146:8 9.75356e-05
4 *6917:A *6918:D 7.92757e-06
5 *6917:B *6918:D 0.000275256
6 *6918:A_N *6918:D 0
7 *727:5 *6918:D 2.41274e-06
8 *728:15 *6918:D 1.92172e-05
*RES
1 *6917:Y *6918:D 33.7008
*END
*D_NET *731 0.000617235
*CONN
*I *6919:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6918:X O *D sky130_fd_sc_hd__and4b_1
*CAP
1 *6919:A 0.000308617
2 *6918:X 0.000308617
*RES
1 *6918:X *6919:A 25.3706
*END
*D_NET *732 0.000530658
*CONN
*I *6922:C I *D sky130_fd_sc_hd__and4_1
*I *6920:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6922:C 0.000210681
2 *6920:X 0.000210681
3 *6922:C *6922:D 3.00073e-05
4 *6922:C *1146:24 6.73022e-05
5 *729:11 *6922:C 1.19856e-05
*RES
1 *6920:X *6922:C 31.3022
*END
*D_NET *733 0.0037605
*CONN
*I *6922:D I *D sky130_fd_sc_hd__and4_1
*I *6925:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6921:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6922:D 0.000610318
2 *6925:A3 0.000942167
3 *6921:Y 0
4 *733:4 0.00155248
5 *6922:D *1146:24 7.65861e-05
6 *6925:A3 *1147:11 2.692e-05
7 *6918:C *6922:D 0.000106215
8 *6922:C *6922:D 3.00073e-05
9 *6928:A3 *6925:A3 2.82583e-05
10 *558:49 *6922:D 6.50727e-05
11 *708:30 *6925:A3 0
12 *708:41 *6922:D 8.62625e-06
13 *729:11 *6922:D 0.000139959
14 *729:15 *6922:D 0.000147325
15 *729:15 *6925:A3 2.65667e-05
*RES
1 *6921:Y *733:4 9.24915
2 *733:4 *6925:A3 32.8665
3 *733:4 *6922:D 31.3928
*END
*D_NET *734 0.000295819
*CONN
*I *6923:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6922:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *6923:A 0.000147909
2 *6922:X 0.000147909
*RES
1 *6922:X *6923:A 30.8842
*END
*D_NET *735 0.00198588
*CONN
*I *6925:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6924:Y O *D sky130_fd_sc_hd__nor3_1
*CAP
1 *6925:B1 0.000388875
2 *6924:Y 0.000388875
3 *6925:B1 *6892:B 9.97706e-05
4 *6925:B1 *6924:A 8.15817e-05
5 *6925:B1 *966:12 0.00031669
6 *6925:B1 *1147:17 0.000596133
7 *6925:B1 *1147:20 0.000113953
*RES
1 *6924:Y *6925:B1 41.7242
*END
*D_NET *736 0.00118856
*CONN
*I *6926:B I *D sky130_fd_sc_hd__and2_1
*I *6925:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *6926:B 0.000261159
2 *6925:X 0.000261159
3 *6926:B *1147:11 6.92705e-05
4 *6925:A2 *6926:B 0.000523679
5 *6926:A *6926:B 4.80635e-06
6 *708:11 *6926:B 6.84886e-05
*RES
1 *6925:X *6926:B 27.5649
*END
*D_NET *737 0.00104091
*CONN
*I *6927:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6926:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6927:A 0.000316803
2 *6926:X 0.000316803
3 *6927:A *1096:611 0
4 *6927:A *1096:624 0
5 *6927:A *1096:939 0
6 *6679:A *6927:A 8.31397e-05
7 *7348:D *6927:A 0
8 *558:37 *6927:A 0.000324166
*RES
1 *6926:X *6927:A 36.564
*END
*D_NET *738 0.000794575
*CONN
*I *6929:C I *D sky130_fd_sc_hd__and3_1
*I *6928:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *6929:C 0.000216162
2 *6928:X 0.000216162
3 *708:41 *6929:C 0.00036225
*RES
1 *6928:X *6929:C 22.3926
*END
*D_NET *739 0.000218859
*CONN
*I *6930:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6929:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6930:A 0.000109429
2 *6929:X 0.000109429
3 *6930:A *966:8 0
*RES
1 *6929:X *6930:A 30.1608
*END
*D_NET *740 0.027826
*CONN
*I *7023:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7020:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7005:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6935:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6955:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6931:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *7023:A1 0.00123811
2 *7020:A1 0
3 *7005:A1 0.000702052
4 *6935:A1 0.000377885
5 *6955:A1 0.000283728
6 *6931:X 0
7 *740:56 0.00168244
8 *740:52 0.00157147
9 *740:33 0.00182919
10 *740:31 0.00172105
11 *740:15 0.00218363
12 *740:14 0.00257273
13 *740:5 0.00277177
14 *6935:A1 *6935:A2 0.000146645
15 *6935:A1 *6955:A2 0
16 *6935:A1 *1071:8 0.000272499
17 *6935:A1 *1096:1135 0.000119338
18 *7005:A1 *7002:C 0
19 *7005:A1 *7003:A1 0
20 *7005:A1 *7006:C 5.74071e-05
21 *7005:A1 *7006:D 3.49729e-05
22 *7005:A1 *7164:B2 0.000147308
23 *7005:A1 *787:9 3.58044e-05
24 *7005:A1 *787:17 0.000147325
25 *7005:A1 *791:44 2.27135e-05
26 *7023:A1 *7021:B 0.000113953
27 *7023:A1 *7021:C 0.000122098
28 *7023:A1 *7022:B 0
29 *7023:A1 *7023:A2 0
30 *7023:A1 *7370:CLK 0
31 *7023:A1 *949:8 0.000121393
32 *7023:A1 *1096:956 0
33 *740:14 *6880:B 0.000353672
34 *740:14 *1089:16 0.000212491
35 *740:14 *1122:20 0.000212491
36 *740:31 *7126:A 2.02035e-05
37 *740:31 *7133:A1 0
38 *740:31 *7157:A 0.000164433
39 *740:31 *900:21 0
40 *740:31 *941:10 0.000137936
41 *740:31 *942:9 0
42 *740:52 *7010:A 6.64392e-05
43 *740:52 *7164:B2 0.000248046
44 *740:52 *947:22 0.000443326
45 *740:52 *978:12 3.20069e-06
46 *740:56 *803:8 8.50941e-05
47 *6882:B1 *740:14 0.000116215
48 *6935:B1 *6935:A1 7.13655e-06
49 *6981:A3 *740:31 0.000419968
50 *7008:C1 *740:31 4.66386e-05
51 *7009:A *740:52 8.82398e-05
52 *7018:A1 *7023:A1 0
53 *7020:B1 *740:56 0.000377273
54 *7023:B1 *7023:A1 2.22342e-05
55 *7164:C1 *740:52 1.82155e-05
56 *7361:D *740:31 1.20478e-05
57 *7370:D *740:56 0
58 *7371:D *7023:A1 5.8261e-05
59 *417:11 *740:52 0.000738238
60 *500:20 *740:14 0.00100536
61 *503:8 *7005:A1 0
62 *503:8 *740:31 0
63 *522:49 *740:31 2.0456e-06
64 *522:58 *740:14 0.000149642
65 *522:58 *740:31 4.07832e-05
66 *524:27 *6935:A1 7.52542e-05
67 *533:47 *740:31 0.000806579
68 *534:34 *7023:A1 0.000217951
69 *538:14 *7023:A1 0
70 *541:10 *740:14 2.36494e-05
71 *571:29 *6935:A1 1.58706e-05
72 *581:32 *6955:A1 0.000483474
73 *581:32 *740:15 0.00252617
74 *645:8 *740:14 0
75 *645:59 *740:31 0
76 *696:50 *740:14 0.000212506
77 *696:65 *740:14 0.000139435
78 *696:65 *740:31 0
79 *696:86 *740:31 0
*RES
1 *6931:X *740:5 13.7491
2 *740:5 *740:14 47.1112
3 *740:14 *740:15 27.3444
4 *740:15 *6955:A1 14.4094
5 *740:15 *6935:A1 31.7082
6 *740:5 *740:31 47.925
7 *740:31 *740:33 4.5
8 *740:33 *7005:A1 31.3392
9 *740:33 *740:52 44.3668
10 *740:52 *740:56 16.4752
11 *740:56 *7020:A1 9.24915
12 *740:56 *7023:A1 48.5333
*END
*D_NET *741 0.00296533
*CONN
*I *6934:A I *D sky130_fd_sc_hd__nor2_1
*I *6936:B I *D sky130_fd_sc_hd__nor2_1
*I *6932:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6934:A 0
2 *6936:B 0.000263765
3 *6932:X 0.000151835
4 *741:7 0.000415599
5 *6936:B *6934:B 6.08467e-05
6 *6936:B *6936:A 4.31603e-06
7 *6936:B *6937:A 0.000315231
8 *6936:B *1014:37 0.000191541
9 *6936:B *1071:8 5.33945e-05
10 *6936:B *1136:52 5.56461e-05
11 *741:7 *6932:A 0.000171288
12 *741:7 *6934:B 0.000252649
13 *741:7 *6937:D 7.70866e-05
14 *741:7 *965:43 8.77427e-05
15 *6932:B *741:7 2.85274e-05
16 *529:17 *6936:B 0.000835865
*RES
1 *6932:X *741:7 15.398
2 *741:7 *6936:B 32.0867
3 *741:7 *6934:A 9.24915
*END
*D_NET *742 0.00162213
*CONN
*I *6934:B I *D sky130_fd_sc_hd__nor2_1
*I *6933:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6934:B 0.000412091
2 *6933:Y 0.000412091
3 *6934:B *6932:A 3.58321e-05
4 *6934:B *6935:A2 7.34948e-06
5 *6934:B *6937:D 0.000123582
6 *6936:B *6934:B 6.08467e-05
7 *529:17 *6934:B 0.000317693
8 *741:7 *6934:B 0.000252649
*RES
1 *6933:Y *6934:B 37.8717
*END
*D_NET *743 0.000671425
*CONN
*I *6935:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6934:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6935:A2 0.0001872
2 *6934:Y 0.0001872
3 *6935:A2 *1071:8 0.000143032
4 *6934:B *6935:A2 7.34948e-06
5 *6935:A1 *6935:A2 0.000146645
*RES
1 *6934:Y *6935:A2 31.9934
*END
*D_NET *744 0.00182241
*CONN
*I *6939:B I *D sky130_fd_sc_hd__or3_1
*I *6936:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6939:B 0.000507062
2 *6936:Y 0.000507062
3 *529:17 *6939:B 0.000808283
*RES
1 *6936:Y *6939:B 29.0358
*END
*D_NET *745 0.00611681
*CONN
*I *6938:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6950:D I *D sky130_fd_sc_hd__and4_2
*I *6937:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *6938:A 0
2 *6950:D 0.000375416
3 *6937:X 8.47917e-05
4 *745:15 0.00105798
5 *745:8 0.000767354
6 *6950:D *6939:C 3.67528e-06
7 *6950:D *6951:B 0.000421676
8 *6950:D *1110:37 0.000221185
9 *6950:D *1110:42 6.50586e-05
10 *6950:D *1123:22 0
11 *6950:D *1136:17 2.72092e-05
12 *745:8 *1135:65 0
13 *745:8 *1135:72 0
14 *745:8 *1143:30 0.000116986
15 *745:15 *7350:CLK 0.000111722
16 *745:15 *7351:CLK 0.000164843
17 *745:15 *746:10 4.33582e-05
18 *745:15 *1098:53 0
19 *745:15 *1098:55 0.0011812
20 *7350:D *745:15 0.000301974
21 *7351:D *745:15 0.00015759
22 *502:30 *745:15 0.000266832
23 *597:61 *745:15 0.000334596
24 *597:69 *745:15 0.000413368
*RES
1 *6937:X *745:8 20.4964
2 *745:8 *745:15 35.8804
3 *745:15 *6950:D 29.3967
4 *745:15 *6938:A 9.24915
*END
*D_NET *746 0.00693331
*CONN
*I *6939:C I *D sky130_fd_sc_hd__or3_1
*I *6945:C I *D sky130_fd_sc_hd__and3_1
*I *6942:B I *D sky130_fd_sc_hd__nand2_1
*I *6943:B I *D sky130_fd_sc_hd__or2_1
*I *6946:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6938:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6939:C 0.000355398
2 *6945:C 0.000231289
3 *6942:B 0
4 *6943:B 0
5 *6946:A2 6.25672e-05
6 *6938:X 0
7 *746:15 0.000160248
8 *746:14 0.000353228
9 *746:10 0.000967154
10 *746:4 0.000835716
11 *6939:C *6940:B1 0.000142393
12 *6939:C *1110:37 0
13 *6939:C *1123:22 0.000162503
14 *6945:C *6945:A 1.03434e-05
15 *6945:C *6951:B 0.000809406
16 *6945:C *1136:17 6.23875e-05
17 *6945:C *1139:30 0.000332823
18 *6946:A2 *748:31 0.000375013
19 *746:10 *6952:C 2.30039e-05
20 *746:10 *1098:53 9.16264e-05
21 *746:10 *1098:55 1.00145e-05
22 *746:10 *1120:53 3.89472e-05
23 *746:14 *6944:A2 6.17339e-05
24 *746:14 *6951:A 0
25 *746:14 *6952:C 1.05272e-06
26 *746:14 *1120:53 9.81318e-05
27 *746:14 *1123:22 0
28 *746:15 *6942:A 0.00015888
29 *746:15 *748:31 9.55447e-05
30 *746:15 *1120:53 0.000111708
31 *6944:B1 *746:14 0
32 *6950:D *6939:C 3.67528e-06
33 *6952:A *6939:C 0.000924965
34 *7352:D *746:14 0.000143047
35 *626:11 *6946:A2 0.000122378
36 *626:22 *6946:A2 5.8256e-05
37 *626:22 *746:15 8.65143e-05
38 *745:15 *746:10 4.33582e-05
*RES
1 *6938:X *746:4 9.24915
2 *746:4 *746:10 13.306
3 *746:10 *746:14 11.7303
4 *746:14 *746:15 5.16022
5 *746:15 *6946:A2 13.3002
6 *746:15 *6943:B 9.24915
7 *746:14 *6942:B 9.24915
8 *746:10 *6945:C 24.377
9 *746:4 *6939:C 31.4786
*END
*D_NET *747 0.00139513
*CONN
*I *6940:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6939:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6940:B1 0.000500245
2 *6939:X 0.000500245
3 *6940:B1 *1069:11 2.5386e-05
4 *6939:C *6940:B1 0.000142393
5 *6952:A *6940:B1 7.92757e-06
6 *7351:D *6940:B1 0.000191095
7 *529:17 *6940:B1 2.78407e-05
8 *538:46 *6940:B1 0
*RES
1 *6939:X *6940:B1 37.5366
*END
*D_NET *748 0.00881824
*CONN
*I *6945:B I *D sky130_fd_sc_hd__and3_1
*I *6943:A I *D sky130_fd_sc_hd__or2_1
*I *6942:A I *D sky130_fd_sc_hd__nand2_1
*I *6946:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7079:B2 I *D sky130_fd_sc_hd__a221o_1
*I *6941:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6945:B 0.000455135
2 *6943:A 0
3 *6942:A 0.000357261
4 *6946:A1 0
5 *7079:B2 0.000289049
6 *6941:X 0
7 *748:31 0.00042602
8 *748:25 0.000295127
9 *748:21 0.0010232
10 *748:4 0.000630745
11 *6942:A *1120:53 2.82583e-05
12 *6945:B *7084:B2 0
13 *6945:B *1068:10 0
14 *6945:B *1096:197 0.000238635
15 *6945:B *1125:84 0
16 *6945:B *1135:18 0
17 *6945:B *1139:30 0.000515015
18 *7079:B2 *7079:C1 6.28168e-05
19 *7079:B2 *7088:C1 2.49891e-06
20 *7079:B2 *849:31 1.47046e-05
21 *7079:B2 *849:39 2.81515e-05
22 *7079:B2 *1060:12 0.000216103
23 *7079:B2 *1110:12 0
24 *7079:B2 *1137:11 0.000175485
25 *7079:B2 *1137:15 0.00051496
26 *7079:B2 *1139:40 0.000254409
27 *748:21 *1137:11 0.00113835
28 *748:25 *1068:10 0
29 *748:25 *1096:197 0.000237038
30 *748:25 *1135:18 0
31 *748:25 *1138:29 0.000111722
32 *6946:A2 *748:31 0.000375013
33 *571:17 *748:25 0.000517042
34 *571:17 *748:31 0.000460852
35 *626:11 *748:25 0.000172085
36 *626:11 *748:31 2.41483e-05
37 *746:15 *6942:A 0.00015888
38 *746:15 *748:31 9.55447e-05
*RES
1 *6941:X *748:4 9.24915
2 *748:4 *7079:B2 30.6995
3 *748:4 *748:21 16.8701
4 *748:21 *748:25 14.5383
5 *748:25 *6946:A1 9.24915
6 *748:25 *748:31 5.71483
7 *748:31 *6942:A 15.9767
8 *748:31 *6943:A 9.24915
9 *748:21 *6945:B 23.7875
*END
*D_NET *749 0.000498109
*CONN
*I *6944:A2 I *D sky130_fd_sc_hd__a31o_1
*I *6942:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6944:A2 9.52942e-05
2 *6942:Y 9.52942e-05
3 *6944:A2 *1120:53 6.92705e-05
4 *6944:B1 *6944:A2 1.90335e-05
5 *626:22 *6944:A2 0.000157483
6 *746:14 *6944:A2 6.17339e-05
*RES
1 *6942:Y *6944:A2 30.8842
*END
*D_NET *750 0.000719037
*CONN
*I *6944:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6943:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6944:A3 0.000238816
2 *6943:X 0.000238816
3 *6944:A3 *6950:C 6.66243e-05
4 *6944:A3 *1120:53 2.05034e-05
5 *6944:A3 *1136:17 2.96023e-05
6 *696:31 *6944:A3 0.000124674
*RES
1 *6943:X *6944:A3 34.0725
*END
*D_NET *751 0.00316095
*CONN
*I *6951:B I *D sky130_fd_sc_hd__nor2_1
*I *6947:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6945:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6951:B 0.00018197
2 *6947:A_N 0.00018659
3 *6945:X 0
4 *751:4 0.00036856
5 *6947:A_N *6868:A 0.000316296
6 *6947:A_N *1137:11 0.000462577
7 *6947:A_N *1138:36 2.82429e-05
8 *6951:B *6950:B 0.000313495
9 *6951:B *1136:17 2.61147e-05
10 *6945:C *6951:B 0.000809406
11 *6950:D *6951:B 0.000421676
12 *6953:A1 *6947:A_N 4.60197e-05
*RES
1 *6945:X *751:4 9.24915
2 *751:4 *6947:A_N 25.6566
3 *751:4 *6951:B 19.8348
*END
*D_NET *752 0.000364349
*CONN
*I *6947:B I *D sky130_fd_sc_hd__and3b_1
*I *6946:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6947:B 8.05008e-05
2 *6946:X 8.05008e-05
3 *6947:B *1068:10 6.96846e-05
4 *6947:B *1135:18 7.28157e-05
5 *6947:B *1136:23 6.08467e-05
*RES
1 *6946:X *6947:B 29.6384
*END
*D_NET *753 0.0020818
*CONN
*I *6948:B I *D sky130_fd_sc_hd__or2_1
*I *6947:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6948:B 0.000381036
2 *6947:X 0.000381036
3 *6948:B *6949:A 1.88152e-05
4 *6948:B *1068:10 0.000158852
5 *6948:B *1096:192 6.36773e-05
6 *6948:B *1137:11 0
7 *6948:B *1138:36 0.000148144
8 *6948:B *1141:26 0
9 *577:25 *6948:B 0.000515001
10 *578:34 *6948:B 0.000415244
11 *626:22 *6948:B 0
*RES
1 *6947:X *6948:B 42.5197
*END
*D_NET *754 0.000848619
*CONN
*I *6949:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6948:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6949:A 0.000243989
2 *6948:X 0.000243989
3 *6949:A *976:9 0.000169041
4 *6949:A *1066:8 5.54078e-05
5 *6949:A *1138:29 0
6 *6948:B *6949:A 1.88152e-05
7 *578:34 *6949:A 0.000117376
*RES
1 *6948:X *6949:A 33.4107
*END
*D_NET *755 0.0126126
*CONN
*I *6957:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6960:D I *D sky130_fd_sc_hd__and4_1
*I *6954:B I *D sky130_fd_sc_hd__xor2_1
*I *6956:C I *D sky130_fd_sc_hd__and3_1
*I *6952:B I *D sky130_fd_sc_hd__or3_1
*I *6950:X O *D sky130_fd_sc_hd__and4_2
*CAP
1 *6957:A2 0.000210975
2 *6960:D 0.000514712
3 *6954:B 0.000648243
4 *6956:C 0
5 *6952:B 0
6 *6950:X 0.000248828
7 *755:42 0.00207165
8 *755:24 0.00116866
9 *755:13 0.00100926
10 *755:6 0.000787155
11 *6954:B *6954:A 0.000333503
12 *6954:B *6955:A2 5.86449e-05
13 *6954:B *7096:A2 3.65842e-05
14 *6954:B *7104:A2 6.08697e-06
15 *6954:B *7372:CLK 1.18802e-05
16 *6954:B *1070:8 0
17 *6954:B *1105:56 0
18 *6954:B *1142:26 0.000113374
19 *6957:A2 *962:19 0.000111708
20 *6957:A2 *1140:44 0.00012527
21 *6960:D *7104:A2 7.39796e-06
22 *6960:D *7104:B1 0
23 *6960:D *874:19 0.00072322
24 *6960:D *882:8 1.07248e-05
25 *6960:D *1142:16 3.85006e-05
26 *6960:D *1142:26 5.13937e-05
27 *755:6 *1120:53 0.000157096
28 *755:6 *1136:17 1.07248e-05
29 *755:6 *1141:26 0
30 *755:13 *6706:A 8.65002e-05
31 *755:13 *1110:37 0
32 *755:13 *1120:53 4.27003e-05
33 *755:13 *1141:26 0
34 *755:24 *6956:A 6.50727e-05
35 *755:24 *7096:B1 0.000376099
36 *755:42 *6960:B 0.00030153
37 *755:42 *7096:B1 0.000500509
38 *755:42 *7096:B2 5.41377e-05
39 *755:42 *7096:C1 8.62321e-06
40 *755:42 *873:15 0.000167076
41 *755:42 *1141:9 0.000122083
42 *755:42 *1141:48 0.00125431
43 *6959:B1 *755:42 4.07936e-05
44 *7355:D *6954:B 4.27148e-05
45 *525:25 *6954:B 0.000271044
46 *571:23 *755:6 0
47 *572:36 *6957:A2 0.000107892
48 *572:36 *755:13 0.000304246
49 *574:14 *6957:A2 7.43044e-05
50 *574:14 *755:13 0.000337419
51 *597:61 *755:42 0
*RES
1 *6950:X *755:6 20.5642
2 *755:6 *6952:B 13.7491
3 *755:6 *755:13 18.4421
4 *755:13 *755:24 11.3481
5 *755:24 *6956:C 9.24915
6 *755:24 *755:42 40.4846
7 *755:42 *6954:B 32.6415
8 *755:42 *6960:D 23.5144
9 *755:13 *6957:A2 20.2544
*END
*D_NET *756 0.00123526
*CONN
*I *6952:C I *D sky130_fd_sc_hd__or3_1
*I *6951:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6952:C 0.0004518
2 *6951:Y 0.0004518
3 *6952:C *6951:A 2.02035e-05
4 *6952:C *6953:B1 0
5 *6952:C *1110:37 0.000128405
6 *6952:C *1120:53 0
7 *6952:A *6952:C 0.000158997
8 *746:10 *6952:C 2.30039e-05
9 *746:14 *6952:C 1.05272e-06
*RES
1 *6951:Y *6952:C 38.6671
*END
*D_NET *757 0.000978265
*CONN
*I *6953:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6952:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6953:B1 0.000290485
2 *6952:X 0.000290485
3 *6953:B1 *1098:47 0.000227892
4 *6953:B1 *1098:53 1.61631e-05
5 *6953:B1 *1120:53 0.00015324
6 *6952:C *6953:B1 0
*RES
1 *6952:X *6953:B1 36.4302
*END
*D_NET *758 0.0011754
*CONN
*I *6955:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6954:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *6955:A2 0.000375204
2 *6954:X 0.000375204
3 *6955:A2 *6954:A 9.75356e-05
4 *6955:A2 *1071:8 0
5 *6955:A2 *1096:1135 0
6 *6935:A1 *6955:A2 0
7 *6954:B *6955:A2 5.86449e-05
8 *524:27 *6955:A2 0
9 *525:25 *6955:A2 0.000268812
*RES
1 *6954:X *6955:A2 36.0094
*END
*D_NET *759 0.0038527
*CONN
*I *6962:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6958:B I *D sky130_fd_sc_hd__or3_1
*I *6956:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6962:A2 0.000556398
2 *6958:B 0.000284561
3 *6956:X 0.00029594
4 *759:8 0.0011369
5 *6958:B *7356:CLK 3.67481e-05
6 *759:8 *820:28 0.00012896
7 *759:8 *1123:22 5.91276e-05
8 *759:8 *1140:28 5.22654e-06
9 *759:8 *1140:44 4.26999e-05
10 *7356:D *759:8 3.1741e-05
11 *576:20 *6958:B 6.54627e-05
12 *576:20 *759:8 0
13 *583:33 *6958:B 0.000266832
14 *583:33 *6962:A2 0.000942108
*RES
1 *6956:X *759:8 26.3099
2 *759:8 *6958:B 24.0733
3 *759:8 *6962:A2 19.4008
*END
*D_NET *760 0.00138429
*CONN
*I *6958:C I *D sky130_fd_sc_hd__or3_1
*I *6957:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6958:C 0.000186241
2 *6957:Y 0.000186241
3 *6958:C *820:22 0.000364356
4 *6958:C *962:19 0.00011247
5 *6958:C *1131:15 3.58208e-05
6 *6958:A *6958:C 0.000268798
7 *572:36 *6958:C 0.000113374
8 *581:8 *6958:C 0.000116986
*RES
1 *6957:Y *6958:C 35.0384
*END
*D_NET *761 0.00103709
*CONN
*I *6959:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6958:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6959:A2 0.000305819
2 *6958:X 0.000305819
3 *6959:A2 *820:22 0.000217923
4 *6959:A2 *832:26 5.51483e-06
5 *6959:A2 *1123:22 1.70077e-05
6 *6959:A1 *6959:A2 1.07248e-05
7 *6959:B1 *6959:A2 0.000160617
8 *597:50 *6959:A2 1.36691e-05
*RES
1 *6958:X *6959:A2 33.1054
*END
*D_NET *762 0.00839678
*CONN
*I *6977:C I *D sky130_fd_sc_hd__and4_1
*I *6961:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6960:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *6977:C 0.000716999
2 *6961:A 2.81751e-05
3 *6960:X 0.00104508
4 *762:8 0.00140243
5 *762:7 0.00170233
6 *6961:A *763:7 0.000167076
7 *6961:A *831:27 6.99486e-05
8 *6977:C *6971:A3 4.33979e-05
9 *6977:C *6973:B 0.000252891
10 *6977:C *6977:B 0.000417557
11 *6977:C *770:17 9.24241e-05
12 *6977:C *775:8 0.000158371
13 *6977:C *940:7 0.000260388
14 *6977:C *1103:50 0.000133896
15 *762:7 *874:19 0.000330596
16 *762:8 *6971:A3 0.000184931
17 *762:8 *7336:CLK 3.68867e-05
18 *762:8 *939:12 9.67886e-05
19 *762:8 *1096:667 0.000142537
20 *6989:A *6977:C 0.000228344
21 *7359:D *762:8 8.98279e-05
22 *522:49 *762:8 5.39635e-06
23 *528:24 *762:8 2.79471e-05
24 *547:37 *762:7 0.000575011
25 *589:45 *6977:C 3.48089e-05
26 *589:45 *762:8 0.000152746
27 *589:58 *762:8 0
*RES
1 *6960:X *762:7 31.6653
2 *762:7 *762:8 19.2726
3 *762:8 *6961:A 15.5817
4 *762:8 *6977:C 33.5424
*END
*D_NET *763 0.00814526
*CONN
*I *6970:A I *D sky130_fd_sc_hd__nand2_1
*I *6968:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6965:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6964:B I *D sky130_fd_sc_hd__nand2_1
*I *6963:A1 I *D sky130_fd_sc_hd__o21bai_1
*I *6961:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6970:A 0.000114099
2 *6968:A2 0.000279551
3 *6965:A2 0
4 *6964:B 0
5 *6963:A1 0.00036614
6 *6961:X 0
7 *763:35 0.00041703
8 *763:14 0.000607519
9 *763:7 0.00107178
10 *763:4 0.000853784
11 *6963:A1 *7372:CLK 4.00638e-05
12 *6963:A1 *1105:56 1.32509e-05
13 *6968:A2 *6971:A2 0.000297357
14 *6970:A *6970:B 8.83891e-05
15 *6970:A *770:7 4.96417e-05
16 *6970:A *831:27 0.000353672
17 *763:7 *6965:B1 1.67329e-05
18 *763:7 *770:7 0.000313495
19 *763:7 *831:27 0.000431744
20 *763:14 *6965:A1 9.06436e-05
21 *763:14 *6966:B 1.19721e-05
22 *763:14 *831:27 0.000400066
23 *6961:A *763:7 0.000167076
24 *527:31 *6963:A1 0.000893184
25 *533:21 *6963:A1 0.000382728
26 *533:24 *6963:A1 0.00013345
27 *533:24 *763:14 7.42512e-05
28 *538:43 *6968:A2 0.000126776
29 *547:29 *6963:A1 0
30 *547:29 *763:14 0
31 *560:8 *763:14 0.000157487
32 *586:38 *6968:A2 0.000148145
33 *586:38 *763:35 5.56367e-05
34 *586:49 *6968:A2 7.86847e-05
35 *589:45 *6968:A2 9.39113e-05
36 *589:45 *763:35 1.69932e-05
*RES
1 *6961:X *763:4 9.24915
2 *763:4 *763:7 22.4916
3 *763:7 *763:14 13.0628
4 *763:14 *6963:A1 30.1612
5 *763:14 *6964:B 13.7491
6 *763:7 *6965:A2 9.24915
7 *763:4 *763:35 5.50149
8 *763:35 *6968:A2 23.8862
9 *763:35 *6970:A 18.3789
*END
*D_NET *764 0.000855419
*CONN
*I *6963:A2 I *D sky130_fd_sc_hd__o21bai_1
*I *6962:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6963:A2 0.000274876
2 *6962:Y 0.000274876
3 *6963:B1_N *6963:A2 3.68552e-05
4 *523:59 *6963:A2 0.000268812
*RES
1 *6962:Y *6963:A2 32.6874
*END
*D_NET *765 0.00123651
*CONN
*I *6965:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6964:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6965:B1 0.000411558
2 *6964:Y 0.000411558
3 *6965:B1 *831:27 5.94977e-06
4 *6965:B1 *1096:694 4.46283e-05
5 *6965:C1 *6965:B1 3.20069e-06
6 *522:19 *6965:B1 0.000311249
7 *522:30 *6965:B1 3.16285e-05
8 *557:6 *6965:B1 0
9 *763:7 *6965:B1 1.67329e-05
*RES
1 *6964:Y *6965:B1 34.9653
*END
*D_NET *766 0.000426703
*CONN
*I *6966:B I *D sky130_fd_sc_hd__or2_1
*I *6965:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *6966:B 0.000133281
2 *6965:X 0.000133281
3 *6966:B *6965:A1 2.65831e-05
4 *6966:B *1096:694 9.60366e-05
5 *6966:B *1105:56 2.55493e-05
6 *763:14 *6966:B 1.19721e-05
*RES
1 *6965:X *6966:B 30.6083
*END
*D_NET *767 0.00116031
*CONN
*I *6967:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6966:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6967:A 0.000336975
2 *6966:X 0.000336975
3 *6967:A *820:36 3.1218e-05
4 *557:6 *6967:A 3.1218e-05
5 *586:38 *6967:A 0.000423922
*RES
1 *6966:X *6967:A 34.3512
*END
*D_NET *768 0.00132637
*CONN
*I *6971:A2 I *D sky130_fd_sc_hd__a31o_1
*I *6968:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6971:A2 0.000260085
2 *6968:X 0.000260085
3 *6968:A2 *6971:A2 0.000297357
4 *580:35 *6971:A2 0.000211492
5 *589:45 *6971:A2 0.000297357
*RES
1 *6968:X *6971:A2 35.4548
*END
*D_NET *769 0.00279821
*CONN
*I *6977:D I *D sky130_fd_sc_hd__and4_1
*I *6970:B I *D sky130_fd_sc_hd__nand2_1
*I *6969:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6977:D 0.00014699
2 *6970:B 0.000266802
3 *6969:X 0.000257946
4 *769:8 0.000671738
5 *6970:B *770:7 3.57159e-05
6 *6970:B *831:27 2.18145e-05
7 *6970:B *1112:78 0.000143859
8 *6977:D *775:8 6.08467e-05
9 *6977:D *831:36 0.000334688
10 *6977:D *1103:50 6.08467e-05
11 *769:8 *831:36 7.65861e-05
12 *6970:A *6970:B 8.83891e-05
13 *503:8 *6977:D 0.000343314
14 *503:8 *769:8 0.000165495
15 *538:21 *769:8 0.000123176
*RES
1 *6969:X *769:8 19.7687
2 *769:8 *6970:B 20.7661
3 *769:8 *6977:D 20.8723
*END
*D_NET *770 0.00444174
*CONN
*I *6973:B I *D sky130_fd_sc_hd__nor2_1
*I *6974:A2 I *D sky130_fd_sc_hd__a211oi_1
*I *6971:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6970:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6973:B 0.000284818
2 *6974:A2 3.37885e-05
3 *6971:A3 0.000129698
4 *6970:Y 0.000423515
5 *770:17 0.000362334
6 *770:7 0.00059694
7 *6971:A3 *1143:56 0.000213725
8 *6973:B *6974:A1 4.66386e-05
9 *6974:A2 *6974:A1 6.92705e-05
10 *6974:A2 *1099:75 6.24655e-05
11 *6970:A *770:7 4.96417e-05
12 *6970:B *770:7 3.57159e-05
13 *6977:C *6971:A3 4.33979e-05
14 *6977:C *6973:B 0.000252891
15 *6977:C *770:17 9.24241e-05
16 *6989:A *6973:B 5.79399e-05
17 *6989:A *6974:A2 6.08467e-05
18 *528:24 *6971:A3 0.000235539
19 *528:24 *6973:B 2.09495e-05
20 *528:24 *770:17 8.52968e-05
21 *560:29 *6973:B 0.000211492
22 *574:51 *6973:B 0.000211492
23 *586:38 *6974:A2 0.00027103
24 *587:38 *6973:B 0
25 *691:16 *6971:A3 9.14669e-05
26 *762:8 *6971:A3 0.000184931
27 *763:7 *770:7 0.000313495
*RES
1 *6970:Y *770:7 20.5732
2 *770:7 *6971:A3 20.4599
3 *770:7 *770:17 1.832
4 *770:17 *6974:A2 16.691
5 *770:17 *6973:B 23.3666
*END
*D_NET *771 0.00217581
*CONN
*I *6973:A I *D sky130_fd_sc_hd__nor2_1
*I *6974:A1 I *D sky130_fd_sc_hd__a211oi_1
*I *6972:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6973:A 0.000148748
2 *6974:A1 0.000407812
3 *6972:Y 9.52395e-05
4 *771:5 0.0006518
5 *6973:A *7128:B2 4.72872e-05
6 *6973:A *772:10 7.03198e-05
7 *6973:A *940:10 2.22198e-05
8 *6974:A1 *1099:75 6.50586e-05
9 *6973:B *6974:A1 4.66386e-05
10 *6974:A2 *6974:A1 6.92705e-05
11 *528:24 *6974:A1 8.62625e-06
12 *559:27 *6974:A1 0.000351426
13 *559:27 *771:5 0.000167076
14 *560:29 *6973:A 1.43055e-05
15 *587:38 *6974:A1 0
16 *589:45 *6973:A 9.98029e-06
*RES
1 *6972:Y *771:5 11.0817
2 *771:5 *6974:A1 26.4189
3 *771:5 *6973:A 22.5727
*END
*D_NET *772 0.00450268
*CONN
*I *6979:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6974:B1 I *D sky130_fd_sc_hd__a211oi_1
*I *6973:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6979:A2 0.000942013
2 *6974:B1 0.000121828
3 *6973:Y 0.000198454
4 *772:10 0.0012623
5 *6974:B1 *6975:B 6.50727e-05
6 *6974:B1 *1099:75 0.000304791
7 *6979:A2 *1099:75 0.000739093
8 *6973:A *772:10 7.03198e-05
9 *560:29 *772:10 6.50727e-05
10 *589:45 *772:10 0.000273855
11 *691:62 *6979:A2 0.000459887
*RES
1 *6973:Y *772:10 24.1266
2 *772:10 *6974:B1 12.7456
3 *772:10 *6979:A2 27.3582
*END
*D_NET *773 0.00127229
*CONN
*I *6975:B I *D sky130_fd_sc_hd__or2_1
*I *6974:Y O *D sky130_fd_sc_hd__a211oi_1
*CAP
1 *6975:B 0.000444224
2 *6974:Y 0.000444224
3 *6975:B *7360:CLK 3.4475e-05
4 *6975:B *881:10 0
5 *6975:B *1099:75 0.000158864
6 *6975:B *1103:50 5.47736e-05
7 *6974:B1 *6975:B 6.50727e-05
8 *587:38 *6975:B 7.06545e-05
*RES
1 *6974:Y *6975:B 37.2579
*END
*D_NET *774 0.00153336
*CONN
*I *6976:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6975:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6976:A 0.000439255
2 *6975:X 0.000439255
3 *6976:A *881:10 0.000122098
4 *6976:A *1103:36 0.000220183
5 *6976:A *1103:50 0
6 *6975:A *6976:A 6.50586e-05
7 *7360:D *6976:A 0.000111708
8 *559:27 *6976:A 2.63704e-05
9 *560:29 *6976:A 0.000109434
*RES
1 *6975:X *6976:A 36.7384
*END
*D_NET *775 0.00412966
*CONN
*I *6991:D I *D sky130_fd_sc_hd__and4_1
*I *6978:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6977:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *6991:D 0.000344359
2 *6978:A 2.25025e-05
3 *6977:X 0.000455704
4 *775:8 0.000822565
5 *6978:A *776:13 0.00011818
6 *6991:D *6991:C 0.000373061
7 *6991:D *7138:B2 0.000182019
8 *6991:D *7149:C1 0.00016281
9 *6991:D *786:6 0
10 *6991:D *901:19 0.000174252
11 *6991:D *1107:47 0.000142393
12 *775:8 *6977:B 0.000111708
13 *775:8 *7133:B2 0.000343284
14 *775:8 *7133:C1 0.000211007
15 *775:8 *831:36 4.11673e-05
16 *775:8 *901:19 0.000151741
17 *775:8 *1103:50 8.39246e-05
18 *775:8 *1107:48 0.000141239
19 *6977:C *775:8 0.000158371
20 *6977:D *775:8 6.08467e-05
21 *435:9 *6978:A 2.85274e-05
22 *503:8 *6991:D 0
23 *503:8 *775:8 0
*RES
1 *6977:X *775:8 29.8741
2 *775:8 *6978:A 15.0271
3 *775:8 *6991:D 26.3495
*END
*D_NET *776 0.007547
*CONN
*I *6980:A I *D sky130_fd_sc_hd__nor2_1
*I *6983:B I *D sky130_fd_sc_hd__nand2_1
*I *6984:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6987:C I *D sky130_fd_sc_hd__and3_1
*I *6988:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6978:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6980:A 0.000620697
2 *6983:B 0.000171279
3 *6984:A2 0.000198152
4 *6987:C 0.000226233
5 *6988:A2 1.7978e-05
6 *6978:X 2.06324e-05
7 *776:24 0.000424385
8 *776:22 0.000229439
9 *776:13 0.000711445
10 *776:5 0.00127664
11 *6980:A *6980:B 5.22654e-06
12 *6980:A *7126:A 2.65667e-05
13 *6980:A *1133:20 8.33404e-05
14 *6983:B *6983:A 1.51914e-05
15 *6983:B *6984:B1 0
16 *6983:B *6993:A2 0
17 *6983:B *779:35 4.36466e-06
18 *6983:B *819:34 2.95757e-05
19 *6983:B *1106:33 0.000177819
20 *6984:A2 *6984:A1 6.45818e-05
21 *6984:A2 *819:34 6.08467e-05
22 *6984:A2 *910:11 1.19589e-05
23 *6987:C *819:34 0.000349348
24 *6988:A2 *943:27 0.000115934
25 *776:13 *6991:B 9.44933e-06
26 *776:13 *7143:A1 1.59359e-05
27 *776:13 *7143:A2 5.40939e-05
28 *776:13 *914:19 6.9473e-05
29 *776:13 *943:27 0.000930017
30 *776:22 *819:34 0.000102899
31 *776:22 *1106:33 0.000113374
32 *6978:A *776:13 0.00011818
33 *6984:C1 *6983:B 0
34 *6984:C1 *6984:A2 2.66404e-06
35 *432:17 *6988:A2 0.000111708
36 *432:17 *776:13 0.000538827
37 *435:9 *6980:A 0.000119076
38 *435:9 *776:13 5.60798e-05
39 *533:47 *6980:A 0
40 *534:20 *6980:A 0.000360672
41 *589:45 *776:13 9.17333e-06
42 *696:86 *6980:A 9.37427e-05
*RES
1 *6978:X *776:5 9.82786
2 *776:5 *776:13 29.1956
3 *776:13 *6988:A2 10.5271
4 *776:13 *776:22 6.74725
5 *776:22 *776:24 4.5
6 *776:24 *6987:C 13.1434
7 *776:24 *6984:A2 13.1434
8 *776:22 *6983:B 18.4879
9 *776:5 *6980:A 36.4588
*END
*D_NET *777 0.00090581
*CONN
*I *6980:B I *D sky130_fd_sc_hd__nor2_1
*I *6979:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6980:B 0.000179705
2 *6979:Y 0.000179705
3 *6980:B *6981:B1 0.000115534
4 *6980:A *6980:B 5.22654e-06
5 *534:20 *6980:B 1.62097e-05
6 *559:11 *6980:B 4.70005e-05
7 *691:62 *6980:B 6.50727e-05
8 *696:86 *6980:B 0.000297357
*RES
1 *6979:Y *6980:B 33.791
*END
*D_NET *778 0.000603633
*CONN
*I *6981:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6980:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6981:B1 0.000115182
2 *6980:Y 0.000115182
3 *6981:B1 *1103:58 5.481e-05
4 *6980:B *6981:B1 0.000115534
5 *534:20 *6981:B1 4.45548e-05
6 *559:11 *6981:B1 0.000158371
*RES
1 *6980:Y *6981:B1 31.5781
*END
*D_NET *779 0.00529342
*CONN
*I *6983:A I *D sky130_fd_sc_hd__nand2_1
*I *6984:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6988:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6987:B I *D sky130_fd_sc_hd__and3_1
*I *7138:B2 I *D sky130_fd_sc_hd__a221o_1
*I *6982:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6983:A 3.77406e-05
2 *6984:A1 6.70092e-05
3 *6988:A1 0.000105946
4 *6987:B 0.00023594
5 *7138:B2 0.000128569
6 *6982:X 1.23957e-05
7 *779:35 0.000284939
8 *779:22 0.000566877
9 *779:20 0.00130456
10 *779:7 0.00118883
11 *6984:A1 *819:34 0.000207266
12 *6984:A1 *910:11 0.000151118
13 *6987:B *6993:A2 2.58814e-05
14 *6987:B *910:11 4.98489e-05
15 *6988:A1 *6989:B 6.45726e-05
16 *6988:A1 *1106:33 6.10852e-05
17 *7138:B2 *7138:B1 3.24516e-05
18 *779:7 *7149:C1 0.00011818
19 *779:7 *942:9 0.00011818
20 *779:20 *819:39 0
21 *779:22 *819:39 0
22 *779:35 *6989:B 3.31736e-05
23 *779:35 *6993:A2 3.8503e-05
24 *779:35 *1106:33 5.9708e-05
25 *6983:B *6983:A 1.51914e-05
26 *6983:B *779:35 4.36466e-06
27 *6984:A2 *6984:A1 6.45818e-05
28 *6984:C1 *6983:A 0
29 *6991:D *7138:B2 0.000182019
30 *428:24 *6987:B 0
31 *432:14 *779:20 0
32 *503:8 *7138:B2 3.7902e-05
33 *589:32 *6987:B 9.65879e-05
*RES
1 *6982:X *779:7 15.0271
2 *779:7 *7138:B2 17.628
3 *779:7 *779:20 5.5604
4 *779:20 *779:22 0.432336
5 *779:22 *6987:B 19.5134
6 *779:22 *779:35 6.65416
7 *779:35 *6988:A1 16.8269
8 *779:35 *6984:A1 17.6796
9 *779:20 *6983:A 17.9118
*END
*D_NET *780 0.00093332
*CONN
*I *6984:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6983:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6984:B1 0.000266455
2 *6983:Y 0.000266455
3 *6984:B1 *910:11 5.94977e-06
4 *6984:B1 *1107:47 0.000277488
5 *6983:B *6984:B1 0
6 *6984:C1 *6984:B1 0.000116971
7 *589:45 *6984:B1 0
*RES
1 *6983:Y *6984:B1 33.3015
*END
*D_NET *781 0.0011729
*CONN
*I *6985:B I *D sky130_fd_sc_hd__or2_1
*I *6984:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *6985:B 0.000318645
2 *6984:X 0.000318645
3 *6985:B *820:44 2.49672e-05
4 *6985:B *910:11 0.000375013
5 *6985:B *944:21 0
6 *6984:C1 *6985:B 0.000135629
*RES
1 *6984:X *6985:B 34.2118
*END
*D_NET *782 0.000470642
*CONN
*I *6986:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6985:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6986:A 0.00019501
2 *6985:X 0.00019501
3 *6986:A *944:21 5.89861e-05
4 *589:45 *6986:A 2.16355e-05
5 *594:44 *6986:A 0
*RES
1 *6985:X *6986:A 31.0235
*END
*D_NET *783 0.00275537
*CONN
*I *6993:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6989:B I *D sky130_fd_sc_hd__or3_1
*I *6987:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6993:A2 0.000287259
2 *6989:B 0.000487955
3 *6987:X 0
4 *783:5 0.000775214
5 *6989:B *6987:A 0
6 *6989:B *6990:B1 0.000324151
7 *6989:B *820:36 0
8 *6989:B *910:11 3.04443e-05
9 *6989:B *1106:33 0
10 *6993:A2 *6994:A 1.79196e-05
11 *6993:A2 *6994:B 0.000132414
12 *6993:A2 *910:11 1.00004e-05
13 *6993:A2 *944:21 6.50727e-05
14 *6983:B *6993:A2 0
15 *6987:B *6993:A2 2.58814e-05
16 *6988:A1 *6989:B 6.45726e-05
17 *6999:C1 *6993:A2 7.65861e-05
18 *428:24 *6993:A2 0.000370815
19 *589:32 *6993:A2 1.54067e-05
20 *779:35 *6989:B 3.31736e-05
21 *779:35 *6993:A2 3.8503e-05
*RES
1 *6987:X *783:5 13.7491
2 *783:5 *6989:B 24.0606
3 *783:5 *6993:A2 23.7847
*END
*D_NET *784 0.000995961
*CONN
*I *6989:C I *D sky130_fd_sc_hd__or3_1
*I *6988:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6989:C 0.000311348
2 *6988:Y 0.000311348
3 *6989:C *914:19 0.000319954
4 *6989:C *1106:33 5.33121e-05
*RES
1 *6988:Y *6989:C 33.6572
*END
*D_NET *785 0.00271413
*CONN
*I *6990:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6989:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6990:B1 0.000477131
2 *6989:X 0.000477131
3 *6990:B1 *880:24 0.00141364
4 *6989:B *6990:B1 0.000324151
5 *696:89 *6990:B1 2.20702e-05
*RES
1 *6989:X *6990:B1 35.3293
*END
*D_NET *786 0.00413815
*CONN
*I *7006:D I *D sky130_fd_sc_hd__and4_1
*I *6992:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6991:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *7006:D 0.000725279
2 *6992:A 3.06743e-05
3 *6991:X 0.000395105
4 *786:6 0.00115106
5 *7006:D *7003:A1 1.07248e-05
6 *7006:D *7006:C 0.000504332
7 *7006:D *7164:B2 1.41291e-05
8 *7006:D *787:17 8.14834e-05
9 *6991:D *786:6 0
10 *7005:A1 *7006:D 3.49729e-05
11 *503:8 *7006:D 0
12 *503:8 *786:6 0
13 *533:43 *6992:A 0.000436825
14 *594:44 *7006:D 9.32958e-05
15 *594:44 *786:6 0.000223451
16 *595:31 *6992:A 0.000436825
*RES
1 *6991:X *786:6 23.8862
2 *786:6 *6992:A 18.3548
3 *786:6 *7006:D 27.5304
*END
*D_NET *787 0.00578596
*CONN
*I *7002:C I *D sky130_fd_sc_hd__and3_1
*I *7003:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6999:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6998:B I *D sky130_fd_sc_hd__nand2_1
*I *6994:A I *D sky130_fd_sc_hd__nor2_1
*I *6992:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7002:C 0.000220193
2 *7003:A2 1.59113e-06
3 *6999:A2 0.000185764
4 *6998:B 1.02021e-05
5 *6994:A 0.000404718
6 *6992:X 0.000121902
7 *787:41 0.000250335
8 *787:33 0.00113231
9 *787:17 0.000792834
10 *787:9 0.00046011
11 *6994:A *1096:841 0
12 *6994:A *1096:853 0
13 *6999:A2 *6999:A1 3.24735e-05
14 *6999:A2 *6999:B1 0.000231025
15 *6999:A2 *1096:853 0
16 *7002:C *7004:A 2.23105e-05
17 *7002:C *946:8 5.22654e-06
18 *7002:C *946:12 0.000166991
19 *787:9 *7006:C 3.82228e-05
20 *787:9 *7159:B2 6.60196e-05
21 *787:17 *7006:C 9.90116e-05
22 *787:33 *7003:B1 0.000111708
23 *787:41 *1096:853 0
24 *6993:A2 *6994:A 1.79196e-05
25 *6999:C1 *6994:A 0.000102137
26 *6999:C1 *6999:A2 9.99386e-06
27 *6999:C1 *787:41 2.39519e-05
28 *7005:A1 *7002:C 0
29 *7005:A1 *787:9 3.58044e-05
30 *7005:A1 *787:17 0.000147325
31 *7006:D *787:17 8.14834e-05
32 *7364:D *6994:A 0
33 *417:26 *6999:A2 2.65667e-05
34 *428:24 *6994:A 3.91685e-05
35 *503:8 *7002:C 0.000413811
36 *503:8 *787:9 0.000163982
37 *594:31 *6998:B 0.00011818
38 *594:31 *787:33 4.0752e-05
39 *594:39 *787:33 0.000211937
*RES
1 *6992:X *787:9 22.6049
2 *787:9 *787:17 6.16092
3 *787:17 *787:33 23.5856
4 *787:33 *6994:A 23.0557
5 *787:33 *787:41 1.41674
6 *787:41 *6998:B 15.0271
7 *787:41 *6999:A2 19.5382
8 *787:17 *7003:A2 9.45411
9 *787:9 *7002:C 26.1599
*END
*D_NET *788 0.00130555
*CONN
*I *6994:B I *D sky130_fd_sc_hd__nor2_1
*I *6993:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6994:B 0.000113952
2 *6993:Y 0.000113952
3 *6994:B *944:21 0.000169041
4 *6984:C1 *6994:B 6.50727e-05
5 *6993:A2 *6994:B 0.000132414
6 *428:24 *6994:B 1.19721e-05
7 *546:30 *6994:B 0.00027103
8 *546:42 *6994:B 0.000379505
9 *597:31 *6994:B 3.14978e-05
10 *597:33 *6994:B 1.71154e-05
*RES
1 *6993:Y *6994:B 26.4556
*END
*D_NET *789 0.00177137
*CONN
*I *6995:B I *D sky130_fd_sc_hd__or2_1
*I *6994:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6995:B 0.000603166
2 *6994:Y 0.000603166
3 *6995:B *1106:15 0.000140147
4 *6995:A *6995:B 5.38073e-05
5 *597:31 *6995:B 0.000371088
*RES
1 *6994:Y *6995:B 39.2032
*END
*D_NET *790 0.00110835
*CONN
*I *6996:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6995:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6996:A 0.000165442
2 *6995:X 0.000165442
3 *6996:A *1096:853 2.61857e-05
4 *6996:A *1106:15 0
5 *6742:B1 *6996:A 0.000266846
6 *402:25 *6996:A 6.50727e-05
7 *404:14 *6996:A 8.62625e-06
8 *413:5 *6996:A 2.15348e-05
9 *546:8 *6996:A 7.14746e-05
10 *595:31 *6996:A 0.000317721
*RES
1 *6995:X *6996:A 33.9654
*END
*D_NET *791 0.00814783
*CONN
*I *7159:B2 I *D sky130_fd_sc_hd__a221o_1
*I *7002:B I *D sky130_fd_sc_hd__and3_1
*I *7003:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6998:A I *D sky130_fd_sc_hd__nand2_1
*I *6999:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6997:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7159:B2 0.000705022
2 *7002:B 0
3 *7003:A1 3.58027e-05
4 *6998:A 0.000106604
5 *6999:A1 0.000161767
6 *6997:X 2.06324e-05
7 *791:44 0.00109875
8 *791:28 0.000657267
9 *791:7 0.000517452
10 *791:5 0.000497447
11 *6999:A1 *6999:B1 6.08467e-05
12 *7159:B2 *7004:A 1.58551e-05
13 *7159:B2 *7006:A 0.000152372
14 *7159:B2 *7008:A2 6.28454e-05
15 *7159:B2 *7009:B 0
16 *7159:B2 *946:30 1.91246e-05
17 *791:44 *7004:A 0.000158997
18 *791:44 *7004:B 0
19 *791:44 *7005:A2 0.000172215
20 *791:44 *7006:C 1.41761e-05
21 *6999:A2 *6999:A1 3.24735e-05
22 *6999:C1 *6998:A 0.000143032
23 *6999:C1 *6999:A1 6.50586e-05
24 *7005:A1 *7003:A1 0
25 *7005:A1 *791:44 2.27135e-05
26 *7005:B1 *791:28 4.66492e-05
27 *7006:D *7003:A1 1.07248e-05
28 *7008:C1 *7159:B2 0
29 *7164:C1 *7159:B2 0.00021218
30 *7366:D *791:44 2.55493e-05
31 *412:31 *7159:B2 4.65059e-05
32 *413:5 *7159:B2 0.00134639
33 *417:26 *6999:A1 3.15932e-05
34 *417:26 *791:7 0.000340511
35 *417:26 *791:28 2.15184e-05
36 *503:8 *7159:B2 2.81627e-06
37 *533:43 *7159:B2 0.000483474
38 *594:39 *791:28 0.000472804
39 *594:44 *7003:A1 6.56617e-05
40 *594:44 *791:28 3.54714e-05
41 *595:31 *7159:B2 0.000161493
42 *598:20 *7159:B2 5.80138e-05
43 *787:9 *7159:B2 6.60196e-05
*RES
1 *6997:X *791:5 9.82786
2 *791:5 *791:7 7.93324
3 *791:7 *6999:A1 13.3243
4 *791:7 *6998:A 20.9116
5 *791:5 *791:28 11.5633
6 *791:28 *7003:A1 14.9583
7 *791:28 *791:44 15.102
8 *791:44 *7002:B 9.24915
9 *791:44 *7159:B2 44.8697
*END
*D_NET *792 0.000924188
*CONN
*I *6999:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6998:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6999:B1 0.000210831
2 *6998:Y 0.000210831
3 *6999:A1 *6999:B1 6.08467e-05
4 *6999:A2 *6999:B1 0.000231025
5 *6999:C1 *6999:B1 4.70005e-05
6 *417:26 *6999:B1 4.54879e-05
7 *594:31 *6999:B1 0.000118166
*RES
1 *6998:Y *6999:B1 33.5661
*END
*D_NET *793 0.000869947
*CONN
*I *7000:B I *D sky130_fd_sc_hd__or2_1
*I *6999:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *7000:B 0.000417057
2 *6999:X 0.000417057
3 *7000:B *1096:853 3.58321e-05
4 *7000:B *1106:15 0
*RES
1 *6999:X *7000:B 34.7664
*END
*D_NET *794 0.000545085
*CONN
*I *7001:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7000:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *7001:A 0.000272543
2 *7000:X 0.000272543
3 *7001:A *1106:15 0
4 *7365:D *7001:A 0
*RES
1 *7000:X *7001:A 33.7966
*END
*D_NET *795 0.00221119
*CONN
*I *7008:A2 I *D sky130_fd_sc_hd__o211a_1
*I *7004:A I *D sky130_fd_sc_hd__nor2_1
*I *7002:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *7008:A2 0.000174146
2 *7004:A 0.000219252
3 *7002:X 3.5247e-05
4 *795:5 0.000428644
5 *7004:A *7005:A2 2.61012e-05
6 *7008:A2 *7006:A 0.00035734
7 *7008:A2 *7009:B 0
8 *7008:A2 *947:22 3.51034e-05
9 *7002:C *7004:A 2.23105e-05
10 *7159:B2 *7004:A 1.58551e-05
11 *7159:B2 *7008:A2 6.28454e-05
12 *417:11 *7008:A2 0.00042812
13 *503:8 *7008:A2 0.000247231
14 *791:44 *7004:A 0.000158997
*RES
1 *7002:X *795:5 10.2378
2 *795:5 *7004:A 15.5186
3 *795:5 *7008:A2 27.757
*END
*D_NET *796 0.00113106
*CONN
*I *7004:B I *D sky130_fd_sc_hd__nor2_1
*I *7003:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *7004:B 0.000212095
2 *7003:Y 0.000212095
3 *7004:B *7005:A2 4.30017e-06
4 *7004:B *7362:CLK 0.000417408
5 *7004:B *1096:873 8.62625e-06
6 *7366:D *7004:B 6.80864e-05
7 *594:44 *7004:B 0.000208447
8 *791:44 *7004:B 0
*RES
1 *7003:Y *7004:B 35.8672
*END
*D_NET *797 0.000893228
*CONN
*I *7005:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7004:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *7005:A2 0.000307308
2 *7004:Y 0.000307308
3 *7005:A2 *7006:C 5.41227e-05
4 *7005:A2 *946:12 2.18741e-05
5 *7004:A *7005:A2 2.61012e-05
6 *7004:B *7005:A2 4.30017e-06
7 *791:44 *7005:A2 0.000172215
*RES
1 *7004:Y *7005:A2 33.6572
*END
*D_NET *798 0.00308121
*CONN
*I *7007:A I *D sky130_fd_sc_hd__inv_2
*I *7012:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7006:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *7007:A 0
2 *7012:A 0.00119049
3 *7006:X 5.65594e-05
4 *798:5 0.00124705
5 *798:5 *7008:B1 6.73022e-05
6 *798:5 *7164:B2 0.000275256
7 *7008:C1 *7012:A 2.01653e-05
8 *7164:A2 *7012:A 0.000224381
*RES
1 *7006:X *798:5 12.191
2 *798:5 *7012:A 30.4949
3 *798:5 *7007:A 9.24915
*END
*D_NET *799 0.000611481
*CONN
*I *7008:B1 I *D sky130_fd_sc_hd__o211a_1
*I *7007:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7008:B1 0.000239788
2 *7007:Y 0.000239788
3 *7008:B1 *7164:B2 1.41291e-05
4 *7008:C1 *7008:B1 5.04734e-05
5 *798:5 *7008:B1 6.73022e-05
*RES
1 *7007:Y *7008:B1 32.1327
*END
*D_NET *800 0.000742802
*CONN
*I *7009:B I *D sky130_fd_sc_hd__or2_1
*I *7008:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *7009:B 0.000310212
2 *7008:X 0.000310212
3 *7009:B *7006:A 0.000122378
4 *7008:A2 *7009:B 0
5 *7009:A *7009:B 0
6 *7159:B2 *7009:B 0
*RES
1 *7008:X *7009:B 35.2111
*END
*D_NET *801 0.00117405
*CONN
*I *7010:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7009:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *7010:A 0.000478062
2 *7009:X 0.000478062
3 *7010:A *947:22 6.3657e-05
4 *7009:A *7010:A 2.41274e-06
5 *7367:D *7010:A 8.54125e-05
6 *740:52 *7010:A 6.64392e-05
*RES
1 *7009:X *7010:A 36.0444
*END
*D_NET *802 0.00646794
*CONN
*I *7013:A I *D sky130_fd_sc_hd__nand2_1
*I *7014:A1 I *D sky130_fd_sc_hd__o211a_1
*I *7174:B2 I *D sky130_fd_sc_hd__a221o_2
*I *7017:B I *D sky130_fd_sc_hd__nand3_1
*I *7016:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7011:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7013:A 0
2 *7014:A1 0.000231072
3 *7174:B2 0.000691108
4 *7017:B 0
5 *7016:A1 7.49464e-05
6 *7011:X 0.000143988
7 *802:41 0.000318033
8 *802:19 0.000749547
9 *802:8 0.000410806
10 *802:5 0.000508371
11 *7014:A1 *7014:A2 8.56618e-05
12 *7014:A1 *7014:B1 7.50872e-05
13 *7014:A1 *7187:C1 1.77537e-06
14 *7014:A1 *1022:18 0
15 *7014:A1 *1044:18 0.000144531
16 *7016:A1 *7016:A2 0.000569944
17 *7016:A1 *949:20 4.81718e-05
18 *7016:A1 *949:27 0.000381471
19 *7174:B2 *7174:A1 9.43308e-05
20 *7174:B2 *803:8 8.3647e-05
21 *7174:B2 *803:10 1.2693e-05
22 *7174:B2 *949:27 3.31733e-05
23 *7174:B2 *950:12 0
24 *802:5 *7187:B2 0.000303968
25 *802:8 *7014:A2 3.20069e-06
26 *802:8 *803:10 1.44611e-05
27 *802:8 *803:12 9.78016e-05
28 *802:8 *803:14 5.47736e-05
29 *802:8 *950:12 0
30 *802:19 *803:10 7.90257e-05
31 *802:19 *949:27 0.000156823
32 *802:19 *950:12 0
33 *802:41 *7013:B 0.00031994
34 *802:41 *7187:B2 0.00041971
35 *7169:B1 *7174:B2 1.67988e-05
36 *7174:A2 *7174:B2 1.67329e-05
37 *403:23 *7174:B2 8.97849e-05
38 *425:7 *7174:B2 0.000226475
39 *534:20 *7014:A1 1.00937e-05
40 *538:14 *802:8 0
*RES
1 *7011:X *802:5 14.4094
2 *802:5 *802:8 11.315
3 *802:8 *7016:A1 20.0427
4 *802:8 *802:19 3.07775
5 *802:19 *7017:B 13.7491
6 *802:19 *7174:B2 32.6558
7 *802:5 *802:41 5.18434
8 *802:41 *7014:A1 24.1428
9 *802:41 *7013:A 9.24915
*END
*D_NET *803 0.0074695
*CONN
*I *7017:C I *D sky130_fd_sc_hd__nand3_1
*I *7016:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7021:D I *D sky130_fd_sc_hd__and4_1
*I *7013:B I *D sky130_fd_sc_hd__nand2_1
*I *7014:A2 I *D sky130_fd_sc_hd__o211a_1
*I *7012:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7017:C 0
2 *7016:A2 0.000292535
3 *7021:D 0.000857141
4 *7013:B 0.000162206
5 *7014:A2 0.00026548
6 *7012:X 0.000794774
7 *803:14 0.000524177
8 *803:12 0.00108624
9 *803:10 0.000541819
10 *803:8 0.000911449
11 *7013:B *7187:B2 3.0332e-05
12 *7014:A2 *948:8 0
13 *7014:A2 *1044:18 0
14 *7016:A2 *949:20 1.19856e-05
15 *7021:D *7021:A 0.000121149
16 *7021:D *7021:C 0.00011818
17 *7021:D *7183:B2 6.36716e-05
18 *803:8 *950:12 0
19 *803:10 *1022:18 0
20 *803:12 *1022:18 0
21 *803:12 *1044:18 0
22 *7014:A1 *7014:A2 8.56618e-05
23 *7014:C1 *7014:A2 3.01683e-06
24 *7016:A1 *7016:A2 0.000569944
25 *7174:B2 *803:8 8.3647e-05
26 *7174:B2 *803:10 1.2693e-05
27 *7370:D *803:8 2.69064e-05
28 *435:8 *803:14 3.00073e-05
29 *435:9 *7013:B 2.41483e-05
30 *534:20 *7014:A2 7.48633e-05
31 *534:34 *7014:A2 0.000123176
32 *740:56 *803:8 8.50941e-05
33 *802:8 *7014:A2 3.20069e-06
34 *802:8 *803:10 1.44611e-05
35 *802:8 *803:12 9.78016e-05
36 *802:8 *803:14 5.47736e-05
37 *802:19 *803:10 7.90257e-05
38 *802:41 *7013:B 0.00031994
*RES
1 *7012:X *803:8 33.068
2 *803:8 *803:10 3.90826
3 *803:10 *803:12 3.90826
4 *803:12 *803:14 2.6625
5 *803:14 *7014:A2 22.0112
6 *803:14 *7013:B 19.1023
7 *803:12 *7021:D 27.2284
8 *803:10 *7016:A2 22.1164
9 *803:8 *7017:C 13.7491
*END
*D_NET *804 0.000291142
*CONN
*I *7014:B1 I *D sky130_fd_sc_hd__o211a_1
*I *7013:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *7014:B1 6.81568e-05
2 *7013:Y 6.81568e-05
3 *7014:B1 *1022:18 4.80148e-05
4 *7014:B1 *1044:18 3.17266e-05
5 *7014:A1 *7014:B1 7.50872e-05
*RES
1 *7013:Y *7014:B1 30.1608
*END
*D_NET *805 0.00113472
*CONN
*I *7015:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7014:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *7015:B1 0.000230096
2 *7014:X 0.000230096
3 *7015:B1 *1022:18 0.000315176
4 *7015:B1 *1044:18 0
5 *7368:D *7015:B1 0.000198737
6 *534:20 *7015:B1 0.000160617
*RES
1 *7014:X *7015:B1 34.9002
*END
*D_NET *806 0.000576191
*CONN
*I *7018:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7016:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7018:A2 0.000165722
2 *7016:X 0.000165722
3 *7018:A2 *949:20 6.92705e-05
4 *7018:A2 *949:27 4.82966e-05
5 *7018:A2 *950:12 0
6 *7018:A1 *7018:A2 5.2092e-05
7 *538:14 *7018:A2 7.50872e-05
*RES
1 *7016:X *7018:A2 31.5781
*END
*D_NET *807 0.0016882
*CONN
*I *7018:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7019:B I *D sky130_fd_sc_hd__xnor2_1
*I *7017:Y O *D sky130_fd_sc_hd__nand3_1
*CAP
1 *7018:A3 1.55075e-05
2 *7019:B 0.000239292
3 *7017:Y 0.000106537
4 *807:7 0.000361337
5 *7019:B *7020:A2 0.00015321
6 *7019:B *7370:CLK 0.000110567
7 *7019:B *950:12 0.000111287
8 *7019:B *1096:956 3.90891e-05
9 *807:7 *7178:B2 2.51527e-05
10 *7018:A1 *7019:B 1.77537e-06
11 *7018:B1 *7018:A3 6.50727e-05
12 *7018:B1 *807:7 0.000281999
13 *7369:D *7019:B 0.000153225
14 *597:33 *807:7 2.41483e-05
*RES
1 *7017:Y *807:7 13.3243
2 *807:7 *7019:B 27.9709
3 *807:7 *7018:A3 9.97254
*END
*D_NET *808 0.000659564
*CONN
*I *7020:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7019:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *7020:A2 0.000173559
2 *7019:Y 0.000173559
3 *7020:A2 *7019:A 2.41274e-06
4 *7020:A2 *950:12 0.000156823
5 *7019:B *7020:A2 0.00015321
*RES
1 *7019:Y *7020:A2 31.9934
*END
*D_NET *809 0.00107532
*CONN
*I *7022:B I *D sky130_fd_sc_hd__xor2_1
*I *7021:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *7022:B 0.000141935
2 *7021:X 0.000141935
3 *7022:B *7021:C 4.81015e-05
4 *7022:B *951:11 0.000375027
5 *7022:B *1096:943 8.62625e-06
6 *7022:B *1096:956 0.000139517
7 *7023:A1 *7022:B 0
8 *7371:D *7022:B 0.000220183
*RES
1 *7021:X *7022:B 34.2118
*END
*D_NET *810 0.000626347
*CONN
*I *7023:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7022:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *7023:A2 0.000192753
2 *7022:X 0.000192753
3 *7023:A2 *951:11 0.000167076
4 *7023:A2 *1096:939 0
5 *7023:A2 *1096:943 5.53789e-05
6 *7023:A1 *7023:A2 0
7 *7023:B1 *7023:A2 3.67528e-06
8 *7371:D *7023:A2 1.47102e-05
*RES
1 *7022:X *7023:A2 31.9934
*END
*D_NET *811 0.00155443
*CONN
*I *7026:C I *D sky130_fd_sc_hd__or4b_1
*I *7024:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *7026:C 0.000164004
2 *7024:Y 0.000164004
3 *7026:C *813:10 0.000201974
4 *7026:C *878:5 0.00094208
5 *7026:C *969:11 2.15184e-05
6 *7026:C *969:19 6.08467e-05
*RES
1 *7024:Y *7026:C 28.65
*END
*D_NET *812 0.00519615
*CONN
*I *7026:D_N I *D sky130_fd_sc_hd__or4b_1
*I *7032:D I *D sky130_fd_sc_hd__nand4_4
*I *7039:D I *D sky130_fd_sc_hd__nand4b_1
*I *7025:Y O *D sky130_fd_sc_hd__nor3_2
*CAP
1 *7026:D_N 7.5861e-05
2 *7032:D 0
3 *7039:D 0.000193745
4 *7025:Y 0.000250246
5 *812:16 0.000509179
6 *812:11 0.000641541
7 *7026:D_N *878:5 0.000193069
8 *7026:D_N *969:11 7.63448e-05
9 *7039:D *7032:A 0.000205006
10 *7039:D *7039:A_N 2.12954e-05
11 *7039:D *7039:C 3.75603e-05
12 *812:11 *6621:A 0.000107496
13 *812:11 *6621:D_N 6.50727e-05
14 *812:11 *834:13 5.22909e-05
15 *812:11 *834:18 5.64929e-05
16 *812:11 *878:5 0.000504332
17 *812:11 *969:11 4.31539e-05
18 *812:11 *1118:9 0.000162583
19 *812:11 *1171:32 5.05252e-05
20 *812:11 *1174:20 5.93461e-05
21 *812:16 *969:11 2.15656e-05
22 *812:16 *981:8 6.42805e-05
23 *812:16 *1175:21 0.000589048
24 *6580:A *7039:D 0.000123582
25 *6631:A *812:16 0.000181333
26 *7025:C *812:11 0.000158357
27 *7032:B *7039:D 6.08467e-05
28 *479:16 *812:16 0.000181333
29 *480:10 *7039:D 0.000130777
30 *498:8 *812:16 0.000332543
31 *510:10 *812:11 2.95757e-05
32 *511:10 *812:11 1.777e-05
*RES
1 *7025:Y *812:11 29.2896
2 *812:11 *812:16 22.8743
3 *812:16 *7039:D 24.5956
4 *812:16 *7032:D 9.24915
5 *812:11 *7026:D_N 12.2151
*END
*D_NET *813 0.00545294
*CONN
*I *7027:S I *D sky130_fd_sc_hd__mux2_1
*I *7026:X O *D sky130_fd_sc_hd__or4b_1
*CAP
1 *7027:S 0
2 *7026:X 0.00191211
3 *813:10 0.00191211
4 *813:10 *6687:A2 1.01091e-05
5 *813:10 *7024:A 4.58003e-05
6 *813:10 *7050:C 5.41227e-05
7 *813:10 *7054:A1 0.000931452
8 *813:10 *7054:B2 2.65124e-05
9 *813:10 *7054:C1 0.000186059
10 *813:10 *878:5 8.32874e-06
11 *813:10 *962:19 6.08467e-05
12 *813:10 *1098:72 1.92172e-05
13 *7026:C *813:10 0.000201974
14 *568:11 *813:10 7.50722e-05
15 *578:8 *813:10 9.22013e-06
*RES
1 *7026:X *813:10 49.7833
2 *813:10 *7027:S 9.24915
*END
*D_NET *814 0.0121737
*CONN
*I *7028:B I *D sky130_fd_sc_hd__and2_1
*I *7027:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *7028:B 0
2 *7027:X 0.00147049
3 *814:21 0.00132996
4 *814:10 0.00280046
5 *814:10 *7099:A3 7.50722e-05
6 *814:10 *842:38 4.26859e-05
7 *814:10 *878:5 0.0021708
8 *814:10 *962:19 6.11359e-06
9 *814:10 *1140:55 9.2346e-06
10 *814:21 *7096:A2 0.000421717
11 *814:21 *7096:B1 0.0011852
12 *814:21 *7096:B2 3.24516e-05
13 *814:21 *7096:C1 0.00011818
14 *814:21 *7098:A3 0.000113968
15 *814:21 *7098:B1 6.50586e-05
16 *814:21 *7099:A3 0.000370815
17 *814:21 *848:35 0.000229119
18 *814:21 *875:10 0.000153949
19 *814:21 *1069:11 1.03403e-05
20 *814:21 *1110:37 0.00103542
21 *814:21 *1141:9 0.00051722
22 *814:21 *1141:25 1.15389e-05
23 *7287:D *814:21 3.92179e-06
*RES
1 *7027:X *814:10 44.6525
2 *814:10 *814:21 46.4661
3 *814:21 *7028:B 9.24915
*END
*D_NET *815 0.00081443
*CONN
*I *7029:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7028:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *7029:A 9.12316e-05
2 *7028:X 9.12316e-05
3 *7029:A *7096:A2 0.000487686
4 *7029:A *962:17 2.61012e-05
5 *7372:D *7029:A 0.00011818
*RES
1 *7028:X *7029:A 23.6585
*END
*D_NET *816 0.00119618
*CONN
*I *7031:B I *D sky130_fd_sc_hd__nor2_2
*I *7030:X O *D sky130_fd_sc_hd__or4b_1
*CAP
1 *7031:B 0.000375033
2 *7030:X 0.000375033
3 *7031:B *6778:A 8.65358e-05
4 *7031:B *1156:39 5.65074e-05
5 *6629:D *7031:B 7.14746e-05
6 *6776:B *7031:B 0.000181333
7 *7035:A *7031:B 2.65831e-05
8 *481:19 *7031:B 0
9 *498:28 *7031:B 2.36813e-05
*RES
1 *7030:X *7031:B 36.5934
*END
*D_NET *817 0.00445386
*CONN
*I *7045:C I *D sky130_fd_sc_hd__and4bb_2
*I *7039:C I *D sky130_fd_sc_hd__nand4b_1
*I *7032:C I *D sky130_fd_sc_hd__nand4_4
*I *7031:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
1 *7045:C 0.000163574
2 *7039:C 0.000175252
3 *7032:C 9.06997e-05
4 *7031:Y 0.000800422
5 *817:8 0.000417415
6 *817:6 0.00111546
7 *7032:C *1156:40 0.000143032
8 *7039:C *7039:A_N 2.41274e-06
9 *7039:C *825:11 0.000158357
10 *7039:C *1121:9 5.32722e-05
11 *7045:C *1112:21 0.00027329
12 *817:6 *7092:A 0.000165495
13 *817:6 *981:8 0.000230258
14 *817:6 *1156:39 8.93423e-05
15 *817:6 *1156:40 0.000252374
16 *817:8 *1156:40 0.000285645
17 *7039:D *7039:C 3.75603e-05
18 *7045:A_N *7032:C 0
19 *7045:A_N *817:8 0
20 *632:12 *817:6 0
21 *632:12 *817:8 0
*RES
1 *7031:Y *817:6 35.5132
2 *817:6 *817:8 5.15401
3 *817:8 *7032:C 16.4116
4 *817:8 *7039:C 18.5718
5 *817:6 *7045:C 16.691
*END
*D_NET *818 0.0107805
*CONN
*I *7147:B I *D sky130_fd_sc_hd__nor3b_4
*I *7033:B I *D sky130_fd_sc_hd__and2_2
*I *7102:B I *D sky130_fd_sc_hd__nor2_2
*I *7064:B I *D sky130_fd_sc_hd__nor3b_2
*I *7032:Y O *D sky130_fd_sc_hd__nand4_4
*CAP
1 *7147:B 0.000280819
2 *7033:B 0.000147594
3 *7102:B 0
4 *7064:B 0.000509157
5 *7032:Y 0.000938801
6 *818:27 0.000664324
7 *818:20 0.00120708
8 *818:5 0.00241913
9 *7033:B *819:10 0.000110701
10 *7064:B *7054:B1 0.000141533
11 *7064:B *7064:A 0.00021459
12 *7064:B *7064:C_N 6.50727e-05
13 *7064:B *823:37 0.000205816
14 *7064:B *834:50 0.000351978
15 *7064:B *841:8 0.000388014
16 *7064:B *1176:27 6.92705e-05
17 *7147:B *7191:A 2.22198e-05
18 *7147:B *835:25 0.000104582
19 *7147:B *1058:16 0
20 *818:5 *1123:9 0.00146383
21 *818:20 *7041:B 2.72267e-05
22 *818:20 *7101:A 5.77352e-05
23 *818:20 *824:10 0.000310109
24 *818:20 *831:10 2.652e-05
25 *818:20 *835:11 0.000100734
26 *818:20 *835:25 0.000147135
27 *818:20 *894:17 7.07092e-05
28 *818:20 *1058:16 0
29 *818:20 *1123:9 0.000366617
30 *818:20 *1179:6 0.000104731
31 *818:27 *7101:A 0.000148145
32 *818:27 *835:25 5.86314e-05
33 *818:27 *894:17 5.77061e-05
34 *818:27 *1058:16 0
35 *438:8 *7147:B 0
*RES
1 *7032:Y *818:5 25.5014
2 *818:5 *7064:B 37.8299
3 *818:5 *818:20 29.8999
4 *818:20 *7102:B 13.7491
5 *818:20 *818:27 6.81502
6 *818:27 *7033:B 17.8002
7 *818:27 *7147:B 21.2876
*END
*D_NET *819 0.0229126
*CONN
*I *7157:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7126:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7034:A I *D sky130_fd_sc_hd__clkbuf_4
*I *7033:X O *D sky130_fd_sc_hd__and2_2
*CAP
1 *7157:A 0.000278844
2 *7126:A 3.0911e-05
3 *7034:A 0
4 *7033:X 0.00157718
5 *819:39 0.00146837
6 *819:34 0.00216574
7 *819:25 0.00343729
8 *819:10 0.00400734
9 *7157:A *820:50 0.000278607
10 *7157:A *900:9 0.000213725
11 *819:10 *7188:A1 9.24241e-05
12 *819:10 *827:21 9.60366e-05
13 *819:10 *883:27 5.84876e-05
14 *819:10 *909:8 5.05976e-05
15 *819:25 *7129:A 1.41291e-05
16 *819:25 *7140:A1 0.00139228
17 *819:25 *7140:A2 0.000322682
18 *819:25 *820:7 6.08467e-05
19 *819:25 *838:36 0.00075911
20 *819:25 *855:7 0.000107496
21 *819:25 *903:5 0.000122969
22 *819:25 *903:29 9.36451e-05
23 *819:34 *910:11 0.00014041
24 *819:34 *1096:706 0.000407104
25 *819:34 *1096:841 0.000207294
26 *6980:A *7126:A 2.65667e-05
27 *6983:B *819:34 2.95757e-05
28 *6984:A1 *819:34 0.000207266
29 *6984:A2 *819:34 6.08467e-05
30 *6987:C *819:34 0.000349348
31 *7033:B *819:10 0.000110701
32 *432:14 *819:39 0.00170039
33 *435:9 *7126:A 2.16355e-05
34 *533:47 *7126:A 1.66771e-05
35 *533:47 *7157:A 0.00016888
36 *547:11 *819:34 0.000536581
37 *547:18 *819:34 6.50727e-05
38 *584:5 *819:34 6.75138e-05
39 *584:11 *819:25 3.01887e-05
40 *584:11 *819:34 0.00139051
41 *589:26 *819:25 2.77625e-06
42 *589:26 *819:34 0.000456974
43 *740:31 *7126:A 2.02035e-05
44 *740:31 *7157:A 0.000164433
45 *776:22 *819:34 0.000102899
46 *779:20 *819:39 0
47 *779:22 *819:39 0
*RES
1 *7033:X *819:10 42.4341
2 *819:10 *7034:A 9.24915
3 *819:10 *819:25 45.5016
4 *819:25 *819:34 48.9118
5 *819:34 *819:39 10.0765
6 *819:39 *7126:A 14.8512
7 *819:39 *7157:A 24.4132
*END
*D_NET *820 0.0393519
*CONN
*I *7042:A I *D sky130_fd_sc_hd__and3_1
*I *7187:A2 I *D sky130_fd_sc_hd__a221o_2
*I *7183:A2 I *D sky130_fd_sc_hd__a221o_2
*I *7094:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7063:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7034:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *7042:A 0.000637536
2 *7187:A2 0.000154634
3 *7183:A2 2.27562e-05
4 *7094:A 2.53657e-05
5 *7063:A 0.000990811
6 *7034:X 4.51842e-05
7 *820:50 0.00244746
8 *820:44 0.00254626
9 *820:36 0.00196552
10 *820:28 0.00413872
11 *820:22 0.0031192
12 *820:8 0.00261629
13 *820:7 0.00161302
14 *7042:A *7042:C 0.000415856
15 *7042:A *7189:B1 0.000105985
16 *7042:A *827:21 7.50872e-05
17 *7042:A *828:14 0.000158357
18 *7042:A *855:39 6.88529e-05
19 *7042:A *927:19 0.000288885
20 *7063:A *6688:A 0.000221185
21 *7063:A *7072:B1 5.0715e-05
22 *7063:A *7077:B1 0
23 *7063:A *7086:A3 4.5539e-05
24 *7063:A *847:10 0.000160617
25 *7063:A *855:24 0.000331956
26 *7063:A *865:15 5.04829e-06
27 *7063:A *1110:12 0
28 *7094:A *7116:A1 4.01315e-05
29 *7094:A *873:51 1.58706e-05
30 *7183:A2 *7183:A1 8.83972e-05
31 *7183:A2 *7183:B2 2.48809e-05
32 *7187:A2 *7183:A1 6.75138e-05
33 *7187:A2 *7183:B2 0.000589147
34 *7187:A2 *7187:B2 3.27324e-05
35 *820:8 *6737:A 0.000106215
36 *820:8 *855:17 0.00155353
37 *820:8 *855:39 3.20069e-06
38 *820:8 *927:19 0.00130063
39 *820:22 *7093:A 0.000695917
40 *820:22 *832:26 0.000127271
41 *820:22 *832:30 0.00318637
42 *820:22 *872:18 0.000171288
43 *820:22 *1096:1217 0.000292954
44 *820:22 *1131:9 7.8082e-07
45 *820:22 *1131:15 0.000259475
46 *820:22 *1131:17 5.02752e-05
47 *820:28 *1123:22 2.57465e-06
48 *820:36 *6987:A 0.000169093
49 *820:36 *7116:A1 0.00035735
50 *820:36 *7116:B1 3.77659e-05
51 *820:36 *873:51 0.000114799
52 *820:36 *881:10 0
53 *820:36 *892:13 0.0011624
54 *820:36 *910:11 0
55 *820:36 *1106:33 0
56 *820:36 *1143:28 8.32978e-05
57 *820:36 *1143:56 2.82635e-05
58 *820:44 *944:21 7.09666e-06
59 *820:44 *1107:47 0.00107787
60 *820:50 *7138:A2 1.00846e-05
61 *820:50 *7169:A1 7.65861e-05
62 *820:50 *7183:B2 0.000199304
63 *820:50 *900:9 0.000100643
64 *820:50 *910:11 0.00123915
65 *6688:C *7063:A 0.00014554
66 *6688:C *820:8 0.000118268
67 *6689:A1 *7063:A 7.03198e-05
68 *6722:C *820:8 0.000156479
69 *6958:A *820:22 2.41483e-05
70 *6958:C *820:22 0.000364356
71 *6959:A2 *820:22 0.000217923
72 *6967:A *820:36 3.1218e-05
73 *6985:B *820:44 2.49672e-05
74 *6989:B *820:36 0
75 *7157:A *820:50 0.000278607
76 *7187:B1 *7187:A2 8.86419e-05
77 *7188:A3 *7042:A 3.75603e-05
78 *7189:A3 *7042:A 7.86847e-05
79 *7356:D *820:28 0.000115874
80 *7358:D *820:36 0
81 *402:12 *7042:A 0.000311249
82 *405:21 *7042:A 2.20702e-05
83 *411:10 *820:50 0.00066862
84 *523:70 *820:8 3.45797e-05
85 *538:43 *820:28 0
86 *541:19 *820:28 0
87 *557:6 *820:36 0
88 *557:22 *820:36 0
89 *559:56 *7063:A 6.60341e-05
90 *559:56 *820:8 0.000248212
91 *562:16 *820:36 0
92 *589:32 *820:36 3.76125e-05
93 *589:32 *820:44 0.000392015
94 *589:45 *820:44 0.000105463
95 *759:8 *820:28 0.00012896
96 *819:25 *820:7 6.08467e-05
*RES
1 *7034:X *820:7 14.4725
2 *820:7 *820:8 39.6199
3 *820:8 *7063:A 41.4984
4 *820:8 *820:22 49.6549
5 *820:22 *820:28 14.1377
6 *820:28 *7094:A 14.543
7 *820:28 *820:36 46.0564
8 *820:36 *820:44 27.5627
9 *820:44 *820:50 47.744
10 *820:50 *7183:A2 10.2498
11 *820:50 *7187:A2 16.7062
12 *820:7 *7042:A 40.8513
*END
*D_NET *821 0.00961253
*CONN
*I *7160:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7036:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7129:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7035:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *7160:A 0.000281649
2 *7036:A 0
3 *7129:A 8.35524e-05
4 *7035:Y 0.00240405
5 *821:16 0.000410183
6 *821:5 0.00261614
7 *7129:A *838:36 0.000122378
8 *7160:A *7166:A 3.51249e-05
9 *7160:A *838:36 1.2601e-05
10 *7160:A *913:10 0.000317253
11 *7160:A *1059:16 0
12 *821:5 *838:15 4.16492e-05
13 *821:5 *838:25 4.0752e-05
14 *821:5 *838:36 7.68538e-06
15 *821:5 *842:5 0.000317707
16 *821:5 *842:15 0.00184868
17 *821:5 *842:34 0.000659414
18 *821:16 *838:36 3.00835e-05
19 *821:16 *1059:16 0
20 *7035:A *821:5 6.3657e-05
21 *7180:A2 *7160:A 0
22 *405:27 *7160:A 7.24449e-05
23 *414:14 *7160:A 0.000111708
24 *419:6 *7160:A 0
25 *425:26 *7160:A 0.000111708
26 *633:18 *821:5 9.98615e-06
27 *819:25 *7129:A 1.41291e-05
*RES
1 *7035:Y *821:5 49.904
2 *821:5 *7129:A 12.0704
3 *821:5 *821:16 7.1625
4 *821:16 *7036:A 13.7491
5 *821:16 *7160:A 23.506
*END
*D_NET *822 0.0143815
*CONN
*I *7184:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7042:B I *D sky130_fd_sc_hd__and3_1
*I *7188:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7097:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7069:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7036:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7184:B1 0.000163666
2 *7042:B 0.000506673
3 *7188:B1 3.76323e-05
4 *7097:A 0
5 *7069:A 0.000444888
6 *7036:X 0.000283728
7 *822:57 0.000774272
8 *822:50 0.000739864
9 *822:31 0.000769818
10 *822:26 0.00202931
11 *822:5 0.00233433
12 *7042:B *927:19 7.65861e-05
13 *7069:A *7098:A2 0.000410711
14 *7069:A *7098:B1 0
15 *7069:A *7107:A3 5.76123e-05
16 *7069:A *853:54 4.56831e-05
17 *7069:A *862:7 1.43983e-05
18 *7069:A *862:10 3.63743e-05
19 *7069:A *862:47 0.000141601
20 *7184:B1 *7185:A1 0
21 *822:26 *7107:A2 2.56894e-05
22 *822:26 *7107:A3 0
23 *822:26 *7109:A3 4.90264e-05
24 *822:26 *7114:A3 2.50914e-05
25 *822:26 *7118:A3 2.36289e-05
26 *822:26 *7131:A1 0
27 *822:26 *7131:A3 1.11594e-05
28 *822:26 *7131:B1 0
29 *822:26 *7136:B1 0.00023344
30 *822:26 *7289:CLK 0
31 *822:26 *838:36 0
32 *822:26 *842:38 0
33 *822:26 *872:18 0
34 *822:26 *883:27 5.41377e-05
35 *822:26 *884:18 3.5333e-05
36 *822:26 *886:8 0
37 *822:26 *887:13 0.000192472
38 *822:26 *895:22 0
39 *822:26 *899:8 6.00921e-05
40 *822:26 *902:24 0
41 *822:26 *906:24 0
42 *822:26 *1105:40 5.19205e-05
43 *822:31 *7099:A1 0.000111722
44 *822:31 *7099:B1 3.67528e-06
45 *822:31 *7107:A3 0.000212758
46 *822:31 *7289:CLK 0
47 *822:31 *842:38 0
48 *822:31 *962:19 1.92172e-05
49 *822:31 *1140:53 0.000164829
50 *822:50 *7185:A1 0
51 *822:50 *899:8 6.88784e-05
52 *6714:B1 *822:26 6.28565e-05
53 *6714:B1 *822:31 1.05601e-05
54 *7080:A *7069:A 0.000423922
55 *7139:A *822:26 0
56 *7188:A3 *7042:B 7.65861e-05
57 *7188:A3 *7188:B1 2.16355e-05
58 *7289:D *822:26 9.60216e-05
59 *402:18 *822:57 0.000771444
60 *419:6 *822:50 0
61 *435:21 *822:5 0.000483474
62 *435:21 *822:50 0.000213725
63 *485:41 *822:26 0
64 *576:62 *822:26 0
65 *595:13 *7042:B 0.000615589
66 *595:13 *7188:B1 0.000107496
67 *595:13 *822:57 0.000260388
68 *595:17 *822:57 0.00102761
*RES
1 *7036:X *822:5 14.4094
2 *822:5 *822:26 47.4297
3 *822:26 *822:31 13.7705
4 *822:31 *7069:A 34.101
5 *822:31 *7097:A 9.24915
6 *822:5 *822:50 11.626
7 *822:50 *822:57 20.3906
8 *822:57 *7188:B1 10.5271
9 *822:57 *7042:B 27.5991
10 *822:50 *7184:B1 16.8269
*END
*D_NET *823 0.0121562
*CONN
*I *7038:B I *D sky130_fd_sc_hd__or2_1
*I *7261:A I *D sky130_fd_sc_hd__nor2_1
*I *7203:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *7037:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
1 *7038:B 0
2 *7261:A 0.000497216
3 *7203:A2 7.95338e-05
4 *7037:Y 7.14857e-05
5 *823:37 0.00112873
6 *823:17 0.00212584
7 *823:7 0.0027493
8 *7203:A2 *1125:18 5.03867e-05
9 *7261:A *1017:8 2.82537e-05
10 *7261:A *1017:20 0.000421978
11 *7261:A *1125:18 0.00010064
12 *823:7 *7037:B 0.00011818
13 *823:17 *6594:A 0.000101446
14 *823:17 *963:8 0.00017419
15 *823:17 *1057:14 0
16 *823:17 *1061:11 6.64392e-05
17 *823:17 *1137:21 0
18 *823:17 *1156:55 0.000213739
19 *823:37 *7058:B2 0.000146645
20 *823:37 *7060:A 0
21 *823:37 *7064:A 0.000219686
22 *823:37 *7068:A 0
23 *823:37 *7091:B1 5.04734e-05
24 *823:37 *824:10 0.000311235
25 *823:37 *834:50 0.000346365
26 *823:37 *841:8 0
27 *823:37 *864:8 3.77659e-05
28 *823:37 *963:8 4.33979e-05
29 *823:37 *963:17 0.00207023
30 *823:37 *1121:17 8.62625e-06
31 *823:37 *1123:9 9.03974e-05
32 *7038:A *823:37 0.000111722
33 *7064:B *823:37 0.000205816
34 *7203:A1 *7203:A2 0.000138663
35 *502:37 *823:17 0.000326398
36 *626:6 *823:17 1.80207e-05
37 *626:10 *823:17 0.000103416
*RES
1 *7037:Y *823:7 15.0271
2 *823:7 *823:17 43.4878
3 *823:17 *7203:A2 16.4116
4 *823:17 *7261:A 28.2243
5 *823:7 *823:37 48.589
6 *823:37 *7038:B 9.24915
*END
*D_NET *824 0.00352438
*CONN
*I *7041:A I *D sky130_fd_sc_hd__nor2_1
*I *7049:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7038:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *7041:A 5.19977e-05
2 *7049:A 7.3428e-05
3 *7038:X 0.00066658
4 *824:10 0.000792006
5 *7041:A *6713:B 0.000199541
6 *7041:A *1112:21 8.65522e-05
7 *7041:A *1112:25 0
8 *7049:A *6713:B 8.41329e-05
9 *7049:A *831:10 0.000111708
10 *7049:A *1112:21 0.000244093
11 *824:10 *7041:B 0.00012396
12 *824:10 *1121:17 0.000464127
13 *824:10 *1123:9 4.91225e-06
14 *818:20 *824:10 0.000310109
15 *823:37 *824:10 0.000311235
*RES
1 *7038:X *824:10 32.8609
2 *824:10 *7049:A 12.9385
3 *824:10 *7041:A 12.2151
*END
*D_NET *825 0.00338323
*CONN
*I *7040:A I *D sky130_fd_sc_hd__inv_2
*I *7039:Y O *D sky130_fd_sc_hd__nand4b_1
*CAP
1 *7040:A 0
2 *7039:Y 0.00118239
3 *825:11 0.00118239
4 *825:11 *838:10 0
5 *825:11 *1121:9 0.00010961
6 *6775:A_N *825:11 0.000273166
7 *7039:C *825:11 0.000158357
8 *514:22 *825:11 1.2693e-05
9 *520:27 *825:11 0.000306482
10 *520:32 *825:11 6.08467e-05
11 *521:9 *825:11 5.99527e-05
12 *521:18 *825:11 3.73375e-05
*RES
1 *7039:Y *825:11 42.8732
2 *825:11 *7040:A 9.24915
*END
*D_NET *826 0.00464884
*CONN
*I *7041:B I *D sky130_fd_sc_hd__nor2_1
*I *7054:B2 I *D sky130_fd_sc_hd__a221o_1
*I *7040:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7041:B 0.00031347
2 *7054:B2 0.000515173
3 *7040:Y 0.000705421
4 *826:7 0.00153406
5 *7041:B *1058:16 0.000202339
6 *7054:B2 *6704:B 0.000118594
7 *7054:B2 *7054:A1 0.000140422
8 *7054:B2 *7054:A2 1.67329e-05
9 *7054:B2 *835:11 0
10 *7054:B2 *878:5 0.000557411
11 *7054:B2 *1058:16 0.000277091
12 *7054:B2 *1098:72 9.04224e-05
13 *813:10 *7054:B2 2.65124e-05
14 *818:20 *7041:B 2.72267e-05
15 *824:10 *7041:B 0.00012396
*RES
1 *7040:Y *826:7 23.3462
2 *826:7 *7054:B2 32.1549
3 *826:7 *7041:B 23.0557
*END
*D_NET *827 0.00832797
*CONN
*I *7156:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7042:C I *D sky130_fd_sc_hd__and3_1
*I *7061:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7041:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *7156:A 0.000146573
2 *7042:C 0.000130651
3 *7061:A 0
4 *7041:Y 0.00123349
5 *827:21 0.000591813
6 *827:14 0.00111495
7 *827:13 0.00203385
8 *7042:C *909:8 7.08723e-06
9 *7156:A *855:39 1.20314e-05
10 *7156:A *909:8 4.23775e-05
11 *827:13 *6713:B 0.000360159
12 *827:14 *6722:A 4.85326e-05
13 *827:14 *6723:A2 0.000257179
14 *827:14 *6744:A1 8.3647e-05
15 *827:14 *7134:A1 0.000112541
16 *827:14 *7188:A1 5.50603e-05
17 *827:14 *855:17 0
18 *827:14 *909:8 9.35753e-06
19 *827:14 *1062:74 0
20 *827:14 *1103:34 0.000105985
21 *827:21 *7188:A1 0.000162806
22 *827:21 *855:39 4.46284e-06
23 *827:21 *909:8 9.8239e-05
24 *7042:A *7042:C 0.000415856
25 *7042:A *827:21 7.50872e-05
26 *7175:A2 *7156:A 2.24484e-05
27 *7189:A3 *827:21 2.04806e-05
28 *7300:D *827:13 4.11136e-05
29 *7303:D *827:14 3.77659e-05
30 *405:21 *7042:C 0.000410992
31 *405:24 *7156:A 0.000137936
32 *522:9 *827:13 5.61199e-05
33 *559:50 *827:14 5.75924e-05
34 *559:56 *827:14 0.000116986
35 *569:17 *827:13 2.99929e-05
36 *570:8 *827:13 7.14746e-05
37 *589:7 *7156:A 5.0715e-05
38 *599:12 *827:14 7.65861e-05
39 *819:10 *827:21 9.60366e-05
*RES
1 *7041:Y *827:13 41.6962
2 *827:13 *827:14 22.5946
3 *827:14 *7061:A 13.7491
4 *827:14 *827:21 9.51416
5 *827:21 *7042:C 20.3101
6 *827:21 *7156:A 18.7278
*END
*D_NET *828 0.00609059
*CONN
*I *7196:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7043:A I *D sky130_fd_sc_hd__buf_2
*I *7042:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *7196:A 0.000133296
2 *7043:A 0.000462496
3 *7042:X 0.000666983
4 *828:14 0.00126278
5 *7043:A *829:7 3.25584e-05
6 *7043:A *898:32 0.000326398
7 *7043:A *1024:15 0.000436167
8 *7043:A *1104:9 0.000876056
9 *7196:A *1063:72 0.000170592
10 *828:14 *7132:A2 0
11 *828:14 *898:32 0
12 *828:14 *909:8 0.000197171
13 *828:14 *1062:74 0.000197171
14 *828:14 *1063:72 0.000807654
15 *6585:A *828:14 8.90837e-05
16 *7042:A *828:14 0.000158357
17 *7170:A *828:14 3.68867e-05
18 *424:7 *7043:A 7.85867e-05
19 *589:7 *828:14 0.000158357
*RES
1 *7042:X *828:14 44.6122
2 *828:14 *7043:A 33.8837
3 *828:14 *7196:A 17.5503
*END
*D_NET *829 0.0196291
*CONN
*I *7192:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7193:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7194:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7059:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7055:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7043:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *7192:B1 0.000288372
2 *7193:B1 0
3 *7194:B1 6.5973e-05
4 *7059:A2 2.19663e-05
5 *7055:A2 0.000164416
6 *7043:X 0.000523353
7 *829:40 0.000613082
8 *829:30 0.000554662
9 *829:8 0.00225781
10 *829:7 0.00275876
11 *7055:A2 *7055:A1 0.000207266
12 *7055:A2 *840:14 4.98393e-05
13 *7055:A2 *1054:14 0.000141533
14 *7055:A2 *1110:5 0.000111785
15 *7055:A2 *1110:11 0
16 *7192:B1 *7193:B2 2.24484e-05
17 *7192:B1 *1036:11 3.14978e-05
18 *829:7 *1104:9 0.000126568
19 *829:8 *7024:A 2.97007e-05
20 *829:8 *7193:B2 0.000681546
21 *829:8 *838:10 0.00213013
22 *829:8 *840:14 0.00177944
23 *829:8 *1054:14 0.00222711
24 *829:8 *1057:28 0
25 *829:8 *1096:1603 0.000436203
26 *829:8 *1096:1614 0.000765755
27 *829:8 *1128:24 0.00283371
28 *829:30 *1057:28 0
29 *829:40 *7193:B2 0.000226953
30 *829:40 *7194:A1 0
31 *829:40 *1057:28 0
32 *7024:B *829:8 1.56321e-05
33 *7043:A *829:7 3.25584e-05
34 *7192:A2 *7192:B1 1.67329e-05
35 *7192:C1 *7192:B1 0.000262658
36 *7194:A2 *7194:B1 6.7346e-05
37 *7194:C1 *7194:B1 9.31675e-06
38 *7194:C1 *829:40 8.09499e-06
39 *7268:A *829:8 5.04734e-05
40 *7397:D *7192:B1 1.84293e-05
41 *7398:D *7192:B1 0
42 *7399:D *829:40 2.29056e-05
43 *7434:D *829:8 0
44 *438:16 *7192:B1 9.98029e-06
45 *438:66 *7059:A2 6.50727e-05
46 *470:41 *829:8 0
*RES
1 *7043:X *829:7 22.237
2 *829:7 *829:8 102.323
3 *829:8 *7055:A2 19.9322
4 *829:8 *7059:A2 14.4725
5 *829:7 *829:30 8.40826
6 *829:30 *7194:B1 11.0817
7 *829:30 *829:40 13.1476
8 *829:40 *7193:B1 13.7491
9 *829:40 *7192:B1 22.2871
*END
*D_NET *830 0.0100518
*CONN
*I *7098:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7089:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7047:A I *D sky130_fd_sc_hd__and3_1
*I *7050:D I *D sky130_fd_sc_hd__and4bb_1
*I *7068:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7044:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7098:A2 0.000276188
2 *7089:A2 2.89116e-05
3 *7047:A 3.43149e-05
4 *7050:D 0.000383561
5 *7068:A 0.000186277
6 *7044:X 9.65231e-05
7 *830:38 0.00148471
8 *830:26 0.00216394
9 *830:9 0.000569838
10 *830:7 0.00104654
11 *7047:A *1062:72 0.000101133
12 *7047:A *1063:70 0.000101133
13 *7050:D *7050:C 0.000118166
14 *7050:D *7054:A2 0
15 *7050:D *7054:B1 2.85139e-05
16 *7050:D *7060:A 0
17 *7050:D *835:11 3.67528e-06
18 *7050:D *1098:72 0.000196013
19 *7068:A *7091:B1 0
20 *7068:A *1098:72 0.000111901
21 *7089:A2 *853:54 1.90191e-05
22 *7089:A2 *862:10 2.22198e-05
23 *7098:A2 *7098:A1 0.000159668
24 *7098:A2 *7098:B1 3.67528e-06
25 *7098:A2 *853:54 7.50722e-05
26 *7098:A2 *862:10 1.97826e-05
27 *830:7 *6702:B 5.0715e-05
28 *830:26 *6702:B 0.000216219
29 *830:26 *853:18 0.000164843
30 *830:38 *853:18 0.00126545
31 *7069:A *7098:A2 0.000410711
32 *524:13 *830:26 5.32688e-05
33 *524:13 *830:38 7.02172e-06
34 *524:17 *830:38 0.000570356
35 *567:16 *7098:A2 0
36 *568:11 *830:26 8.24833e-05
37 *823:37 *7068:A 0
*RES
1 *7044:X *830:7 11.1059
2 *830:7 *830:9 4.5
3 *830:9 *7068:A 18.4879
4 *830:9 *7050:D 23.9184
5 *830:7 *830:26 15.1431
6 *830:26 *7047:A 20.0811
7 *830:26 *830:38 25.1891
8 *830:38 *7089:A2 14.7506
9 *830:38 *7098:A2 23.7885
*END
*D_NET *831 0.0275485
*CONN
*I *7158:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7046:A I *D sky130_fd_sc_hd__buf_2
*I *7127:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7045:X O *D sky130_fd_sc_hd__and4bb_2
*CAP
1 *7158:A 0.000164962
2 *7046:A 0
3 *7127:A 4.19375e-05
4 *7045:X 0.000567258
5 *831:43 0.00061004
6 *831:36 0.00100733
7 *831:27 0.00166425
8 *831:26 0.00233931
9 *831:13 0.00314401
10 *831:10 0.00251589
11 *7127:A *901:9 0.000164829
12 *7158:A *978:12 9.34869e-05
13 *831:10 *6713:B 0.00112011
14 *831:10 *835:11 7.50872e-05
15 *831:10 *835:25 1.07248e-05
16 *831:10 *1057:27 4.33819e-05
17 *831:10 *1112:21 0.000843903
18 *831:13 *1112:21 0.000623463
19 *831:13 *1112:25 0.000144259
20 *831:13 *1112:33 0.000143875
21 *831:13 *1112:39 6.21719e-05
22 *831:26 *7109:A3 2.16355e-05
23 *831:26 *7109:B1 0.000107496
24 *831:26 *887:13 6.50727e-05
25 *831:26 *1112:39 0.0001558
26 *831:27 *5651:DIODE 1.62206e-05
27 *831:27 *1112:46 0.00317414
28 *831:27 *1112:78 0.000317693
29 *831:36 *7133:B2 0.000403054
30 *831:36 *941:14 6.60341e-05
31 *831:36 *1107:48 0
32 *6698:A *831:26 6.50727e-05
33 *6713:A *831:13 6.08467e-05
34 *6713:C *831:13 3.8122e-05
35 *6738:B1 *831:13 0.000234372
36 *6961:A *831:27 6.99486e-05
37 *6965:B1 *831:27 5.94977e-06
38 *6970:A *831:27 0.000353672
39 *6970:B *831:27 2.18145e-05
40 *6977:D *831:36 0.000334688
41 *7045:A_N *831:10 0.000171273
42 *7049:A *831:10 0.000111708
43 *435:9 *7158:A 1.41307e-05
44 *503:8 *831:36 0.000304756
45 *534:20 *7158:A 5.16917e-05
46 *534:20 *831:43 0.000364356
47 *562:16 *831:26 0.000438345
48 *562:16 *831:27 0.00229214
49 *562:24 *831:26 0.000704758
50 *574:47 *831:26 1.66626e-05
51 *576:12 *831:26 6.45209e-05
52 *597:10 *831:26 7.86825e-06
53 *597:10 *831:27 3.14978e-05
54 *597:50 *831:27 0.000188255
55 *696:89 *7127:A 0.000213725
56 *696:89 *831:43 0.000704796
57 *763:7 *831:27 0.000431744
58 *763:14 *831:27 0.000400066
59 *769:8 *831:36 7.65861e-05
60 *775:8 *831:36 4.11673e-05
61 *818:20 *831:10 2.652e-05
*RES
1 *7045:X *831:10 43.128
2 *831:10 *831:13 31.2507
3 *831:13 *831:26 44.7004
4 *831:26 *831:27 60.6206
5 *831:27 *831:36 27.1001
6 *831:36 *7127:A 11.6364
7 *831:36 *831:43 14.0339
8 *831:43 *7046:A 9.24915
9 *831:43 *7158:A 23.1889
*END
*D_NET *832 0.0312308
*CONN
*I *7183:C1 I *D sky130_fd_sc_hd__a221o_2
*I *7187:C1 I *D sky130_fd_sc_hd__a221o_2
*I *7095:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *5642:DIODE I *D sky130_fd_sc_hd__diode_2
*I *7047:C I *D sky130_fd_sc_hd__and3_1
*I *7066:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7046:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *7183:C1 0.000103554
2 *7187:C1 0.000315614
3 *7095:A 0
4 *5642:DIODE 0
5 *7047:C 4.05305e-05
6 *7066:A 0.000518378
7 *7046:X 0
8 *832:65 0.00098922
9 *832:36 0.000885497
10 *832:30 0.00243837
11 *832:26 0.00285499
12 *832:19 0.000802665
13 *832:17 0.00238248
14 *832:10 0.00319185
15 *832:4 0.00143888
16 *7047:C *842:47 4.23155e-05
17 *7066:A *850:12 3.14978e-05
18 *7066:A *1062:68 9.73734e-05
19 *7066:A *1062:72 0.000167443
20 *7066:A *1110:12 0.000362668
21 *7183:C1 *1011:18 0
22 *7187:C1 *7187:B2 6.17339e-05
23 *7187:C1 *1022:18 0.000169108
24 *7187:C1 *1044:18 0
25 *832:10 *967:12 0
26 *832:10 *978:12 0.00184585
27 *832:17 *6896:A 0.00040678
28 *832:17 *874:8 4.81015e-05
29 *832:17 *1096:655 0.000200479
30 *832:17 *1131:9 5.9123e-05
31 *832:19 *1131:9 9.90116e-05
32 *832:26 *7104:B1 1.55243e-05
33 *832:26 *7111:A1 0.00021037
34 *832:26 *7111:A2 1.67329e-05
35 *832:26 *7111:B1 2.53992e-05
36 *832:26 *7111:B2 6.44814e-05
37 *832:26 *7111:C1 0.000379505
38 *832:26 *7356:CLK 1.47102e-05
39 *832:26 *881:19 5.21466e-06
40 *832:26 *888:8 0.00140494
41 *832:26 *1131:9 0.000755721
42 *832:30 *1059:16 0.000458255
43 *832:30 *1060:12 0.000456771
44 *832:36 *6688:A 3.33639e-05
45 *832:36 *7058:B2 4.34841e-05
46 *832:36 *1062:72 6.54792e-05
47 *832:36 *1110:12 0.000108413
48 *832:65 *1011:18 0
49 *6612:B *832:17 0.000263955
50 *6680:A *832:10 0.000355576
51 *6820:A *832:17 0.000124658
52 *6959:A2 *832:26 5.51483e-06
53 *6959:B1 *832:26 0.000192188
54 *7014:A1 *7187:C1 1.77537e-06
55 *7183:B1 *7183:C1 6.28168e-05
56 *7281:D *832:36 3.20069e-06
57 *7337:D *832:10 0.000156823
58 *412:8 *7183:C1 6.74478e-05
59 *412:8 *832:65 3.20069e-06
60 *435:9 *7187:C1 0.00028122
61 *484:11 *832:17 0.000307059
62 *522:58 *832:17 0.000557425
63 *534:20 *832:10 0.000114594
64 *534:20 *832:65 0.000626244
65 *567:19 *832:36 2.41274e-06
66 *572:10 *832:10 0.000436742
67 *576:20 *832:26 7.65861e-05
68 *598:10 *832:65 0
69 *634:15 *832:36 0.000665887
70 *820:22 *832:26 0.000127271
71 *820:22 *832:30 0.00318637
*RES
1 *7046:X *832:4 9.24915
2 *832:4 *832:10 43.2539
3 *832:10 *832:17 42.2463
4 *832:17 *832:19 2.38721
5 *832:19 *832:26 44.4164
6 *832:26 *832:30 47.5302
7 *832:30 *832:36 21.1174
8 *832:36 *7066:A 30.4231
9 *832:36 *7047:C 14.8342
10 *832:19 *5642:DIODE 9.24915
11 *832:17 *7095:A 9.24915
12 *832:4 *832:65 16.0628
13 *832:65 *7187:C1 33.2055
14 *832:65 *7183:C1 16.8269
*END
*D_NET *833 0.00530641
*CONN
*I *7054:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7058:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7047:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *7054:A2 0.000274155
2 *7058:B1 0.000145601
3 *7047:X 0.000585463
4 *833:9 0.00100522
5 *7054:A2 *7054:A1 1.67329e-05
6 *7054:A2 *835:11 0.000210962
7 *7054:A2 *841:8 0.000498655
8 *7058:B1 *7058:B2 7.50872e-05
9 *7058:B1 *7060:A 5.22654e-06
10 *7058:B1 *841:8 2.47663e-05
11 *7058:B1 *843:7 0.000164843
12 *833:9 *842:47 0.00170392
13 *833:9 *843:7 5.31074e-05
14 *6586:A *833:9 0.000224395
15 *7050:D *7054:A2 0
16 *7054:B2 *7054:A2 1.67329e-05
17 *7080:A *833:9 0.000102003
18 *485:19 *833:9 0.000199541
*RES
1 *7047:X *833:9 29.5766
2 *833:9 *7058:B1 21.4985
3 *833:9 *7054:A2 27.7546
*END
*D_NET *834 0.0145346
*CONN
*I *7050:A_N I *D sky130_fd_sc_hd__and4bb_1
*I *7064:A I *D sky130_fd_sc_hd__nor3b_2
*I *7208:C I *D sky130_fd_sc_hd__and3b_2
*I *7262:A2 I *D sky130_fd_sc_hd__a21boi_1
*I *7207:A2 I *D sky130_fd_sc_hd__o21a_1
*I *7048:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *7050:A_N 0
2 *7064:A 8.70871e-05
3 *7208:C 0.000369219
4 *7262:A2 0
5 *7207:A2 0.000263477
6 *7048:X 0
7 *834:50 0.000527202
8 *834:18 0.00197302
9 *834:13 0.00247507
10 *834:4 0.000836424
11 *7207:A2 *7207:A1 2.65831e-05
12 *7207:A2 *7260:A1 3.31882e-05
13 *7207:A2 *7405:CLK 0.000139435
14 *7207:A2 *1000:9 0
15 *7207:A2 *1098:33 1.23455e-05
16 *7207:A2 *1125:7 6.08467e-05
17 *7207:A2 *1135:36 0.000132475
18 *7207:A2 *1174:28 0
19 *7208:C *1049:19 0
20 *7208:C *1171:45 1.77537e-06
21 *834:13 *6621:A 0.000107496
22 *834:13 *6621:D_N 0.000171288
23 *834:13 *7205:B1 2.38163e-05
24 *834:13 *969:11 6.53854e-05
25 *834:13 *1131:17 0.0014301
26 *834:13 *1174:20 0.000220183
27 *834:18 *6575:A 0
28 *834:18 *6621:B 4.01437e-05
29 *834:18 *6621:D_N 0
30 *834:18 *6625:A 1.32509e-05
31 *834:18 *6625:B 0.0002212
32 *834:18 *7073:A1 3.77804e-05
33 *834:18 *7260:A1 4.70104e-05
34 *834:18 *975:12 0.000257534
35 *834:18 *975:25 0.000124249
36 *834:18 *981:32 0.000128561
37 *834:18 *1000:9 0
38 *834:18 *1049:19 0
39 *834:18 *1130:8 0.000257987
40 *834:18 *1171:32 0.000167702
41 *834:18 *1174:20 0
42 *834:18 *1182:22 1.34066e-05
43 *834:50 *7052:B 0.000111722
44 *834:50 *7205:B1 0.000251655
45 *834:50 *838:5 0.000139947
46 *834:50 *838:10 0.000304777
47 *834:50 *1131:17 0.000148064
48 *7048:A *834:13 9.70405e-05
49 *7064:B *7064:A 0.00021459
50 *7064:B *834:50 0.000351978
51 *7205:A2 *7208:C 0.000478278
52 *7205:A2 *834:13 0.00102248
53 *7207:B1 *7207:A2 8.21849e-06
54 *7375:D *834:18 0.000113374
55 *7378:D *834:18 2.22923e-05
56 *7450:A *7207:A2 0
57 *7457:A *7207:A2 0
58 *478:15 *834:13 0.000113374
59 *511:10 *834:13 0.000154145
60 *514:15 *834:13 3.58044e-05
61 *515:6 *834:13 2.68066e-05
62 *515:6 *834:18 0
63 *812:11 *834:13 5.22909e-05
64 *812:11 *834:18 5.64929e-05
65 *823:37 *7064:A 0.000219686
66 *823:37 *834:50 0.000346365
*RES
1 *7048:X *834:4 9.24915
2 *834:4 *834:13 37.2963
3 *834:13 *834:18 47.9983
4 *834:18 *7207:A2 21.451
5 *834:18 *7262:A2 13.7491
6 *834:13 *7208:C 20.6871
7 *834:4 *834:50 21.0755
8 *834:50 *7064:A 17.6574
9 *834:50 *7050:A_N 13.7491
*END
*D_NET *835 0.00970177
*CONN
*I *7182:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7195:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7056:A I *D sky130_fd_sc_hd__buf_2
*I *7053:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7050:B_N I *D sky130_fd_sc_hd__and4bb_1
*I *7049:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7182:A 0.000153994
2 *7195:A 0.000287724
3 *7056:A 9.05504e-05
4 *7053:A2 0.000179611
5 *7050:B_N 0
6 *7049:X 0
7 *835:27 0.000378275
8 *835:25 0.00168178
9 *835:11 0.00116315
10 *835:5 0.00251133
11 *7053:A2 *1057:21 2.65667e-05
12 *7053:A2 *1127:25 7.34948e-06
13 *7056:A *841:41 4.58003e-05
14 *7182:A *7155:A 6.82914e-05
15 *7195:A *7124:A 0.000103149
16 *7195:A *841:41 6.49003e-05
17 *7195:A *898:10 0.000107496
18 *835:11 *6704:B 0
19 *835:11 *7050:C 2.71182e-05
20 *835:11 *7054:B1 2.11607e-05
21 *835:11 *841:8 0.000439874
22 *835:11 *1098:72 0
23 *835:25 *7101:A 4.06401e-05
24 *835:25 *7102:A 0.000120584
25 *835:25 *7155:A 1.50262e-05
26 *835:25 *841:6 0.000983129
27 *835:25 *841:8 0.000127155
28 *835:25 *1108:40 0.000120985
29 *835:25 *1179:6 4.61732e-05
30 *7050:D *835:11 3.67528e-06
31 *7054:A2 *835:11 0.000210962
32 *7054:B2 *835:11 0
33 *7147:B *835:25 0.000104582
34 *405:9 *835:25 0
35 *431:7 *7182:A 6.50586e-05
36 *438:8 *835:25 0
37 *532:10 *835:11 0.000113374
38 *818:20 *835:11 0.000100734
39 *818:20 *835:25 0.000147135
40 *818:27 *835:25 5.86314e-05
41 *831:10 *835:11 7.50872e-05
42 *831:10 *835:25 1.07248e-05
*RES
1 *7049:X *835:5 13.7491
2 *835:5 *835:11 32.6914
3 *835:11 *7050:B_N 9.24915
4 *835:11 *7053:A2 13.3243
5 *835:5 *835:25 48.7555
6 *835:25 *835:27 4.5
7 *835:27 *7056:A 11.6364
8 *835:27 *7195:A 16.6278
9 *835:25 *7182:A 18.3808
*END
*D_NET *836 0.000927191
*CONN
*I *7054:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7050:X O *D sky130_fd_sc_hd__and4bb_1
*CAP
1 *7054:B1 0.000297763
2 *7050:X 0.000297763
3 *7054:B1 *7050:C 2.52287e-06
4 *7054:B1 *841:8 0.000137936
5 *7050:D *7054:B1 2.85139e-05
6 *7064:B *7054:B1 0.000141533
7 *835:11 *7054:B1 2.11607e-05
*RES
1 *7050:X *7054:B1 33.6572
*END
*D_NET *837 0.00316152
*CONN
*I *7052:B I *D sky130_fd_sc_hd__or2_2
*I *7205:B1 I *D sky130_fd_sc_hd__o21a_1
*I *7051:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *7052:B 3.75323e-05
2 *7205:B1 0.000208403
3 *7051:Y 0.000366913
4 *837:18 0.000612848
5 *7205:B1 *1128:23 0.000293374
6 *837:18 *6704:B 0.000343738
7 *837:18 *838:10 9.93468e-06
8 *837:18 *1128:24 0.000158661
9 *7048:A *7205:B1 0.000208843
10 *7205:A2 *7205:B1 2.41483e-05
11 *470:41 *837:18 0.000158661
12 *514:15 *7205:B1 0.000169041
13 *514:22 *837:18 0
14 *520:12 *7205:B1 0.000182229
15 *834:13 *7205:B1 2.38163e-05
16 *834:50 *7052:B 0.000111722
17 *834:50 *7205:B1 0.000251655
*RES
1 *7051:Y *837:18 29.7876
2 *837:18 *7205:B1 19.0632
3 *837:18 *7052:B 10.5271
*END
*D_NET *838 0.0180561
*CONN
*I *7053:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7166:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7135:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7071:A I *D sky130_fd_sc_hd__buf_2
*I *7057:A I *D sky130_fd_sc_hd__buf_2
*I *7052:X O *D sky130_fd_sc_hd__or2_2
*CAP
1 *7053:B1 0.000151214
2 *7166:A 0.000103891
3 *7135:A 0.000349618
4 *7071:A 0
5 *7057:A 0
6 *7052:X 3.5672e-05
7 *838:36 0.0010529
8 *838:25 0.00101416
9 *838:15 0.00106787
10 *838:10 0.00165131
11 *838:5 0.00118509
12 *7053:B1 *1125:43 0.000381652
13 *7053:B1 *1125:53 4.33979e-05
14 *7135:A *7145:A1 0.000413882
15 *7135:A *7185:A1 4.56667e-05
16 *7135:A *845:23 0.000195621
17 *7135:A *899:8 6.08467e-05
18 *7135:A *899:30 0.000479262
19 *7135:A *899:58 0.000322682
20 *7135:A *913:10 0.00116098
21 *838:5 *1131:17 0.000154145
22 *838:10 *7193:B2 0.000308642
23 *838:10 *1054:26 0
24 *838:10 *1057:28 0.000109543
25 *838:10 *1096:1603 0.000101148
26 *838:10 *1124:20 0.000802617
27 *838:10 *1131:17 0.000300565
28 *838:25 *842:5 0.000317707
29 *838:25 *842:15 0.000874803
30 *838:36 *7136:B1 0
31 *838:36 *842:15 0.000405972
32 *838:36 *842:34 0.000263663
33 *838:36 *855:7 0.00029769
34 *838:36 *899:8 0
35 *7024:B *838:10 0.000128977
36 *7045:D *838:10 0
37 *7129:A *838:36 0.000122378
38 *7160:A *7166:A 3.51249e-05
39 *7160:A *838:36 1.2601e-05
40 *419:6 *7166:A 3.67528e-06
41 *438:57 *838:25 1.82679e-05
42 *470:41 *7053:B1 0.000367019
43 *514:22 *838:10 0
44 *633:5 *838:15 6.08467e-05
45 *633:18 *838:15 0.000190903
46 *819:25 *838:36 0.00075911
47 *821:5 *838:15 4.16492e-05
48 *821:5 *838:25 4.0752e-05
49 *821:5 *838:36 7.68538e-06
50 *821:16 *838:36 3.00835e-05
51 *822:26 *838:36 0
52 *825:11 *838:10 0
53 *829:8 *838:10 0.00213013
54 *834:50 *838:5 0.000139947
55 *834:50 *838:10 0.000304777
56 *837:18 *838:10 9.93468e-06
*RES
1 *7052:X *838:5 11.0817
2 *838:5 *838:10 46.3706
3 *838:10 *838:15 15.0857
4 *838:15 *7057:A 9.24915
5 *838:15 *838:25 15.1913
6 *838:25 *7071:A 9.24915
7 *838:25 *838:36 29.1362
8 *838:36 *7135:A 32.7745
9 *838:36 *7166:A 16.4116
10 *838:5 *7053:B1 25.8947
*END
*D_NET *839 0.00110911
*CONN
*I *7054:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7053:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *7054:C1 0.000225463
2 *7053:X 0.000225463
3 *7054:C1 *7024:A 0.000143032
4 *7054:C1 *878:5 0.000186059
5 *470:41 *7054:C1 0.000143032
6 *813:10 *7054:C1 0.000186059
*RES
1 *7053:X *7054:C1 35.8756
*END
*D_NET *840 0.00731928
*CONN
*I *7055:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7054:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7055:B1 0
2 *7054:X 0.000655334
3 *840:14 0.000904716
4 *840:7 0.00156005
5 *840:7 *878:5 0.000917688
6 *840:14 *7024:A 0.000289145
7 *840:14 *7263:A0 7.50872e-05
8 *840:14 *1054:14 8.92568e-06
9 *840:14 *1057:14 9.42275e-05
10 *840:14 *1057:21 0
11 *840:14 *1061:11 0.000386733
12 *840:14 *1125:42 0.0004041
13 *7055:A2 *840:14 4.98393e-05
14 *470:41 *840:14 1.85012e-05
15 *524:8 *840:14 0.000175498
16 *829:8 *840:14 0.00177944
*RES
1 *7054:X *840:7 24.4554
2 *840:7 *840:14 44.1932
3 *840:14 *7055:B1 9.24915
*END
*D_NET *841 0.0195514
*CONN
*I *7124:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7155:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7092:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7058:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7060:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7056:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *7124:A 6.59754e-05
2 *7155:A 0.000554786
3 *7092:A 0.000760024
4 *7058:A2 7.87083e-06
5 *7060:A 0.00149984
6 *7056:X 0
7 *841:41 0.00080115
8 *841:8 0.00266377
9 *841:6 0.00338404
10 *841:4 0.00164835
11 *7058:A2 *842:47 1.67329e-05
12 *7060:A *6702:B 6.13007e-05
13 *7060:A *7044:A 0.000530137
14 *7060:A *7091:B1 0.000131072
15 *7092:A *7265:A0 0.00025559
16 *7092:A *871:7 6.08467e-05
17 *7092:A *981:8 0.000172691
18 *7092:A *1103:11 0.000766245
19 *7092:A *1114:25 1.03403e-05
20 *7092:A *1156:39 5.04829e-06
21 *7155:A *7193:A1 0.000167607
22 *7155:A *1037:17 0.000175039
23 *7155:A *1037:19 8.13812e-06
24 *7155:A *1096:1709 0.000349681
25 *7155:A *1096:1714 0.000236741
26 *7155:A *1096:1776 0.000212559
27 *7155:A *1096:1789 0.000146098
28 *841:6 *7147:C_N 0.000226296
29 *841:6 *7150:A 0.000153225
30 *841:6 *963:17 0
31 *841:6 *1108:40 0.00011935
32 *841:8 *7058:B2 1.42919e-05
33 *841:8 *963:17 0
34 *841:8 *1121:17 4.08958e-05
35 *7050:D *7060:A 0
36 *7054:A2 *841:8 0.000498655
37 *7054:B1 *841:8 0.000137936
38 *7056:A *841:41 4.58003e-05
39 *7058:B1 *7060:A 5.22654e-06
40 *7058:B1 *841:8 2.47663e-05
41 *7064:B *841:8 0.000388014
42 *7182:A *7155:A 6.82914e-05
43 *7195:A *7124:A 0.000103149
44 *7195:A *841:41 6.49003e-05
45 *7206:A *7060:A 0.000856667
46 *7266:A *7092:A 0.000264586
47 *438:8 *7155:A 0
48 *532:10 *841:8 0.000116971
49 *817:6 *7092:A 0.000165495
50 *823:37 *7060:A 0
51 *823:37 *841:8 0
52 *835:11 *841:8 0.000439874
53 *835:25 *7155:A 1.50262e-05
54 *835:25 *841:6 0.000983129
55 *835:25 *841:8 0.000127155
*RES
1 *7056:X *841:4 9.24915
2 *841:4 *841:6 47.0267
3 *841:6 *841:8 36.7132
4 *841:8 *7060:A 39.4827
5 *841:8 *7058:A2 13.9481
6 *841:6 *7092:A 49.4578
7 *841:4 *841:41 2.94181
8 *841:41 *7155:A 38.7675
9 *841:41 *7124:A 11.2747
*END
*D_NET *842 0.0173895
*CONN
*I *7108:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7076:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7058:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7189:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7191:A I *D sky130_fd_sc_hd__buf_2
*I *7057:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *7108:A 1.54421e-05
2 *7076:A 0
3 *7058:C1 0
4 *7189:B1 0.000127789
5 *7191:A 3.1038e-05
6 *7057:X 4.11038e-05
7 *842:47 0.00105006
8 *842:38 0.00259416
9 *842:34 0.0021878
10 *842:15 0.00100463
11 *842:5 0.000320719
12 *7108:A *880:5 0.000171288
13 *7189:B1 *7189:A1 5.97411e-05
14 *7189:B1 *845:11 0
15 *7189:B1 *855:39 0.000105985
16 *842:34 *7136:A1 2.57071e-05
17 *842:34 *7136:B1 0
18 *842:34 *845:54 0
19 *842:34 *906:24 0.000214575
20 *842:34 *1059:16 0
21 *842:38 *7062:A 0
22 *842:38 *7099:A3 1.18938e-05
23 *842:38 *7122:B1 0
24 *842:38 *7289:CLK 0.000233523
25 *842:38 *845:54 0
26 *842:38 *886:8 0
27 *842:38 *895:22 0.000330705
28 *842:38 *897:12 0.000253058
29 *842:38 *1096:1217 0.000122068
30 *842:38 *1123:17 9.60216e-05
31 *842:38 *1140:55 0
32 *842:47 *7058:A1 4.10113e-05
33 *842:47 *7058:B2 3.24516e-05
34 *842:47 *7062:A 0.000191556
35 *842:47 *843:7 0.000357898
36 *842:47 *1059:16 0.000195154
37 *6744:A2 *7108:A 0.000171288
38 *7042:A *7189:B1 0.000105985
39 *7047:C *842:47 4.23155e-05
40 *7058:A2 *842:47 1.67329e-05
41 *7080:A *842:47 0.000309363
42 *7147:B *7191:A 2.22198e-05
43 *435:21 *7189:B1 0.000174836
44 *438:8 *7191:A 2.22198e-05
45 *567:19 *842:47 0.000139764
46 *634:15 *842:47 0.000134832
47 *814:10 *842:38 4.26859e-05
48 *821:5 *842:5 0.000317707
49 *821:5 *842:15 0.00184868
50 *821:5 *842:34 0.000659414
51 *822:26 *842:38 0
52 *822:31 *842:38 0
53 *833:9 *842:47 0.00170392
54 *838:25 *842:5 0.000317707
55 *838:25 *842:15 0.000874803
56 *838:36 *842:15 0.000405972
57 *838:36 *842:34 0.000263663
*RES
1 *7057:X *842:5 12.7456
2 *842:5 *7191:A 19.2506
3 *842:5 *842:15 20.1345
4 *842:15 *7189:B1 22.9077
5 *842:15 *842:34 23.579
6 *842:34 *842:38 44.1199
7 *842:38 *842:47 47.7947
8 *842:47 *7058:C1 9.24915
9 *842:38 *7076:A 9.24915
10 *842:34 *7108:A 15.5817
*END
*D_NET *843 0.0059018
*CONN
*I *7059:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7058:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7059:B1 0.000469185
2 *7058:X 0.000499088
3 *843:7 0.000968273
4 *7059:B1 *7193:B2 0.000231289
5 *7059:B1 *1018:22 0.00155667
6 *7059:B1 *1054:14 0.00155308
7 *843:7 *7058:A1 4.83699e-05
8 *7058:B1 *843:7 0.000164843
9 *833:9 *843:7 5.31074e-05
10 *842:47 *843:7 0.000357898
*RES
1 *7058:X *843:7 25.5646
2 *843:7 *7059:B1 41.3268
*END
*D_NET *844 0.00665183
*CONN
*I *7091:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7087:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7078:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7083:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7073:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7060:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7091:A2 0.000153235
2 *7087:A2 0
3 *7078:A2 0.000228078
4 *7083:A2 0.00015949
5 *7073:A2 0.000194608
6 *7060:X 0.000299431
7 *844:22 0.000674499
8 *844:10 0.000545026
9 *844:8 0.000137224
10 *844:7 0.000526401
11 *7073:A2 *860:13 0.000264586
12 *7073:A2 *1174:28 0.000212506
13 *7078:A2 *7078:B1 0.00022067
14 *7083:A2 *7605:A 2.01179e-05
15 *7083:A2 *1047:8 3.70205e-05
16 *7083:A2 *1048:13 0.00015634
17 *7083:A2 *1117:10 0.000113109
18 *7083:A2 *1120:23 9.46208e-05
19 *7091:A2 *7091:B1 0.000370801
20 *7091:A2 *1174:28 7.65861e-05
21 *844:7 *7091:B1 0.000157849
22 *844:8 *1174:28 0.000137921
23 *844:10 *7087:A1 7.50872e-05
24 *844:10 *1174:28 0.000169108
25 *844:22 *1048:5 1.92172e-05
26 *844:22 *1048:13 0.000582839
27 *844:22 *1120:23 0.000596067
28 *7378:D *844:22 0.000227344
29 *442:15 *7078:A2 0
30 *515:6 *7073:A2 8.30273e-05
31 *515:6 *7091:A2 2.96862e-05
32 *515:6 *844:8 5.1573e-05
33 *515:6 *844:10 3.77605e-05
*RES
1 *7060:X *844:7 18.3548
2 *844:7 *844:8 2.6625
3 *844:8 *844:10 3.07775
4 *844:10 *7073:A2 20.5992
5 *844:10 *844:22 21.8615
6 *844:22 *7083:A2 23.7141
7 *844:22 *7078:A2 24.5446
8 *844:8 *7087:A2 13.7491
9 *844:7 *7091:A2 19.2169
*END
*D_NET *845 0.0143682
*CONN
*I *7093:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7062:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7185:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7125:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7189:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7061:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7093:A 8.03329e-05
2 *7062:A 0.000637562
3 *7185:A1 0.000636732
4 *7125:A 0
5 *7189:A1 0.000104223
6 *7061:X 6.79478e-05
7 *845:54 0.00215726
8 *845:23 0.000906098
9 *845:11 0.000533214
10 *845:5 0.00166694
11 *7062:A *7085:B1 0
12 *7062:A *853:8 0
13 *7062:A *1059:16 0.00030355
14 *7062:A *1140:55 0
15 *7093:A *1096:1217 0.000387915
16 *7093:A *1131:17 7.45557e-05
17 *7185:A1 *7153:A1 0.000367846
18 *7185:A1 *899:8 6.08467e-05
19 *7185:A1 *899:30 0.000418415
20 *845:5 *7134:A1 0.000200794
21 *845:5 *909:8 0.000251669
22 *845:11 *905:8 0.000339508
23 *845:11 *927:19 0.000339508
24 *845:23 *913:10 4.81714e-05
25 *845:54 *7134:A1 0.000307037
26 *845:54 *897:12 0
27 *845:54 *909:8 0.000295086
28 *845:54 *1059:16 0.000788913
29 *6738:B1 *845:54 0.000143032
30 *7135:A *7185:A1 4.56667e-05
31 *7135:A *845:23 0.000195621
32 *7152:A2 *7185:A1 0
33 *7184:B1 *7185:A1 0
34 *7185:A3 *7185:A1 9.18762e-05
35 *7185:B1 *7185:A1 5.07363e-05
36 *7189:B1 *7189:A1 5.97411e-05
37 *7189:B1 *845:11 0
38 *402:12 *7189:A1 0.000357912
39 *402:12 *845:23 1.61631e-05
40 *413:14 *7185:A1 1.32714e-07
41 *435:21 *7185:A1 0.000571279
42 *435:21 *7189:A1 3.38808e-05
43 *435:21 *845:23 0.000940547
44 *820:22 *7093:A 0.000695917
45 *822:50 *7185:A1 0
46 *842:34 *845:54 0
47 *842:38 *7062:A 0
48 *842:38 *845:54 0
49 *842:47 *7062:A 0.000191556
*RES
1 *7061:X *845:5 12.191
2 *845:5 *845:11 15.9785
3 *845:11 *7189:A1 13.3002
4 *845:11 *845:23 13.1176
5 *845:23 *7125:A 9.24915
6 *845:23 *7185:A1 39.2619
7 *845:5 *845:54 45.1248
8 *845:54 *7062:A 30.115
9 *845:54 *7093:A 21.1278
*END
*D_NET *846 0.0062267
*CONN
*I *7090:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7082:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7086:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7077:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7072:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7062:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7090:A1 0.000219159
2 *7082:A1 0
3 *7086:A1 0
4 *7077:A1 0
5 *7072:A1 0.000283552
6 *7062:X 0.000123885
7 *846:26 0.000494703
8 *846:17 0.000379581
9 *846:12 0.000310385
10 *846:8 0.000485
11 *7072:A1 *7070:A2 3.65842e-05
12 *7072:A1 *7070:B1 0.000163997
13 *7072:A1 *7072:B1 0.000193503
14 *7072:A1 *847:10 0.000453443
15 *7072:A1 *849:10 0.000111722
16 *7090:A1 *7075:A1 0.000123471
17 *7090:A1 *7081:A2 6.50586e-05
18 *7090:A1 *7085:B1 0.00011818
19 *7090:A1 *7090:B1 0.000106831
20 *7090:A1 *853:18 0
21 *7090:A1 *870:7 6.9102e-05
22 *846:8 *7085:A3 4.00504e-05
23 *846:8 *7085:B1 2.7645e-05
24 *846:8 *852:43 3.56852e-05
25 *846:8 *853:18 2.16355e-05
26 *846:8 *1059:16 0
27 *846:12 *7081:A2 5.1573e-05
28 *846:12 *7082:A2 3.67708e-05
29 *846:12 *7086:B1 4.88112e-06
30 *846:12 *852:43 1.58877e-05
31 *846:12 *859:30 5.92192e-05
32 *846:12 *1117:26 7.08723e-06
33 *846:12 *1140:55 0
34 *846:17 *7077:B1 1.41291e-05
35 *846:17 *7086:B1 0.00034918
36 *846:17 *859:30 2.99978e-05
37 *846:17 *865:15 1.41853e-05
38 *846:17 *1120:35 0.000597616
39 *846:26 *7070:A2 1.18938e-05
40 *846:26 *7070:B1 5.41227e-05
41 *846:26 *7077:A2 0.000107496
42 *846:26 *7077:B1 0.000203711
43 *846:26 *864:8 0.000567268
44 *846:26 *865:15 6.50586e-05
45 *846:26 *867:13 2.59921e-05
46 *846:26 *1120:35 8.66138e-05
47 *524:13 *846:8 6.08467e-05
*RES
1 *7062:X *846:8 17.3427
2 *846:8 *846:12 8.61588
3 *846:12 *846:17 7.98147
4 *846:17 *846:26 15.1468
5 *846:26 *7072:A1 24.2055
6 *846:26 *7077:A1 13.7491
7 *846:17 *7086:A1 9.24915
8 *846:12 *7082:A1 9.24915
9 *846:8 *7090:A1 21.347
*END
*D_NET *847 0.008131
*CONN
*I *7088:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7084:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7079:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7074:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7067:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7063:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7088:A2 0.000132246
2 *7084:A2 0
3 *7079:A2 0.000183603
4 *7074:A2 0.000439034
5 *7067:A2 6.69602e-05
6 *7063:X 0
7 *847:52 0.000306792
8 *847:34 0.000404043
9 *847:10 0.00136883
10 *847:4 0.000908725
11 *7067:A2 *7067:B1 7.67628e-05
12 *7067:A2 *7067:C1 0.000184911
13 *7074:A2 *7067:B1 1.49093e-06
14 *7074:A2 *7067:C1 6.33408e-05
15 *7074:A2 *7070:A1 3.49272e-05
16 *7074:A2 *7074:A1 8.43052e-05
17 *7074:A2 *7074:B2 1.02541e-05
18 *7074:A2 *7077:A2 7.65861e-05
19 *7074:A2 *849:19 2.87545e-06
20 *7074:A2 *849:31 4.55721e-05
21 *7079:A2 *7079:A1 4.17481e-05
22 *7079:A2 *7082:A2 4.07936e-05
23 *7079:A2 *7084:B1 9.14201e-05
24 *7079:A2 *7085:A1 0.000378039
25 *7079:A2 *849:39 1.33419e-05
26 *7088:A2 *7081:A1 0.000212491
27 *7088:A2 *7084:A1 0.000317418
28 *7088:A2 *7084:B2 0.000305102
29 *7088:A2 *7088:A1 1.90494e-05
30 *7088:A2 *1139:30 1.67329e-05
31 *7088:A2 *1140:55 9.14201e-05
32 *847:10 *7072:B1 0.000170481
33 *847:10 *865:15 2.137e-05
34 *847:34 *865:15 0.000107496
35 *847:52 *7082:A2 7.0954e-05
36 *847:52 *7082:A3 3.6455e-05
37 *847:52 *7084:A1 1.67329e-05
38 *847:52 *7084:B2 1.90494e-05
39 *847:52 *865:15 0.000295349
40 *847:52 *1140:55 0
41 *7063:A *847:10 0.000160617
42 *7072:A1 *847:10 0.000453443
43 *571:11 *7067:A2 7.65861e-05
44 *571:11 *847:10 0.000353492
45 *578:8 *7067:A2 8.01837e-05
46 *578:8 *847:10 0.000349977
*RES
1 *7063:X *847:4 9.24915
2 *847:4 *847:10 28.6953
3 *847:10 *7067:A2 17.2758
4 *847:10 *7074:A2 30.3268
5 *847:4 *847:34 1.278
6 *847:34 *7079:A2 25.5103
7 *847:34 *847:52 15.2185
8 *847:52 *7084:A2 9.24915
9 *847:52 *7088:A2 25.8226
*END
*D_NET *848 0.0122141
*CONN
*I *7096:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7065:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7064:Y O *D sky130_fd_sc_hd__nor3b_2
*CAP
1 *7096:B1 0.000643731
2 *7065:A 0.00045131
3 *7064:Y 0.000182999
4 *848:35 0.00228859
5 *848:5 0.00227917
6 *7065:A *7070:A2 9.50807e-05
7 *7065:A *7077:A3 0.000122068
8 *7065:A *7281:CLK 1.44467e-05
9 *7065:A *849:10 1.07248e-05
10 *7065:A *852:43 2.91402e-05
11 *7065:A *853:18 0
12 *7065:A *1176:31 0.000921673
13 *7096:B1 *6956:A 6.08467e-05
14 *7096:B1 *7096:B2 3.24516e-05
15 *7096:B1 *7096:C1 0.000122378
16 *848:5 *7058:B2 3.99086e-06
17 *848:5 *7064:C_N 0.000171273
18 *848:5 *1176:27 0.000381824
19 *848:35 *7058:B2 2.81717e-05
20 *848:35 *7098:A3 4.58003e-05
21 *848:35 *7098:B1 3.14978e-05
22 *848:35 *7281:CLK 0.000122083
23 *6586:A *7065:A 0.000107586
24 *6688:C *848:35 6.89596e-05
25 *6689:A1 *848:35 0.000224395
26 *6689:B1 *848:35 0.00011825
27 *7287:D *848:35 2.04854e-05
28 *485:8 *7065:A 0
29 *485:8 *848:35 2.7961e-05
30 *568:11 *7065:A 2.04806e-05
31 *634:15 *848:5 0.00113519
32 *634:15 *848:35 0.000160617
33 *755:24 *7096:B1 0.000376099
34 *755:42 *7096:B1 0.000500509
35 *814:21 *7096:B1 0.0011852
36 *814:21 *848:35 0.000229119
*RES
1 *7064:Y *848:5 21.6192
2 *848:5 *7065:A 35.8607
3 *848:5 *848:35 48.2369
4 *848:35 *7096:B1 33.6517
*END
*D_NET *849 0.00566716
*CONN
*I *7084:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7088:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7079:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7074:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7067:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7065:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7084:B1 0.000181963
2 *7088:B1 0.000180092
3 *7079:B1 0
4 *7074:B1 1.5243e-05
5 *7067:B1 0.000449816
6 *7065:X 0.000220879
7 *849:39 0.000476511
8 *849:31 0.000723099
9 *849:19 0.000704084
10 *849:10 0.000750893
11 *7067:B1 *7067:C1 8.40991e-05
12 *7067:B1 *7074:B2 4.86799e-06
13 *7084:B1 *7082:A2 0.000211007
14 *7084:B1 *7084:B2 2.16355e-05
15 *7084:B1 *7088:C1 3.27324e-05
16 *7088:B1 *7079:A1 6.34544e-06
17 *7088:B1 *7082:A2 8.58398e-05
18 *7088:B1 *7088:C1 3.42853e-05
19 *7088:B1 *1139:30 6.95852e-05
20 *7088:B1 *1139:40 1.47046e-05
21 *849:10 *7070:A1 4.80461e-05
22 *849:10 *7070:A2 0.000144546
23 *849:10 *7072:B1 0.000111722
24 *849:10 *7074:B2 5.56367e-05
25 *849:10 *850:12 0.000165481
26 *849:10 *1176:31 0.000109855
27 *849:19 *7074:B2 2.14552e-05
28 *849:31 *7074:A1 7.45639e-05
29 *849:31 *7088:C1 1.46947e-05
30 *849:39 *7079:A1 1.49082e-05
31 *849:39 *7082:A2 6.144e-06
32 *849:39 *7088:C1 0.000235662
33 *7065:A *849:10 1.07248e-05
34 *7067:A2 *7067:B1 7.67628e-05
35 *7072:A1 *849:10 0.000111722
36 *7074:A2 *7067:B1 1.49093e-06
37 *7074:A2 *849:19 2.87545e-06
38 *7074:A2 *849:31 4.55721e-05
39 *7079:A2 *7084:B1 9.14201e-05
40 *7079:A2 *849:39 1.33419e-05
41 *7079:B2 *849:31 1.47046e-05
42 *7079:B2 *849:39 2.81515e-05
*RES
1 *7065:X *849:10 27.1727
2 *849:10 *7067:B1 16.5072
3 *849:10 *849:19 1.278
4 *849:19 *7074:B1 9.82786
5 *849:19 *849:31 13.1176
6 *849:31 *7079:B1 9.24915
7 *849:31 *849:39 4.12336
8 *849:39 *7088:B1 14.9157
9 *849:39 *7084:B1 23.8453
*END
*D_NET *850 0.00558312
*CONN
*I *7084:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7088:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7079:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7074:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7067:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7066:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7084:C1 0.00018871
2 *7088:C1 0.000490829
3 *7079:C1 3.52311e-05
4 *7074:C1 0
5 *7067:C1 0.000287584
6 *7066:X 2.06324e-05
7 *850:36 0.000602465
8 *850:33 0.000340873
9 *850:12 0.000542768
10 *850:5 0.000351574
11 *7067:C1 *7067:B2 3.27324e-05
12 *7067:C1 *7072:A2 7.48633e-05
13 *7067:C1 *7074:A1 0
14 *7079:C1 *1060:12 9.22013e-06
15 *7079:C1 *1110:12 0
16 *7084:C1 *7084:B2 0
17 *7084:C1 *1098:47 5.37805e-05
18 *7088:C1 *1139:30 1.64789e-05
19 *850:12 *7070:A1 1.65872e-05
20 *850:12 *7070:B1 0.000271058
21 *850:12 *1098:47 0.000822991
22 *850:12 *1176:31 0.000163997
23 *850:33 *1098:47 0.000314433
24 *850:36 *1060:12 3.42931e-05
25 *850:36 *1110:12 0
26 *7066:A *850:12 3.14978e-05
27 *7067:A2 *7067:C1 0.000184911
28 *7067:B1 *7067:C1 8.40991e-05
29 *7074:A2 *7067:C1 6.33408e-05
30 *7079:B2 *7079:C1 6.28168e-05
31 *7079:B2 *7088:C1 2.49891e-06
32 *7084:B1 *7088:C1 3.27324e-05
33 *7088:B1 *7088:C1 3.42853e-05
34 *849:10 *850:12 0.000165481
35 *849:31 *7088:C1 1.46947e-05
36 *849:39 *7088:C1 0.000235662
*RES
1 *7066:X *850:5 9.82786
2 *850:5 *850:12 21.1202
3 *850:12 *7067:C1 17.9962
4 *850:12 *7074:C1 9.24915
5 *850:5 *850:33 3.49641
6 *850:33 *850:36 6.332
7 *850:36 *7079:C1 15.1659
8 *850:36 *7088:C1 21.9717
9 *850:33 *7084:C1 13.7342
*END
*D_NET *851 0.000846755
*CONN
*I *7072:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7067:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7072:A2 0.000277959
2 *7067:X 0.000277959
3 *7072:A2 *7072:A3 0.000127179
4 *7067:C1 *7072:A2 7.48633e-05
5 *438:58 *7072:A2 0
6 *577:8 *7072:A2 8.87948e-05
*RES
1 *7067:X *7072:A2 34.9002
*END
*D_NET *852 0.0113519
*CONN
*I *7075:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7085:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7081:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7070:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7263:A0 I *D sky130_fd_sc_hd__mux2_1
*I *7068:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7075:A2 0
2 *7085:A2 0
3 *7081:A2 0.000421203
4 *7070:A2 0.000470997
5 *7263:A0 0.000992264
6 *7068:X 0
7 *852:43 0.00147161
8 *852:21 0.0015214
9 *852:19 0.000395996
10 *852:4 0.00138826
11 *7070:A2 *7070:A1 0.000115934
12 *7070:A2 *7070:B1 2.00207e-05
13 *7070:A2 *7077:A3 0.000118485
14 *7070:A2 *1098:47 3.99086e-06
15 *7081:A2 *7075:A1 0.00021975
16 *7081:A2 *7081:A1 1.21461e-06
17 *7081:A2 *7082:A2 3.04973e-05
18 *7081:A2 *7082:A3 0.000167076
19 *7081:A2 *7085:A1 6.43529e-05
20 *7081:A2 *7085:B1 1.92336e-05
21 *7081:A2 *859:30 5.56367e-05
22 *7081:A2 *865:15 1.43848e-05
23 *7081:A2 *870:7 7.6719e-06
24 *7081:A2 *1140:55 7.60207e-05
25 *7263:A0 *864:13 0.000659414
26 *7263:A0 *1117:26 8.35393e-05
27 *7263:A0 *1120:30 0.000250254
28 *852:19 *7077:A3 2.16355e-05
29 *852:19 *1117:26 0.000309363
30 *852:43 *7070:B1 3.20069e-06
31 *852:43 *7085:A3 0.000151658
32 *852:43 *853:18 4.66386e-05
33 *7065:A *7070:A2 9.50807e-05
34 *7065:A *852:43 2.91402e-05
35 *7072:A1 *7070:A2 3.65842e-05
36 *7090:A1 *7081:A2 6.50586e-05
37 *486:11 *852:19 4.66492e-05
38 *486:16 *852:19 0.000207266
39 *524:8 *7263:A0 7.14746e-05
40 *524:13 *852:43 0.00136425
41 *840:14 *7263:A0 7.50872e-05
42 *846:8 *852:43 3.56852e-05
43 *846:12 *7081:A2 5.1573e-05
44 *846:12 *852:43 1.58877e-05
45 *846:26 *7070:A2 1.18938e-05
46 *849:10 *7070:A2 0.000144546
*RES
1 *7068:X *852:4 9.24915
2 *852:4 *7263:A0 35.9664
3 *852:4 *852:19 11.2609
4 *852:19 *852:21 4.5
5 *852:21 *7070:A2 26.1998
6 *852:21 *852:43 33.9681
7 *852:43 *7081:A2 31.2144
8 *852:43 *7085:A2 9.24915
9 *852:19 *7075:A2 9.24915
*END
*D_NET *853 0.00888241
*CONN
*I *7089:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7081:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7085:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7075:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7070:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7069:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7089:B1 0
2 *7081:B1 0.000567734
3 *7085:B1 0.000277511
4 *7075:B1 0
5 *7070:B1 0.000395054
6 *7069:X 0
7 *853:54 0.0010324
8 *853:18 0.000909294
9 *853:8 0.000911948
10 *853:4 0.000584865
11 *7070:B1 *7070:A1 0.000178049
12 *7070:B1 *7077:A2 0.000400503
13 *7070:B1 *1063:62 5.372e-05
14 *7081:B1 *7081:A3 0
15 *7081:B1 *7090:A2 0
16 *7081:B1 *7090:A3 0
17 *7081:B1 *7090:B1 0
18 *7081:B1 *1096:206 0
19 *7081:B1 *1096:1181 0
20 *7085:B1 *870:7 0.000160617
21 *7085:B1 *1059:16 0
22 *7085:B1 *1140:55 8.68994e-05
23 *853:8 *1140:55 1.0779e-05
24 *853:18 *1063:62 1.59383e-05
25 *853:18 *1063:70 8.10016e-06
26 *853:54 *862:10 0
27 *853:54 *1096:1181 0
28 *853:54 *1096:1199 0
29 *6586:A *853:18 1.2601e-05
30 *7062:A *7085:B1 0
31 *7062:A *853:8 0
32 *7065:A *853:18 0
33 *7069:A *853:54 4.56831e-05
34 *7070:A2 *7070:B1 2.00207e-05
35 *7072:A1 *7070:B1 0.000163997
36 *7080:A *853:8 0.00011818
37 *7080:A *853:54 0.000370815
38 *7081:A2 *7085:B1 1.92336e-05
39 *7089:A2 *853:54 1.90191e-05
40 *7090:A1 *7085:B1 0.00011818
41 *7090:A1 *853:18 0
42 *7098:A2 *853:54 7.50722e-05
43 *486:11 *853:18 0.000176908
44 *524:13 *853:18 0.000294692
45 *830:26 *853:18 0.000164843
46 *830:38 *853:18 0.00126545
47 *846:8 *7085:B1 2.7645e-05
48 *846:8 *853:18 2.16355e-05
49 *846:26 *7070:B1 5.41227e-05
50 *850:12 *7070:B1 0.000271058
51 *852:43 *7070:B1 3.20069e-06
52 *852:43 *853:18 4.66386e-05
*RES
1 *7069:X *853:4 9.24915
2 *853:4 *853:8 6.98712
3 *853:8 *853:18 30.5479
4 *853:18 *7070:B1 27.7318
5 *853:18 *7075:B1 13.7491
6 *853:8 *7085:B1 21.0091
7 *853:4 *853:54 13.4319
8 *853:54 *7081:B1 26.4127
9 *853:54 *7089:B1 13.7491
*END
*D_NET *854 0.000792942
*CONN
*I *7072:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7070:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7072:A3 0.000305952
2 *7070:X 0.000305952
3 *7072:A3 *1098:47 1.47695e-05
4 *7072:A2 *7072:A3 0.000127179
5 *438:58 *7072:A3 3.90891e-05
*RES
1 *7070:X *7072:A3 33.242
*END
*D_NET *855 0.0212007
*CONN
*I *7201:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7200:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7199:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7198:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7072:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7071:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *7201:C1 3.39143e-05
2 *7200:C1 0.000143739
3 *7199:C1 4.66785e-05
4 *7198:C1 0
5 *7072:B1 0.000704935
6 *7071:X 0.000551159
7 *855:58 0.00070475
8 *855:46 0.0009963
9 *855:39 0.00163207
10 *855:24 0.00170923
11 *855:17 0.00179381
12 *855:7 0.00255022
13 *7200:C1 *1026:12 0.000339738
14 *7201:C1 *7201:B2 3.49072e-06
15 *855:17 *6722:A 0.000182639
16 *855:17 *7134:A1 0.000786815
17 *855:17 *7188:A1 4.94731e-05
18 *855:17 *1123:9 0.000211478
19 *855:24 *6688:A 0.000195929
20 *855:24 *865:15 0.000228344
21 *855:24 *1060:12 0.000842596
22 *855:24 *1060:16 2.67486e-05
23 *855:24 *1110:12 0
24 *855:24 *1121:21 0.000395391
25 *855:39 *7188:A1 0.000358975
26 *855:39 *7387:CLK 5.51699e-05
27 *855:39 *927:19 1.11594e-05
28 *855:39 *1021:9 2.51446e-05
29 *855:39 *1025:21 0.000319954
30 *855:39 *1060:16 0
31 *855:39 *1062:74 3.98327e-05
32 *855:46 *7387:CLK 5.3381e-05
33 *855:46 *1062:74 0.000207572
34 *855:46 *1063:72 8.30103e-05
35 *855:46 *1096:1754 4.73076e-05
36 *855:58 *5648:DIODE 6.50727e-05
37 *855:58 *7201:B2 0.00010024
38 *855:58 *1041:11 2.84782e-05
39 *855:58 *1063:72 2.75427e-05
40 *6688:C *855:24 0.000625317
41 *6722:C *855:24 0.000238537
42 *7042:A *855:39 6.88529e-05
43 *7063:A *7072:B1 5.0715e-05
44 *7063:A *855:24 0.000331956
45 *7072:A1 *7072:B1 0.000193503
46 *7156:A *855:39 1.20314e-05
47 *7175:A2 *855:39 0.000188957
48 *7176:A3 *855:39 0.000116971
49 *7188:A3 *855:39 0.000425065
50 *7189:B1 *855:39 0.000105985
51 *7198:A2 *855:46 0
52 *7199:A2 *855:46 0
53 *7199:B1 *7199:C1 0.000171288
54 *7201:A2 *855:58 5.94977e-06
55 *7201:B1 *7200:C1 2.32176e-05
56 *405:24 *855:39 4.98393e-05
57 *406:27 *855:39 3.71672e-05
58 *422:8 *855:39 0.000153257
59 *440:28 *7200:C1 6.98888e-05
60 *523:70 *855:24 9.24241e-05
61 *532:15 *855:17 0.000207266
62 *559:56 *855:17 0.00023166
63 *819:25 *855:7 0.000107496
64 *820:8 *855:17 0.00155353
65 *820:8 *855:39 3.20069e-06
66 *827:14 *855:17 0
67 *827:21 *855:39 4.46284e-06
68 *838:36 *855:7 0.00029769
69 *847:10 *7072:B1 0.000170481
70 *849:10 *7072:B1 0.000111722
*RES
1 *7071:X *855:7 22.7916
2 *855:7 *855:17 41.5296
3 *855:17 *855:24 42.5322
4 *855:24 *7072:B1 26.0802
5 *855:7 *855:39 43.9823
6 *855:39 *7198:C1 13.7491
7 *855:39 *855:46 13.4591
8 *855:46 *7199:C1 15.5817
9 *855:46 *855:58 13.5713
10 *855:58 *7200:C1 24.2337
11 *855:58 *7201:C1 10.2378
*END
*D_NET *856 0.00614253
*CONN
*I *7073:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7072:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7073:B1 0
2 *7072:X 0.00135166
3 *856:7 0.00135166
4 *856:7 *7078:B1 1.00981e-05
5 *856:7 *860:13 0.00342912
*RES
1 *7072:X *856:7 48.1196
2 *856:7 *7073:B1 9.24915
*END
*D_NET *857 0.00192403
*CONN
*I *7077:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7074:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7077:A2 0.000275288
2 *7074:X 0.000275288
3 *7077:A2 *7070:A1 3.43906e-05
4 *7077:A2 *7077:B1 6.08467e-05
5 *7077:A2 *864:8 2.15184e-05
6 *7077:A2 *867:13 3.81056e-05
7 *7077:A2 *1063:62 0.000634011
8 *7070:B1 *7077:A2 0.000400503
9 *7074:A2 *7077:A2 7.65861e-05
10 *846:26 *7077:A2 0.000107496
*RES
1 *7074:X *7077:A2 40.7137
*END
*D_NET *858 0.00068968
*CONN
*I *7077:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7075:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7077:A3 0.000143386
2 *7075:X 0.000143386
3 *7077:A3 *864:8 4.31703e-05
4 *7077:A3 *867:13 9.75485e-05
5 *7065:A *7077:A3 0.000122068
6 *7070:A2 *7077:A3 0.000118485
7 *852:19 *7077:A3 2.16355e-05
*RES
1 *7075:X *7077:A3 32.3257
*END
*D_NET *859 0.00751327
*CONN
*I *7090:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7082:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7086:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7077:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7099:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7076:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7090:B1 0.000187464
2 *7082:B1 0
3 *7086:B1 0.000128844
4 *7077:B1 0.00034661
5 *7099:B1 0.000221919
6 *7076:X 4.22547e-05
7 *859:30 0.000554854
8 *859:24 0.000388209
9 *859:17 0.000816043
10 *859:7 0.000583943
11 *7077:B1 *7086:A3 2.46131e-05
12 *7077:B1 *864:8 0.000546755
13 *7077:B1 *865:15 0.000211478
14 *7077:B1 *867:13 0.000457669
15 *7077:B1 *1110:12 4.73178e-05
16 *7086:B1 *7082:A2 7.58217e-06
17 *7086:B1 *7082:A3 3.20069e-06
18 *7086:B1 *7085:A1 4.90485e-05
19 *7086:B1 *865:15 0.000111708
20 *7086:B1 *1117:26 2.9959e-05
21 *7090:B1 *7075:A1 1.00937e-05
22 *7090:B1 *7081:A3 9.22013e-06
23 *7090:B1 *7090:A3 7.50722e-05
24 *7090:B1 *862:10 1.79196e-05
25 *7099:B1 *7099:A3 8.92568e-06
26 *7099:B1 *7107:A3 0
27 *7099:B1 *862:47 0
28 *7099:B1 *1140:53 8.19494e-05
29 *7099:B1 *1140:55 8.86331e-05
30 *859:17 *862:10 9.77317e-05
31 *859:17 *862:47 7.41203e-05
32 *859:17 *1140:55 0
33 *859:24 *7081:A3 0.000348426
34 *859:24 *1117:31 5.51483e-06
35 *859:24 *1117:35 8.65358e-05
36 *859:24 *1120:35 0.000113002
37 *859:24 *1140:55 0.000404145
38 *859:30 *1117:31 0.000164815
39 *859:30 *1120:35 7.02172e-06
40 *7063:A *7077:B1 0
41 *7077:A2 *7077:B1 6.08467e-05
42 *7081:A2 *859:30 5.56367e-05
43 *7081:B1 *7090:B1 0
44 *7090:A1 *7090:B1 0.000106831
45 *567:19 *859:7 5.46286e-05
46 *634:15 *859:7 0.000217937
47 *822:31 *7099:B1 3.67528e-06
48 *846:12 *7086:B1 4.88112e-06
49 *846:12 *859:30 5.92192e-05
50 *846:17 *7077:B1 1.41291e-05
51 *846:17 *7086:B1 0.00034918
52 *846:17 *859:30 2.99978e-05
53 *846:26 *7077:B1 0.000203711
*RES
1 *7076:X *859:7 16.1364
2 *859:7 *7099:B1 19.7337
3 *859:7 *859:17 8.52488
4 *859:17 *859:24 16.5285
5 *859:24 *859:30 12.3887
6 *859:30 *7077:B1 32.7005
7 *859:30 *7086:B1 24.2054
8 *859:24 *7082:B1 9.24915
9 *859:17 *7090:B1 18.6783
*END
*D_NET *860 0.00967965
*CONN
*I *7078:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7077:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7078:B1 0.000772197
2 *7077:X 0.000246517
3 *860:13 0.00169502
4 *860:10 0.00116934
5 *7078:B1 *7078:A1 5.8465e-05
6 *860:10 *867:13 0.000604074
7 *860:10 *1120:30 0
8 *860:10 *1120:35 0.000398089
9 *860:13 *7037:A 0.000111722
10 *860:13 *7037:B 0.000246942
11 *6694:A *860:10 1.07248e-05
12 *6774:A *860:13 0.000113968
13 *7073:A2 *860:13 0.000264586
14 *7078:A2 *7078:B1 0.00022067
15 *7375:D *7078:B1 6.50586e-05
16 *438:58 *860:10 5.79544e-05
17 *524:5 *860:13 0.000205101
18 *577:8 *860:10 0
19 *856:7 *7078:B1 1.00981e-05
20 *856:7 *860:13 0.00342912
*RES
1 *7077:X *860:10 27.7357
2 *860:10 *860:13 38.8705
3 *860:13 *7078:B1 27.1894
*END
*D_NET *861 0.0015159
*CONN
*I *7082:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7079:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7082:A2 0.000452824
2 *7079:X 0.000452824
3 *7082:A2 *7079:A1 3.67528e-06
4 *7082:A2 *7082:A3 0.000116986
5 *7082:A2 *7085:A1 0
6 *7082:A2 *1139:40 0
7 *7082:A2 *1140:55 0
8 *7079:A2 *7082:A2 4.07936e-05
9 *7081:A2 *7082:A2 3.04973e-05
10 *7084:B1 *7082:A2 0.000211007
11 *7086:B1 *7082:A2 7.58217e-06
12 *7088:B1 *7082:A2 8.58398e-05
13 *846:12 *7082:A2 3.67708e-05
14 *847:52 *7082:A2 7.0954e-05
15 *849:39 *7082:A2 6.144e-06
*RES
1 *7079:X *7082:A2 40.1591
*END
*D_NET *862 0.00703147
*CONN
*I *7107:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7098:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7089:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7081:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7085:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7080:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7107:A3 0.000693155
2 *7098:A3 2.40228e-05
3 *7089:A3 2.00359e-05
4 *7081:A3 0.000226009
5 *7085:A3 0.000455354
6 *7080:X 4.85009e-05
7 *862:47 0.000902861
8 *862:13 0.000767798
9 *862:10 0.00026372
10 *862:7 0.000391433
11 *7081:A3 *7090:A2 0.000156335
12 *7081:A3 *7090:A3 0.000125695
13 *7081:A3 *1140:55 6.28189e-05
14 *7085:A3 *1059:16 2.46773e-05
15 *7085:A3 *1117:26 5.41227e-05
16 *7107:A3 *7099:A1 0.000158885
17 *7107:A3 *872:18 0.000712461
18 *862:13 *7089:A1 1.03434e-05
19 *862:13 *7090:A3 0.000111722
20 *6714:B1 *7107:A3 0.000123974
21 *7069:A *7107:A3 5.76123e-05
22 *7069:A *862:7 1.43983e-05
23 *7069:A *862:10 3.63743e-05
24 *7069:A *862:47 0.000141601
25 *7081:B1 *7081:A3 0
26 *7089:A2 *862:10 2.22198e-05
27 *7090:B1 *7081:A3 9.22013e-06
28 *7090:B1 *862:10 1.79196e-05
29 *7098:A2 *862:10 1.97826e-05
30 *7099:B1 *7107:A3 0
31 *7099:B1 *862:47 0
32 *524:13 *7085:A3 0.000175784
33 *524:17 *7085:A3 4.52371e-05
34 *524:17 *7089:A3 2.65831e-05
35 *524:17 *862:13 4.62974e-05
36 *814:21 *7098:A3 0.000113968
37 *822:26 *7107:A3 0
38 *822:31 *7107:A3 0.000212758
39 *846:8 *7085:A3 4.00504e-05
40 *848:35 *7098:A3 4.58003e-05
41 *852:43 *7085:A3 0.000151658
42 *853:54 *862:10 0
43 *859:17 *862:10 9.77317e-05
44 *859:17 *862:47 7.41203e-05
45 *859:24 *7081:A3 0.000348426
*RES
1 *7080:X *862:7 14.4725
2 *862:7 *862:10 9.23876
3 *862:10 *862:13 2.96592
4 *862:13 *7085:A3 28.9761
5 *862:13 *7081:A3 27.5557
6 *862:10 *7089:A3 9.97254
7 *862:7 *862:47 5.98452
8 *862:47 *7098:A3 15.0271
9 *862:47 *7107:A3 35.4644
*END
*D_NET *863 0.00100117
*CONN
*I *7082:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7081:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7082:A3 0.000323861
2 *7081:X 0.000323861
3 *7082:A3 *7085:A1 2.4815e-05
4 *7082:A3 *865:15 4.91225e-06
5 *7081:A2 *7082:A3 0.000167076
6 *7082:A2 *7082:A3 0.000116986
7 *7086:B1 *7082:A3 3.20069e-06
8 *847:52 *7082:A3 3.6455e-05
*RES
1 *7081:X *7082:A3 33.7966
*END
*D_NET *864 0.0116647
*CONN
*I *7083:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7082:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7083:B1 0
2 *7082:X 0.00188617
3 *864:13 0.00180534
4 *864:10 0.00180534
5 *864:8 0.00188617
6 *864:8 *867:13 6.20303e-05
7 *864:8 *1120:30 0.000988729
8 *864:13 *7083:A1 6.3657e-05
9 *864:13 *7087:B1 0.000533244
10 *864:13 *1117:17 0.000448689
11 *864:13 *1117:26 0.000146485
12 *864:13 *1128:9 3.20011e-05
13 *864:13 *1128:16 2.77625e-06
14 *7077:A2 *864:8 2.15184e-05
15 *7077:A3 *864:8 4.31703e-05
16 *7077:B1 *864:8 0.000546755
17 *7263:A0 *864:13 0.000659414
18 *7432:D *864:13 0.000101567
19 *571:11 *864:8 2.65667e-05
20 *823:37 *864:8 3.77659e-05
21 *846:26 *864:8 0.000567268
*RES
1 *7082:X *864:8 45.6985
2 *864:8 *864:10 4.5
3 *864:10 *864:13 47.3342
4 *864:13 *7083:B1 9.24915
*END
*D_NET *865 0.00229837
*CONN
*I *7086:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7084:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7086:A2 0
2 *7084:X 0.000473993
3 *865:15 0.000473993
4 *865:15 *1060:12 0.000228344
5 *865:15 *1140:55 4.27003e-05
6 *7063:A *865:15 5.04829e-06
7 *7077:B1 *865:15 0.000211478
8 *7081:A2 *865:15 1.43848e-05
9 *7082:A3 *865:15 4.91225e-06
10 *7086:B1 *865:15 0.000111708
11 *846:17 *865:15 1.41853e-05
12 *846:26 *865:15 6.50586e-05
13 *847:10 *865:15 2.137e-05
14 *847:34 *865:15 0.000107496
15 *847:52 *865:15 0.000295349
16 *855:24 *865:15 0.000228344
*RES
1 *7084:X *865:15 42.6159
2 *865:15 *7086:A2 9.24915
*END
*D_NET *866 0.0013086
*CONN
*I *7086:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7085:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7086:A3 0.000392495
2 *7085:X 0.000392495
3 *7086:A3 *7075:A1 0.000453457
4 *7086:A3 *1110:12 0
5 *7063:A *7086:A3 4.5539e-05
6 *7077:B1 *7086:A3 2.46131e-05
*RES
1 *7085:X *7086:A3 34.9058
*END
*D_NET *867 0.00883409
*CONN
*I *7087:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7086:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7087:B1 0.000353243
2 *7086:X 0.000891759
3 *867:13 0.001245
4 *7087:B1 *7432:CLK 0.000169108
5 *7087:B1 *969:20 0
6 *7087:B1 *1117:17 0.00127738
7 *867:13 *6694:B 0.000258128
8 *867:13 *7263:A1 0.000357898
9 *867:13 *1120:30 0.00138978
10 *867:13 *1120:35 0.000724472
11 *6694:C *867:13 6.92705e-05
12 *7077:A2 *867:13 3.81056e-05
13 *7077:A3 *867:13 9.75485e-05
14 *7077:B1 *867:13 0.000457669
15 *7263:S *867:13 0.000205101
16 *7432:D *7087:B1 9.22013e-06
17 *571:11 *867:13 6.50586e-05
18 *846:26 *867:13 2.59921e-05
19 *860:10 *867:13 0.000604074
20 *864:8 *867:13 6.20303e-05
21 *864:13 *7087:B1 0.000533244
*RES
1 *7086:X *867:13 47.5168
2 *867:13 *7087:B1 36.3547
*END
*D_NET *868 0.00156197
*CONN
*I *7090:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7088:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7090:A2 0.000513782
2 *7088:X 0.000513782
3 *7090:A2 *7081:A1 0.000378069
4 *7090:A2 *1140:55 0
5 *7081:A3 *7090:A2 0.000156335
6 *7081:B1 *7090:A2 0
*RES
1 *7088:X *7090:A2 41.7879
*END
*D_NET *869 0.000592558
*CONN
*I *7090:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7089:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7090:A3 0.000140034
2 *7089:X 0.000140034
3 *7081:A3 *7090:A3 0.000125695
4 *7081:B1 *7090:A3 0
5 *7090:B1 *7090:A3 7.50722e-05
6 *862:13 *7090:A3 0.000111722
*RES
1 *7089:X *7090:A3 31.0235
*END
*D_NET *870 0.0118379
*CONN
*I *7091:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7090:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7091:B1 0.000976845
2 *7090:X 0.00207034
3 *870:7 0.00304719
4 *7091:B1 *6702:B 0.000937063
5 *7091:B1 *7091:A1 2.85139e-05
6 *7091:B1 *1125:42 0.000104572
7 *870:7 *7085:A1 5.47093e-05
8 *870:7 *1117:26 0.00273787
9 *7060:A *7091:B1 0.000131072
10 *7068:A *7091:B1 0
11 *7081:A2 *870:7 7.6719e-06
12 *7085:B1 *870:7 0.000160617
13 *7090:A1 *870:7 6.9102e-05
14 *7091:A2 *7091:B1 0.000370801
15 *7206:A *7091:B1 0.000211272
16 *444:8 *7091:B1 0.000115632
17 *524:13 *7091:B1 0.000606307
18 *823:37 *7091:B1 5.04734e-05
19 *844:7 *7091:B1 0.000157849
*RES
1 *7090:X *870:7 49.4126
2 *870:7 *7091:B1 47.8017
*END
*D_NET *871 0.00677371
*CONN
*I *7115:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7123:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7119:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7110:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7100:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7092:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7115:A2 4.4325e-05
2 *7123:A2 0.000250637
3 *7119:A2 0.000336174
4 *7110:A2 0
5 *7100:A2 0.000454714
6 *7092:X 0
7 *871:37 0.000494354
8 *871:8 0.000647851
9 *871:7 0.0012082
10 *871:4 0.000878279
11 *7100:A2 *6579:A 5.80222e-05
12 *7100:A2 *7100:A1 5.04829e-06
13 *7100:A2 *7110:A1 1.07248e-05
14 *7100:A2 *1121:9 0.000287283
15 *7115:A2 *7115:B1 4.63823e-05
16 *7115:A2 *1114:29 4.58003e-05
17 *7119:A2 *7119:A1 0.000164829
18 *7119:A2 *894:17 7.78965e-05
19 *7119:A2 *1051:20 0.000225058
20 *7119:A2 *1099:19 7.92757e-06
21 *7119:A2 *1105:26 9.60216e-05
22 *7123:A2 *7115:A1 0.000122098
23 *7123:A2 *7123:A1 3.11775e-05
24 *7123:A2 *7123:B1 2.02035e-05
25 *7123:A2 *7610:A 4.01315e-05
26 *7123:A2 *894:17 3.98922e-05
27 *7123:A2 *1124:20 0.000113374
28 *871:7 *1114:25 6.09702e-05
29 *871:8 *7110:A1 0.000252359
30 *871:8 *1051:20 7.50872e-05
31 *871:37 *7115:B1 2.65831e-05
32 *871:37 *1114:25 0.000428134
33 *871:37 *1114:29 3.58044e-05
34 *7092:A *871:7 6.08467e-05
35 *7382:D *7123:A2 5.4224e-06
36 *511:17 *7123:A2 0
37 *514:22 *7123:A2 0.000122108
38 *515:6 *7100:A2 0
39 *515:6 *7119:A2 0
40 *515:6 *871:8 0
*RES
1 *7092:X *871:4 9.24915
2 *871:4 *871:7 14.0971
3 *871:7 *871:8 5.98452
4 *871:8 *7100:A2 27.3882
5 *871:8 *7110:A2 13.7491
6 *871:7 *7119:A2 23.9453
7 *871:4 *871:37 7.11339
8 *871:37 *7123:A2 26.0595
9 *871:37 *7115:A2 11.1059
*END
*D_NET *872 0.00608762
*CONN
*I *7118:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7122:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7114:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7109:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7099:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7093:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7118:A1 0.000154512
2 *7122:A1 0.00017976
3 *7114:A1 0
4 *7109:A1 0
5 *7099:A1 0.000166621
6 *7093:X 0
7 *872:27 0.00017976
8 *872:25 0.000375817
9 *872:18 0.000612507
10 *872:4 0.000557823
11 *7099:A1 *962:19 0.000317679
12 *7099:A1 *1140:53 8.41174e-05
13 *7118:A1 *7109:B1 4.19401e-06
14 *7118:A1 *7118:A2 6.92705e-05
15 *7118:A1 *7118:A3 8.97257e-05
16 *7118:A1 *886:30 2.20995e-05
17 *7118:A1 *1103:34 3.49951e-05
18 *7118:A1 *1103:36 1.61631e-05
19 *7122:A1 *7114:A2 2.63042e-05
20 *7122:A1 *7118:A3 6.50586e-05
21 *7122:A1 *7122:A3 0.000129069
22 *7122:A1 *891:8 0.000377259
23 *872:18 *6714:A1 0.000169093
24 *872:18 *7107:A2 2.82681e-05
25 *872:18 *7109:A2 0.000116986
26 *872:18 *7113:A1 0.00052267
27 *872:18 *876:17 0.000100307
28 *872:18 *1096:1217 0.000167076
29 *872:25 *7107:A2 2.58142e-05
30 *872:25 *7109:B1 3.55391e-05
31 *872:25 *7113:A1 0.000172706
32 *872:25 *7113:A3 7.25274e-05
33 *872:25 *7113:B1 7.36804e-06
34 *872:25 *7114:A3 5.21776e-05
35 *7107:A3 *7099:A1 0.000158885
36 *7107:A3 *872:18 0.000712461
37 *820:22 *872:18 0.000171288
38 *822:26 *872:18 0
39 *822:31 *7099:A1 0.000111722
*RES
1 *7093:X *872:4 9.24915
2 *872:4 *7099:A1 24.8233
3 *872:4 *872:18 23.5289
4 *872:18 *7109:A1 13.7491
5 *872:18 *872:25 6.81502
6 *872:25 *872:27 4.5
7 *872:27 *7114:A1 9.24915
8 *872:27 *7122:A1 16.676
9 *872:25 *7118:A1 17.7138
*END
*D_NET *873 0.00760092
*CONN
*I *7120:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7116:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7111:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7104:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7096:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7094:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7120:A2 0.000118553
2 *7116:A2 3.95281e-05
3 *7111:A2 0.000179329
4 *7104:A2 5.0904e-05
5 *7096:A2 0.00053796
6 *7094:X 4.32922e-05
7 *873:51 0.00044672
8 *873:15 0.000837006
9 *873:11 0.000932512
10 *873:7 0.000836971
11 *7096:A2 *1070:8 0.000163997
12 *7104:A2 *6960:B 1.67329e-05
13 *7104:A2 *874:19 1.67329e-05
14 *7104:A2 *1070:8 6.52159e-05
15 *7111:A2 *7111:A1 1.67329e-05
16 *7111:A2 *7372:CLK 0.000219456
17 *7111:A2 *881:19 5.05252e-05
18 *7111:A2 *1105:56 0
19 *7116:A2 *7120:C1 2.99291e-05
20 *7116:A2 *892:13 1.03403e-05
21 *7120:A2 *7120:A1 7.21568e-05
22 *7120:A2 *7120:B1 4.76609e-05
23 *7120:A2 *7120:B2 3.69443e-05
24 *7120:A2 *7120:C1 9.73764e-05
25 *7120:A2 *895:16 0.000389171
26 *873:11 *6960:B 0.00043038
27 *873:11 *6960:C 2.16355e-05
28 *873:11 *874:19 1.53573e-05
29 *873:15 *6960:B 6.88782e-05
30 *873:51 *7116:A1 5.68225e-06
31 *873:51 *892:13 7.90714e-05
32 *873:51 *895:16 5.92192e-05
33 *6954:B *7096:A2 3.65842e-05
34 *6954:B *7104:A2 6.08697e-06
35 *6960:D *7104:A2 7.39796e-06
36 *7029:A *7096:A2 0.000487686
37 *7094:A *873:51 1.58706e-05
38 *7372:D *7096:A2 3.58044e-05
39 *538:43 *873:51 3.20185e-06
40 *547:37 *873:7 2.65831e-05
41 *547:37 *873:11 0.000325405
42 *755:42 *873:15 0.000167076
43 *814:21 *7096:A2 0.000421717
44 *820:36 *873:51 0.000114799
45 *832:26 *7111:A2 1.67329e-05
*RES
1 *7094:X *873:7 10.5513
2 *873:7 *873:11 14.6126
3 *873:11 *873:15 8.55102
4 *873:15 *7096:A2 30.2218
5 *873:15 *7104:A2 15.3648
6 *873:11 *7111:A2 22.7716
7 *873:7 *873:51 16.6455
8 *873:51 *7116:A2 10.2498
9 *873:51 *7120:A2 16.4891
*END
*D_NET *874 0.00967676
*CONN
*I *7120:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7116:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7111:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7104:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7096:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7095:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7120:C1 0.000516185
2 *7116:C1 0
3 *7111:C1 0.000164156
4 *7104:C1 0
5 *7096:C1 0.000271389
6 *7095:X 6.92187e-05
7 *874:50 0.000669736
8 *874:22 0.000317134
9 *874:19 0.00075617
10 *874:8 0.000769039
11 *7096:C1 *7099:A2 1.03403e-05
12 *7096:C1 *875:10 7.50722e-05
13 *7096:C1 *962:17 0.00125654
14 *7096:C1 *1141:9 2.04951e-05
15 *7096:C1 *1141:48 0.0012054
16 *7111:C1 *7104:B1 0.000112078
17 *7111:C1 *882:8 0
18 *7120:C1 *7116:B2 1.18677e-05
19 *7120:C1 *7120:B1 3.75603e-05
20 *874:8 *1131:9 2.63704e-05
21 *874:19 *6960:A 0.000470585
22 *874:19 *6960:B 0.000153563
23 *874:19 *6960:C 6.08467e-05
24 *874:19 *7104:B2 1.67988e-05
25 *874:19 *1141:48 1.84293e-05
26 *874:19 *1143:30 5.64166e-05
27 *874:22 *7104:B1 6.73739e-06
28 *874:22 *962:17 2.43314e-05
29 *874:50 *1143:30 9.31226e-05
30 *6959:B1 *7096:C1 0
31 *6960:D *874:19 0.00072322
32 *7096:B1 *7096:C1 0.000122378
33 *7104:A2 *874:19 1.67329e-05
34 *7116:A2 *7120:C1 2.99291e-05
35 *7120:A2 *7120:C1 9.73764e-05
36 *547:37 *874:19 0.000383717
37 *547:37 *874:50 0
38 *583:34 *7111:C1 0
39 *583:34 *874:22 0
40 *597:61 *7096:C1 0.000213466
41 *755:42 *7096:C1 8.62321e-06
42 *762:7 *874:19 0.000330596
43 *814:21 *7096:C1 0.00011818
44 *832:17 *874:8 4.81015e-05
45 *832:26 *7111:C1 0.000379505
46 *873:11 *874:19 1.53573e-05
*RES
1 *7095:X *874:8 15.7599
2 *874:8 *874:19 29.2169
3 *874:19 *874:22 5.50149
4 *874:22 *7096:C1 37.4693
5 *874:22 *7104:C1 9.24915
6 *874:19 *7111:C1 20.0474
7 *874:8 *874:50 8.40826
8 *874:50 *7116:C1 9.24915
9 *874:50 *7120:C1 18.388
*END
*D_NET *875 0.00739209
*CONN
*I *7099:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7096:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7099:A2 0.0020682
2 *7096:X 0.000307188
3 *875:10 0.00237539
4 *7099:A2 *962:17 0.000119557
5 *7099:A2 *962:19 3.91843e-05
6 *7099:A2 *1140:44 0.000103039
7 *7099:A2 *1140:53 0.00200364
8 *875:10 *1141:9 0.000136533
9 *7096:C1 *7099:A2 1.03403e-05
10 *7096:C1 *875:10 7.50722e-05
11 *814:21 *875:10 0.000153949
*RES
1 *7096:X *875:10 24.2715
2 *875:10 *7099:A2 44.2374
*END
*D_NET *876 0.00538299
*CONN
*I *7113:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7121:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7117:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7107:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7098:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7097:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7113:B1 2.00821e-05
2 *7121:B1 4.88659e-05
3 *7117:B1 0.000238733
4 *7107:B1 0
5 *7098:B1 0.000175212
6 *7097:X 1.26553e-05
7 *876:27 0.000347941
8 *876:24 0.000264942
9 *876:17 0.000787381
10 *876:7 0.000790729
11 *7113:B1 *7109:B1 5.64902e-05
12 *7113:B1 *7113:A1 2.4562e-05
13 *7117:B1 *7109:B1 0
14 *7117:B1 *7117:A1 3.07133e-05
15 *7117:B1 *7117:A2 6.92705e-05
16 *7117:B1 *7121:A2 4.59164e-06
17 *7117:B1 *7121:A3 1.10925e-05
18 *7117:B1 *7122:A3 7.50872e-05
19 *7121:B1 *7121:A1 2.99287e-05
20 *7121:B1 *7121:A2 0.000169872
21 *7121:B1 *888:20 9.54357e-06
22 *7121:B1 *1101:27 0.00025978
23 *876:7 *962:19 6.50586e-05
24 *876:7 *1140:53 2.65667e-05
25 *876:17 *6714:A1 0.000165495
26 *876:17 *7113:A1 0.000331044
27 *876:24 *7109:B1 0.000139435
28 *876:24 *7113:A1 0.000325964
29 *876:27 *7113:A3 1.01177e-05
30 *876:27 *7121:A2 0.000171273
31 *876:27 *888:20 2.82583e-05
32 *876:27 *1101:27 0.000377259
33 *6718:A *7117:B1 9.82896e-06
34 *7069:A *7098:B1 0
35 *7098:A2 *7098:B1 3.67528e-06
36 *523:69 *876:17 7.50722e-05
37 *562:24 *876:24 2.22342e-05
38 *567:16 *7098:B1 0
39 *567:16 *876:17 0
40 *583:29 *876:17 0
41 *814:21 *7098:B1 6.50586e-05
42 *848:35 *7098:B1 3.14978e-05
43 *872:18 *876:17 0.000100307
44 *872:25 *7113:B1 7.36804e-06
*RES
1 *7097:X *876:7 14.4725
2 *876:7 *7098:B1 17.9655
3 *876:7 *876:17 16.3658
4 *876:17 *7107:B1 13.7491
5 *876:17 *876:24 6.39977
6 *876:24 *876:27 8.55102
7 *876:27 *7117:B1 24.3169
8 *876:27 *7121:B1 12.7697
9 *876:24 *7113:B1 14.7506
*END
*D_NET *877 0.00111131
*CONN
*I *7099:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7098:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7099:A3 0.000294946
2 *7098:X 0.000294946
3 *7099:A3 *1140:53 3.56131e-05
4 *7099:A3 *1140:55 1.9101e-05
5 *7099:B1 *7099:A3 8.92568e-06
6 *814:10 *7099:A3 7.50722e-05
7 *814:21 *7099:A3 0.000370815
8 *842:38 *7099:A3 1.18938e-05
*RES
1 *7098:X *7099:A3 33.7966
*END
*D_NET *878 0.0127571
*CONN
*I *7100:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7099:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7100:B1 0.000644336
2 *7099:X 0
3 *878:5 0.00254379
4 *878:4 0.00189945
5 *7100:B1 *7100:A1 2.15266e-05
6 *7100:B1 *7221:A0 7.24155e-05
7 *7100:B1 *1049:19 0
8 *7100:B1 *1177:22 0
9 *878:5 *6621:B 0.000506564
10 *878:5 *6621:D_N 0.000153949
11 *878:5 *6687:A2 0.000474432
12 *878:5 *7024:A 4.58003e-05
13 *878:5 *962:19 0.000179879
14 *878:5 *969:11 5.22164e-05
15 *878:5 *1098:72 0.000233002
16 *878:5 *1174:20 8.26551e-05
17 *6687:A1 *878:5 0.000167076
18 *7026:C *878:5 0.00094208
19 *7026:D_N *878:5 0.000193069
20 *7054:B2 *878:5 0.000557411
21 *7054:C1 *878:5 0.000186059
22 *442:15 *7100:B1 0.000200236
23 *526:18 *7100:B1 0
24 *812:11 *878:5 0.000504332
25 *813:10 *878:5 8.32874e-06
26 *814:10 *878:5 0.0021708
27 *840:7 *878:5 0.000917688
*RES
1 *7099:X *878:4 9.24915
2 *878:4 *878:5 71.7127
3 *878:5 *7100:B1 35.4455
*END
*D_NET *879 0.000488353
*CONN
*I *7102:A I *D sky130_fd_sc_hd__nor2_2
*I *7101:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7102:A 9.49758e-05
2 *7101:Y 9.49758e-05
3 *7102:A *7101:A 0.000116971
4 *7102:A *897:29 6.08467e-05
5 *835:25 *7102:A 0.000120584
*RES
1 *7101:Y *7102:A 30.4689
*END
*D_NET *880 0.0234545
*CONN
*I *7138:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7133:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7143:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7103:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7102:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
1 *7138:B1 0.000295986
2 *7133:B1 0.000217628
3 *7143:B1 0.000125869
4 *7103:A 0
5 *7102:Y 0
6 *880:36 0.000637051
7 *880:24 0.000644652
8 *880:14 0.000836224
9 *880:5 0.0016423
10 *880:4 0.00120143
11 *7133:B1 *7133:B2 1.47046e-05
12 *7133:B1 *7133:C1 6.08467e-05
13 *7133:B1 *7143:A2 7.58739e-05
14 *7133:B1 *900:25 9.02327e-05
15 *7133:B1 *1107:48 0.000170607
16 *7138:B1 *7143:A2 8.00558e-05
17 *7138:B1 *901:25 0.000107496
18 *7138:B1 *910:11 0.000251669
19 *7138:B1 *1107:48 0.000369406
20 *7138:B1 *1122:26 2.55661e-06
21 *7143:B1 *6991:B 2.77564e-05
22 *7143:B1 *7128:C1 4.40281e-05
23 *880:5 *7105:A 0.00112225
24 *880:5 *886:8 0.00011818
25 *880:5 *889:7 2.65667e-05
26 *880:5 *902:24 4.91439e-05
27 *880:5 *921:27 0.00147318
28 *880:14 *1096:694 5.82315e-05
29 *880:14 *1096:713 0
30 *880:14 *1099:47 5.0715e-05
31 *880:14 *1103:36 2.97556e-05
32 *880:14 *1114:35 0.00154294
33 *880:24 *914:19 0.000228812
34 *880:24 *1096:694 8.15668e-05
35 *880:36 *914:19 6.73351e-05
36 *6727:A1_N *880:14 0
37 *6733:A *880:5 0.000200794
38 *6744:A2 *880:5 0.000528374
39 *6744:B1 *880:5 2.16355e-05
40 *6965:C1 *880:14 5.46781e-05
41 *6965:C1 *880:24 7.37791e-05
42 *6989:A *880:24 0.000489918
43 *6990:B1 *880:24 0.00141364
44 *7108:A *880:5 0.000171288
45 *7112:A *880:5 6.08467e-05
46 *7138:B2 *7138:B1 3.24516e-05
47 *7292:D *880:5 6.92705e-05
48 *547:29 *880:14 0.000221463
49 *568:45 *880:5 0.000466359
50 *574:47 *880:5 0.00010238
51 *574:51 *880:14 0.000782786
52 *587:15 *880:5 0.000711366
53 *587:36 *880:14 9.12416e-06
54 *587:36 *880:24 2.02035e-05
55 *594:44 *7138:B1 0
56 *594:44 *7143:B1 8.9423e-05
57 *599:7 *880:5 0.000117996
58 *599:13 *880:5 0.00521024
59 *696:89 *880:24 0.000719933
60 *696:89 *880:36 0.000141457
*RES
1 *7102:Y *880:4 9.24915
2 *880:4 *880:5 74.4857
3 *880:5 *880:14 35.6319
4 *880:14 *7103:A 13.7491
5 *880:14 *880:24 30.9005
6 *880:24 *7143:B1 22.1574
7 *880:24 *880:36 8.55102
8 *880:36 *7133:B1 20.3233
9 *880:36 *7138:B1 24.0847
*END
*D_NET *881 0.012189
*CONN
*I *7128:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7120:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7116:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7111:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7104:B1 I *D sky130_fd_sc_hd__a221o_1
*I *7103:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7128:B1 0.000368791
2 *7120:B1 0.000237167
3 *7116:B1 5.20601e-05
4 *7111:B1 3.59755e-05
5 *7104:B1 0.000343629
6 *7103:X 0.000256248
7 *881:19 0.00139214
8 *881:11 0.00126347
9 *881:10 0.00147016
10 *881:5 0.00165916
11 *7104:B1 *7111:B2 2.63042e-05
12 *7116:B1 *1143:28 9.60216e-05
13 *7120:B1 *7120:B2 0.000107805
14 *7128:B1 *7128:B2 3.27324e-05
15 *7128:B1 *902:10 0.000523693
16 *7128:B1 *902:19 0.000113197
17 *7128:B1 *906:7 0.000116929
18 *881:10 *7120:B2 0
19 *881:10 *7360:CLK 0
20 *881:10 *892:13 0.000162938
21 *881:10 *895:16 0.000166394
22 *881:10 *1103:50 0
23 *881:10 *1106:33 0
24 *881:19 *7111:A1 0.000107496
25 *881:19 *7372:CLK 0.000122734
26 *881:19 *1105:56 0
27 *6639:A *881:19 7.6719e-06
28 *6639:B *881:10 2.51444e-05
29 *6960:D *7104:B1 0
30 *6975:B *881:10 0
31 *6976:A *881:10 0.000122098
32 *7111:A2 *881:19 5.05252e-05
33 *7111:C1 *7104:B1 0.000112078
34 *7120:A2 *7120:B1 4.76609e-05
35 *7120:C1 *7120:B1 3.75603e-05
36 *522:30 *881:10 1.55462e-05
37 *527:31 *881:19 0.000802132
38 *527:43 *881:19 9.97706e-05
39 *541:19 *7120:B1 0.000220183
40 *541:19 *881:11 0.000307037
41 *541:19 *881:19 0.000107496
42 *572:16 *881:10 0.000134323
43 *587:36 *7128:B1 0.000518186
44 *587:36 *881:5 0.000738369
45 *587:36 *881:10 0
46 *587:38 *881:10 0
47 *691:16 *881:10 9.75356e-05
48 *820:36 *7116:B1 3.77659e-05
49 *820:36 *881:10 0
50 *832:26 *7104:B1 1.55243e-05
51 *832:26 *7111:B1 2.53992e-05
52 *832:26 *881:19 5.21466e-06
53 *874:22 *7104:B1 6.73739e-06
*RES
1 *7103:X *881:5 17.1824
2 *881:5 *881:10 34.0861
3 *881:10 *881:11 3.49641
4 *881:11 *881:19 28.812
5 *881:19 *7104:B1 24.2286
6 *881:19 *7111:B1 10.503
7 *881:11 *7116:B1 20.0811
8 *881:10 *7120:B1 23.9098
9 *881:5 *7128:B1 23.283
*END
*D_NET *882 0.0117428
*CONN
*I *7109:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7104:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7109:A2 5.24147e-05
2 *7104:X 0.000574085
3 *882:9 0.00258532
4 *882:8 0.003107
5 *7109:A2 *7107:A2 0.000116971
6 *882:8 *1142:8 0.000273714
7 *882:8 *1142:14 0.000160167
8 *882:8 *1142:16 4.52469e-05
9 *6727:A1_N *882:8 0
10 *6960:D *882:8 1.07248e-05
11 *7111:C1 *882:8 0
12 *522:19 *882:9 0.0043359
13 *547:29 *882:8 0.000129849
14 *572:23 *882:8 0.000222684
15 *580:11 *882:8 1.17299e-05
16 *872:18 *7109:A2 0.000116986
*RES
1 *7104:X *882:8 35.0302
2 *882:8 *882:9 46.2009
3 *882:9 *7109:A2 20.4964
*END
*D_NET *883 0.00961299
*CONN
*I *7130:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7144:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7140:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7134:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7106:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7105:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7130:A2 0
2 *7144:A2 0.000254929
3 *7140:A2 0.000176586
4 *7134:A2 0
5 *7106:A 0.000321742
6 *7105:X 0
7 *883:32 0.000531928
8 *883:27 0.00140383
9 *883:5 0.00162516
10 *7106:A *7265:A0 1.03403e-05
11 *7106:A *884:15 6.44711e-05
12 *7106:A *1096:1260 0.00031994
13 *7106:A *1103:11 0.000625682
14 *7140:A2 *7140:A1 3.3204e-05
15 *7140:A2 *903:29 0.000142931
16 *7140:A2 *911:8 0
17 *7140:A2 *911:17 0
18 *7144:A2 *7130:A1 0.000346368
19 *7144:A2 *7130:B1 9.82896e-06
20 *7144:A2 *7144:A3 5.07314e-05
21 *883:27 *7131:A3 3.20069e-06
22 *883:27 *7134:A1 2.67e-05
23 *883:27 *7134:A3 6.08467e-05
24 *883:27 *7136:A3 0.000494429
25 *883:27 *7136:B1 2.41483e-05
26 *883:27 *7303:CLK 0
27 *883:27 *909:8 0.000907584
28 *883:32 *7130:A3 6.08467e-05
29 *883:32 *7130:B1 0.000127349
30 *883:32 *7134:A1 6.50727e-05
31 *883:32 *7134:A3 0.000532383
32 *883:32 *7134:B1 0.000169041
33 *883:32 *7136:A1 9.82896e-06
34 *6585:A *7106:A 0.000177787
35 *6585:A *883:27 0.000393907
36 *485:8 *7106:A 4.28891e-05
37 *568:27 *7106:A 0
38 *568:45 *7106:A 0
39 *587:14 *7140:A2 0.000163997
40 *819:10 *883:27 5.84876e-05
41 *819:25 *7140:A2 0.000322682
42 *822:26 *883:27 5.41377e-05
*RES
1 *7105:X *883:5 13.7491
2 *883:5 *7106:A 26.1424
3 *883:5 *883:27 44.8322
4 *883:27 *7134:A2 9.24915
5 *883:27 *883:32 6.82404
6 *883:32 *7140:A2 25.402
7 *883:32 *7144:A2 16.676
8 *883:32 *7130:A2 9.24915
*END
*D_NET *884 0.0115453
*CONN
*I *7117:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7121:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7113:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7107:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7265:A0 I *D sky130_fd_sc_hd__mux2_1
*I *7106:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7117:A2 7.32826e-05
2 *7121:A2 0.000125819
3 *7113:A2 6.10082e-05
4 *7107:A2 0.000298855
5 *7265:A0 0.00110231
6 *7106:X 0
7 *884:42 0.000521987
8 *884:18 0.000430764
9 *884:15 0.00221998
10 *884:4 0.00292851
11 *7107:A2 *7109:A3 0.000116971
12 *7107:A2 *7113:A3 8.10514e-06
13 *7107:A2 *887:13 0.000137081
14 *7113:A2 *7113:A3 2.16355e-05
15 *7113:A2 *7114:A3 3.14978e-05
16 *7113:A2 *1101:27 9.40969e-05
17 *7117:A2 *7117:A3 0.00011818
18 *7117:A2 *7122:A3 0.000107496
19 *7117:A2 *888:20 1.55462e-05
20 *7117:A2 *889:10 5.05252e-05
21 *7121:A2 *7109:B1 1.30526e-05
22 *7121:A2 *7121:A3 0.000269773
23 *7121:A2 *888:20 7.50605e-05
24 *7121:A2 *889:10 6.28484e-05
25 *7265:A0 *963:17 0
26 *7265:A0 *1103:11 0.000123734
27 *884:15 *7122:A3 0.000470557
28 *884:15 *891:8 5.07237e-05
29 *884:15 *1096:1260 0.000139764
30 *884:15 *1103:11 5.28784e-05
31 *884:18 *7113:A3 9.65083e-06
32 *884:18 *7114:A3 2.99929e-05
33 *884:18 *887:13 4.34752e-05
34 *884:42 *7122:A3 0.000513037
35 *6718:A *7117:A2 4.07355e-05
36 *7092:A *7265:A0 0.00025559
37 *7106:A *7265:A0 1.03403e-05
38 *7106:A *884:15 6.44711e-05
39 *7109:A2 *7107:A2 0.000116971
40 *7117:B1 *7117:A2 6.92705e-05
41 *7117:B1 *7121:A2 4.59164e-06
42 *7121:B1 *7121:A2 0.000169872
43 *7293:D *884:15 3.3186e-05
44 *522:19 *7107:A2 0.000113968
45 *633:18 *7265:A0 6.17339e-05
46 *822:26 *7107:A2 2.56894e-05
47 *822:26 *884:18 3.5333e-05
48 *872:18 *7107:A2 2.82681e-05
49 *872:25 *7107:A2 2.58142e-05
50 *876:27 *7121:A2 0.000171273
*RES
1 *7106:X *884:4 9.24915
2 *884:4 *7265:A0 36.6093
3 *884:4 *884:15 27.899
4 *884:15 *884:18 6.74725
5 *884:18 *7107:A2 23.3078
6 *884:18 *7113:A2 16.1364
7 *884:15 *884:42 10.2148
8 *884:42 *7121:A2 19.9081
9 *884:42 *7117:A2 17.1378
*END
*D_NET *885 0.000411953
*CONN
*I *7109:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7107:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7109:A3 8.17364e-05
2 *7107:X 8.17364e-05
3 *7109:A3 *1112:39 6.08467e-05
4 *7107:A2 *7109:A3 0.000116971
5 *822:26 *7109:A3 4.90264e-05
6 *831:26 *7109:A3 2.16355e-05
*RES
1 *7107:X *7109:A3 30.4689
*END
*D_NET *886 0.00518805
*CONN
*I *7114:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7131:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7118:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7109:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7122:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7108:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7114:B1 1.68076e-05
2 *7131:B1 0.000293425
3 *7118:B1 9.36721e-06
4 *7109:B1 0.000281141
5 *7122:B1 2.30898e-05
6 *7108:X 0.000333374
7 *886:30 0.000430924
8 *886:20 0.000738055
9 *886:8 0.000668438
10 *7109:B1 *888:20 0.000135188
11 *7109:B1 *889:10 0
12 *7109:B1 *1112:39 4.31703e-05
13 *7114:B1 *7114:A2 0.000118166
14 *7114:B1 *7118:A3 5.0715e-05
15 *7118:B1 *7118:A2 6.50586e-05
16 *7118:B1 *1103:36 6.50586e-05
17 *7131:B1 *889:8 0
18 *7131:B1 *889:39 0
19 *7131:B1 *906:24 2.16355e-05
20 *886:30 *7118:A3 0
21 *886:30 *889:8 0
22 *6718:A *886:20 0.000797439
23 *6718:A *886:30 3.63593e-05
24 *6744:A2 *886:8 0.00011818
25 *7113:B1 *7109:B1 5.64902e-05
26 *7117:B1 *7109:B1 0
27 *7118:A1 *7109:B1 4.19401e-06
28 *7118:A1 *886:30 2.20995e-05
29 *7121:A2 *7109:B1 1.30526e-05
30 *7139:A *7131:B1 0.000186445
31 *485:41 *7131:B1 9.10158e-05
32 *559:46 *886:20 0.000121408
33 *576:62 *7131:B1 2.54678e-05
34 *594:14 *7131:B1 2.16355e-05
35 *822:26 *7131:B1 0
36 *822:26 *886:8 0
37 *831:26 *7109:B1 0.000107496
38 *842:38 *7122:B1 0
39 *842:38 *886:8 0
40 *872:25 *7109:B1 3.55391e-05
41 *876:24 *7109:B1 0.000139435
42 *880:5 *886:8 0.00011818
*RES
1 *7108:X *886:8 21.2193
2 *886:8 *7122:B1 14.1278
3 *886:8 *886:20 7.78183
4 *886:20 *7109:B1 22.0498
5 *886:20 *886:30 2.87013
6 *886:30 *7118:B1 14.4725
7 *886:30 *7131:B1 21.2876
8 *886:20 *7114:B1 15.0271
*END
*D_NET *887 0.00904707
*CONN
*I *7110:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7109:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7110:B1 3.5247e-05
2 *7109:X 0.000837982
3 *887:15 0.00254124
4 *887:13 0.00334397
5 *7110:B1 *1101:13 0
6 *887:13 *1101:27 0.000141143
7 *887:15 *6723:A2 1.58551e-05
8 *887:15 *1101:17 0.000701899
9 *887:15 *1101:27 0.000241728
10 *6723:B1 *887:13 3.41075e-05
11 *6723:B1 *887:15 0.000451196
12 *7107:A2 *887:13 0.000137081
13 *7265:S *887:15 0.0002646
14 *822:26 *887:13 0.000192472
15 *831:26 *887:13 6.50727e-05
16 *884:18 *887:13 4.34752e-05
*RES
1 *7109:X *887:13 33.7505
2 *887:13 *887:15 58.9568
3 *887:15 *7110:B1 10.2378
*END
*D_NET *888 0.0122012
*CONN
*I *7114:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7111:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7114:A2 5.0676e-05
2 *7111:X 0.000960777
3 *888:20 0.00173075
4 *888:8 0.00264085
5 *7114:A2 *7118:A3 1.32002e-05
6 *7114:A2 *7122:A3 0.000264009
7 *888:8 *6717:A1 0
8 *888:8 *1096:717 0.000252802
9 *888:8 *1099:47 0
10 *888:8 *1131:9 0.00140074
11 *888:20 *7113:A3 0.000160617
12 *888:20 *7121:A1 0.000341513
13 *888:20 *7121:A3 0.000217951
14 *888:20 *7122:A3 6.50586e-05
15 *6718:A *7114:A2 5.04829e-06
16 *6727:A1_N *888:8 0
17 *6962:B1 *888:8 1.40496e-05
18 *7109:B1 *888:20 0.000135188
19 *7114:B1 *7114:A2 0.000118166
20 *7117:A2 *888:20 1.55462e-05
21 *7121:A2 *888:20 7.50605e-05
22 *7121:B1 *888:20 9.54357e-06
23 *7122:A1 *7114:A2 2.63042e-05
24 *7357:D *888:8 8.59811e-05
25 *538:46 *888:8 1.6866e-05
26 *559:37 *888:20 0.00216729
27 *597:50 *888:8 0
28 *691:20 *888:8 0
29 *832:26 *888:8 0.00140494
30 *876:27 *888:20 2.82583e-05
*RES
1 *7111:X *888:8 49.4106
2 *888:8 *888:20 49.2458
3 *888:20 *7114:A2 12.1849
*END
*D_NET *889 0.00538027
*CONN
*I *7130:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7134:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7117:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7113:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7121:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7112:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7130:A3 4.90478e-05
2 *7134:A3 0.000123573
3 *7117:A3 1.23957e-05
4 *7113:A3 0.000361834
5 *7121:A3 6.32818e-05
6 *7112:X 1.26553e-05
7 *889:39 0.000381154
8 *889:10 0.000507933
9 *889:8 0.000438665
10 *889:7 0.000564641
11 *7113:A3 *7114:A3 8.62625e-06
12 *7113:A3 *1101:27 4.9711e-06
13 *7121:A3 *7122:A3 8.62625e-06
14 *7130:A3 *7130:B1 6.08467e-05
15 *7134:A3 *7131:A3 0.000377273
16 *7134:A3 *7134:A1 1.65872e-05
17 *889:7 *902:24 6.50586e-05
18 *889:10 *7122:A3 9.75356e-05
19 *6718:A *7117:A3 0.00011818
20 *6718:A *889:8 6.14003e-06
21 *7107:A2 *7113:A3 8.10514e-06
22 *7109:B1 *889:10 0
23 *7113:A2 *7113:A3 2.16355e-05
24 *7117:A2 *7117:A3 0.00011818
25 *7117:A2 *889:10 5.05252e-05
26 *7117:B1 *7121:A3 1.10925e-05
27 *7121:A2 *7121:A3 0.000269773
28 *7121:A2 *889:10 6.28484e-05
29 *7131:B1 *889:8 0
30 *7131:B1 *889:39 0
31 *7139:A *889:39 3.93117e-06
32 *576:62 *889:8 2.7257e-05
33 *587:14 *7130:A3 5.05252e-05
34 *587:14 *889:8 8.62625e-06
35 *587:14 *889:39 0.000317238
36 *872:25 *7113:A3 7.25274e-05
37 *876:27 *7113:A3 1.01177e-05
38 *880:5 *889:7 2.65667e-05
39 *883:27 *7134:A3 6.08467e-05
40 *883:32 *7130:A3 6.08467e-05
41 *883:32 *7134:A3 0.000532383
42 *884:18 *7113:A3 9.65083e-06
43 *886:30 *889:8 0
44 *888:20 *7113:A3 0.000160617
45 *888:20 *7121:A3 0.000217951
*RES
1 *7112:X *889:7 14.4725
2 *889:7 *889:8 6.81502
3 *889:8 *889:10 2.6625
4 *889:10 *7121:A3 17.1378
5 *889:10 *7113:A3 28.7715
6 *889:8 *7117:A3 15.0271
7 *889:7 *889:39 5.98452
8 *889:39 *7134:A3 20.5973
9 *889:39 *7130:A3 15.474
*END
*D_NET *890 0.000400446
*CONN
*I *7114:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7113:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7114:A3 0.00012653
2 *7113:X 0.00012653
3 *7113:A2 *7114:A3 3.14978e-05
4 *7113:A3 *7114:A3 8.62625e-06
5 *822:26 *7114:A3 2.50914e-05
6 *872:25 *7114:A3 5.21776e-05
7 *884:18 *7114:A3 2.99929e-05
*RES
1 *7113:X *7114:A3 30.8842
*END
*D_NET *891 0.010144
*CONN
*I *7115:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7114:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7115:B1 0.00073454
2 *7114:X 0
3 *891:8 0.00341287
4 *891:4 0.00267833
5 *7115:B1 *7115:A1 0.00011818
6 *7115:B1 *1114:29 3.16402e-05
7 *891:8 *7122:A3 1.40978e-05
8 *891:8 *1103:11 0.00251751
9 *7115:A2 *7115:B1 4.63823e-05
10 *7122:A1 *891:8 0.000377259
11 *7433:D *7115:B1 6.08467e-05
12 *7433:D *891:8 7.50722e-05
13 *871:37 *7115:B1 2.65831e-05
14 *884:15 *891:8 5.07237e-05
*RES
1 *7114:X *891:4 9.24915
2 *891:4 *891:8 49.3446
3 *891:8 *7115:B1 25.7816
*END
*D_NET *892 0.0124913
*CONN
*I *7118:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7116:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7118:A2 0.000369983
2 *7116:X 0.000621604
3 *892:20 0.00148199
4 *892:13 0.00173361
5 *7118:A2 *1099:47 0.00110787
6 *7118:A2 *1103:36 0.00289819
7 *7118:A2 *1114:35 0.000120882
8 *892:13 *895:16 5.76069e-05
9 *892:20 *7117:A1 0.000479262
10 *892:20 *916:5 0.000203739
11 *892:20 *1096:713 4.98393e-05
12 *6639:B *892:13 0.000202104
13 *6716:A *892:20 0.000219595
14 *7116:A2 *892:13 1.03403e-05
15 *7118:A1 *7118:A2 6.92705e-05
16 *7118:B1 *7118:A2 6.50586e-05
17 *7290:D *892:20 0.000229576
18 *7360:D *892:13 2.51527e-05
19 *522:30 *892:13 0.000127196
20 *559:27 *892:13 1.03403e-05
21 *559:27 *892:20 5.32581e-05
22 *576:62 *7118:A2 0.000950413
23 *820:36 *892:13 0.0011624
24 *873:51 *892:13 7.90714e-05
25 *881:10 *892:13 0.000162938
*RES
1 *7116:X *892:13 41.6235
2 *892:13 *892:20 38.4523
3 *892:20 *7118:A2 44.5509
*END
*D_NET *893 0.00116792
*CONN
*I *7118:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7117:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7118:A3 0.000379258
2 *7117:X 0.000379258
3 *6718:A *7118:A3 0.000167076
4 *7114:A2 *7118:A3 1.32002e-05
5 *7114:B1 *7118:A3 5.0715e-05
6 *7118:A1 *7118:A3 8.97257e-05
7 *7122:A1 *7118:A3 6.50586e-05
8 *822:26 *7118:A3 2.36289e-05
9 *886:30 *7118:A3 0
*RES
1 *7117:X *7118:A3 34.3512
*END
*D_NET *894 0.0124628
*CONN
*I *7119:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7118:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7119:B1 0
2 *7118:X 0.00204465
3 *894:17 0.00104027
4 *894:7 0.00308492
5 *894:7 *1103:34 0.00152777
6 *894:7 *1114:33 0.000335178
7 *894:17 *7101:A 2.65667e-05
8 *894:17 *7123:A1 3.71128e-05
9 *894:17 *897:29 0.000348959
10 *894:17 *1058:16 9.51082e-05
11 *894:17 *1099:19 0.00317445
12 *894:17 *1124:20 0.000200991
13 *6693:A *894:7 6.50727e-05
14 *6733:A *894:17 0.000235539
15 *7119:A2 *894:17 7.78965e-05
16 *7123:A2 *894:17 3.98922e-05
17 *818:20 *894:17 7.07092e-05
18 *818:27 *894:17 5.77061e-05
*RES
1 *7118:X *894:7 47.1942
2 *894:7 *894:17 49.4111
3 *894:17 *7119:B1 9.24915
*END
*D_NET *895 0.0130629
*CONN
*I *7122:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7120:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7122:A2 0
2 *7120:X 0.00304536
3 *895:22 0.00113846
4 *895:16 0.00418382
5 *895:16 *7120:A1 2.34459e-05
6 *895:16 *7120:B2 0
7 *895:22 *1123:17 8.62625e-06
8 *6639:B *895:16 0.000128093
9 *6709:A *895:22 0.000479276
10 *7120:A2 *895:16 0.000389171
11 *7288:D *895:22 2.85139e-05
12 *532:15 *895:22 0.000725262
13 *538:43 *895:16 0.000509045
14 *541:19 *895:16 0.000637277
15 *562:16 *895:16 0
16 *572:33 *895:22 6.12686e-06
17 *572:36 *895:16 8.24277e-06
18 *573:35 *895:16 0
19 *574:10 *895:16 3.55968e-05
20 *583:9 *895:22 0.000483474
21 *583:29 *895:22 0.000619223
22 *822:26 *895:22 0
23 *842:38 *895:22 0.000330705
24 *873:51 *895:16 5.92192e-05
25 *881:10 *895:16 0.000166394
26 *892:13 *895:16 5.76069e-05
*RES
1 *7120:X *895:16 44.2583
2 *895:16 *895:22 49.1095
3 *895:22 *7122:A2 9.24915
*END
*D_NET *896 0.00246824
*CONN
*I *7122:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7121:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7122:A3 0.000352003
2 *7121:X 0.000352003
3 *6718:A *7122:A3 1.96574e-05
4 *7114:A2 *7122:A3 0.000264009
5 *7117:A2 *7122:A3 0.000107496
6 *7117:B1 *7122:A3 7.50872e-05
7 *7121:A3 *7122:A3 8.62625e-06
8 *7122:A1 *7122:A3 0.000129069
9 *884:15 *7122:A3 0.000470557
10 *884:42 *7122:A3 0.000513037
11 *888:20 *7122:A3 6.50586e-05
12 *889:10 *7122:A3 9.75356e-05
13 *891:8 *7122:A3 1.40978e-05
*RES
1 *7121:X *7122:A3 42.4479
*END
*D_NET *897 0.00838374
*CONN
*I *7123:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7122:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7123:B1 7.02175e-06
2 *7122:X 0.00116673
3 *897:29 0.00188924
4 *897:12 0.00304894
5 *897:12 *1063:70 0.000153806
6 *897:12 *1063:72 3.49789e-05
7 *897:12 *1114:33 0.000530614
8 *897:29 *7101:A 6.50586e-05
9 *897:29 *7123:A1 0.000212379
10 *897:29 *7303:CLK 0.000183129
11 *897:29 *7610:A 4.07914e-06
12 *897:29 *1099:19 4.77868e-06
13 *897:29 *1099:30 9.10755e-05
14 *6585:A *897:12 9.64062e-05
15 *6733:A *897:29 2.16355e-05
16 *6733:C *897:29 6.99486e-05
17 *7102:A *897:29 6.08467e-05
18 *7123:A2 *7123:B1 2.02035e-05
19 *485:8 *897:12 9.12416e-06
20 *485:41 *897:12 1.91246e-05
21 *511:17 *7123:B1 8.13812e-06
22 *514:22 *897:29 4.40272e-05
23 *519:11 *897:29 4.04447e-05
24 *842:38 *897:12 0.000253058
25 *845:54 *897:12 0
26 *894:17 *897:29 0.000348959
*RES
1 *7122:X *897:12 46.2605
2 *897:12 *897:29 46.7947
3 *897:29 *7123:B1 17.4965
*END
*D_NET *898 0.00866894
*CONN
*I *7154:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7146:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7142:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7132:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7137:A2 I *D sky130_fd_sc_hd__a21o_1
*I *7124:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7154:A2 0.000140888
2 *7146:A2 0.000320514
3 *7142:A2 0
4 *7132:A2 0.000142247
5 *7137:A2 0
6 *7124:X 0.00016969
7 *898:45 0.00116379
8 *898:32 0.00118332
9 *898:18 0.000763635
10 *898:10 0.000310142
11 *7146:A2 *1025:11 2.08256e-05
12 *7146:A2 *1025:21 0.00050099
13 *7154:A2 *7154:A1 3.67708e-05
14 *898:10 *6724:B 9.2346e-06
15 *898:10 *7385:CLK 0.000336125
16 *898:10 *1058:16 0.00035709
17 *898:18 *7137:B1 0.000223764
18 *898:18 *7385:CLK 0.000640564
19 *898:32 *1024:9 1.67988e-05
20 *898:32 *1024:13 0.000348422
21 *898:32 *1024:15 0.000108315
22 *898:45 *1024:9 0.000122378
23 *7043:A *898:32 0.000326398
24 *7170:A *7132:A2 3.67528e-06
25 *7172:A3 *898:45 0.000171288
26 *7195:A *898:10 0.000107496
27 *7385:D *7132:A2 0
28 *7385:D *898:18 0.000224395
29 *7388:D *7154:A2 0
30 *416:8 *7154:A2 6.28407e-05
31 *416:8 *898:45 8.01716e-05
32 *424:7 *898:32 0.000707213
33 *424:7 *898:45 6.99542e-05
34 *424:11 *898:32 0
35 *437:10 *7132:A2 0
36 *828:14 *7132:A2 0
37 *828:14 *898:32 0
*RES
1 *7124:X *898:10 25.9269
2 *898:10 *7137:A2 9.24915
3 *898:10 *898:18 13.166
4 *898:18 *7132:A2 16.8269
5 *898:18 *898:32 26.4878
6 *898:32 *7142:A2 9.24915
7 *898:32 *898:45 19.4386
8 *898:45 *7146:A2 20.3079
9 *898:45 *7154:A2 18.0727
*END
*D_NET *899 0.0076656
*CONN
*I *7145:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7141:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7153:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7131:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7136:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7125:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7145:A1 0.000275788
2 *7141:A1 0
3 *7153:A1 0.000561805
4 *7131:A1 0.000243179
5 *7136:A1 0.000443902
6 *7125:X 0
7 *899:58 0.000320425
8 *899:30 0.000649076
9 *899:8 0.000937833
10 *899:4 0.000293385
11 *7131:A1 *7131:A3 4.5539e-05
12 *7131:A1 *903:10 5.92342e-05
13 *7136:A1 *7134:A1 0.00029145
14 *7136:A1 *7134:B1 7.34948e-06
15 *7136:A1 *7136:B1 0
16 *7145:A1 *7145:B1 0.000157075
17 *7145:A1 *1113:12 0
18 *7153:A1 *7184:A1 2.83056e-05
19 *7153:A1 *911:26 0
20 *7153:A1 *911:42 1.91391e-05
21 *899:8 *903:10 3.51113e-05
22 *899:58 *7141:A3 6.3657e-05
23 *7135:A *7145:A1 0.000413882
24 *7135:A *899:8 6.08467e-05
25 *7135:A *899:30 0.000479262
26 *7135:A *899:58 0.000322682
27 *7139:A *7131:A1 2.26985e-05
28 *7152:A2 *7153:A1 3.07131e-05
29 *7153:A3 *7153:A1 0
30 *7185:A1 *7153:A1 0.000367846
31 *7185:A1 *899:8 6.08467e-05
32 *7185:A1 *899:30 0.000418415
33 *7185:A2 *7145:A1 4.3116e-06
34 *7185:A2 *7153:A1 1.3019e-05
35 *404:25 *7153:A1 0
36 *408:12 *7153:A1 0.000247128
37 *425:26 *7153:A1 5.64484e-05
38 *435:21 *7145:A1 0.000444572
39 *435:21 *899:30 2.41483e-05
40 *435:21 *899:58 0.000102017
41 *587:14 *899:8 0
42 *822:26 *7131:A1 0
43 *822:26 *899:8 6.00921e-05
44 *822:50 *899:8 6.88784e-05
45 *838:36 *899:8 0
46 *842:34 *7136:A1 2.57071e-05
47 *883:32 *7136:A1 9.82896e-06
*RES
1 *7125:X *899:4 9.24915
2 *899:4 *899:8 11.2079
3 *899:8 *7136:A1 30.5747
4 *899:8 *7131:A1 19.3673
5 *899:4 *899:30 5.16022
6 *899:30 *7153:A1 43.8334
7 *899:30 *899:58 3.49641
8 *899:58 *7141:A1 9.24915
9 *899:58 *7145:A1 29.3137
*END
*D_NET *900 0.00527851
*CONN
*I *7149:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7133:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7143:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7128:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7138:A2 I *D sky130_fd_sc_hd__a221o_1
*I *7126:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7149:A2 0.000131725
2 *7133:A2 0
3 *7143:A2 0.000201809
4 *7128:A2 6.82791e-05
5 *7138:A2 4.57683e-05
6 *7126:X 0.000119278
7 *900:25 0.00042132
8 *900:21 0.0005461
9 *900:9 0.000673181
10 *900:6 0.000483548
11 *7128:A2 *902:10 3.57663e-05
12 *7128:A2 *906:7 0.000134266
13 *7138:A2 *7138:A1 4.17481e-05
14 *7138:A2 *910:11 4.24378e-05
15 *7143:A2 *7143:A1 9.06436e-05
16 *7143:A2 *1107:48 1.46079e-05
17 *7143:A2 *1122:26 0.000397896
18 *7149:A2 *7149:A1 1.67329e-05
19 *7149:A2 *1133:20 5.44492e-05
20 *900:6 *1133:20 5.45038e-05
21 *900:21 *7133:A1 9.16599e-05
22 *900:21 *906:7 2.98284e-05
23 *900:21 *1111:14 0.000178663
24 *900:25 *7133:A1 2.48809e-05
25 *900:25 *7133:B2 1.47046e-05
26 *900:25 *7133:C1 6.50586e-05
27 *900:25 *906:7 0.000517924
28 *7133:B1 *7143:A2 7.58739e-05
29 *7133:B1 *900:25 9.02327e-05
30 *7138:B1 *7143:A2 8.00558e-05
31 *7149:B1 *7149:A2 1.67329e-05
32 *7157:A *900:9 0.000213725
33 *435:9 *7143:A2 1.65872e-05
34 *503:8 *900:21 1.32509e-05
35 *533:47 *7149:A2 6.05448e-05
36 *533:47 *900:6 4.99033e-05
37 *740:31 *900:21 0
38 *776:13 *7143:A2 5.40939e-05
39 *820:50 *7138:A2 1.00846e-05
40 *820:50 *900:9 0.000100643
*RES
1 *7126:X *900:6 17.2421
2 *900:6 *900:9 11.324
3 *900:9 *7138:A2 10.8044
4 *900:9 *900:21 19.7225
5 *900:21 *900:25 6.57085
6 *900:25 *7128:A2 11.7991
7 *900:25 *7143:A2 27.0347
8 *900:21 *7133:A2 9.24915
9 *900:6 *7149:A2 17.8563
*END
*D_NET *901 0.00521206
*CONN
*I *7149:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7138:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7143:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7128:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7133:C1 I *D sky130_fd_sc_hd__a221o_1
*I *7127:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7149:C1 0.000389031
2 *7138:C1 0
3 *7143:C1 0
4 *7128:C1 0.000256687
5 *7133:C1 9.80363e-05
6 *7127:X 7.93415e-05
7 *901:25 0.000589007
8 *901:21 0.00033232
9 *901:19 0.000506375
10 *901:9 0.000294721
11 *7128:C1 *6991:B 3.5534e-06
12 *7128:C1 *7128:B2 5.22654e-06
13 *7133:C1 *1107:48 0.000224798
14 *7149:C1 *6991:C 5.51483e-06
15 *7149:C1 *7149:B2 0.000202626
16 *7149:C1 *942:9 5.73392e-05
17 *7149:C1 *1107:48 0.000149136
18 *901:19 *1107:48 0.000315269
19 *901:25 *6991:B 6.36771e-05
20 *901:25 *910:11 0.000218849
21 *6991:D *7149:C1 0.00016281
22 *6991:D *901:19 0.000174252
23 *7127:A *901:9 0.000164829
24 *7133:B1 *7133:C1 6.08467e-05
25 *7138:B1 *901:25 0.000107496
26 *7143:B1 *7128:C1 4.40281e-05
27 *432:14 *901:25 3.29488e-05
28 *589:45 *7128:C1 9.31817e-05
29 *589:45 *901:25 3.41721e-05
30 *594:44 *7128:C1 0
31 *775:8 *7133:C1 0.000211007
32 *775:8 *901:19 0.000151741
33 *779:7 *7149:C1 0.00011818
34 *900:25 *7133:C1 6.50586e-05
*RES
1 *7127:X *901:9 16.1605
2 *901:9 *7133:C1 18.3808
3 *901:9 *901:19 5.98452
4 *901:19 *901:21 4.5
5 *901:21 *901:25 14.4018
6 *901:25 *7128:C1 20.5642
7 *901:25 *7143:C1 13.7491
8 *901:21 *7138:C1 9.24915
9 *901:19 *7149:C1 26.4722
*END
*D_NET *902 0.0147375
*CONN
*I *7131:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7128:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7131:A2 0
2 *7128:X 0.000534699
3 *902:24 0.000849556
4 *902:19 0.0015461
5 *902:10 0.00123124
6 *902:10 *906:7 9.10673e-06
7 *902:10 *1106:33 0
8 *902:19 *906:7 0.00258999
9 *902:19 *906:24 2.28823e-05
10 *902:19 *921:27 7.02172e-06
11 *902:19 *1105:53 0.000370801
12 *902:24 *7131:A3 1.07248e-05
13 *902:24 *921:27 0.00058357
14 *902:24 *1099:34 0.00212053
15 *902:24 *1105:40 1.70077e-05
16 *6717:A2 *902:19 6.97834e-05
17 *6721:A2 *902:24 0.000113968
18 *6727:A1_N *902:19 1.41291e-05
19 *6727:A2_N *902:19 0.000428751
20 *6744:A2 *902:24 6.50586e-05
21 *6989:A *902:10 1.93378e-05
22 *6990:A2 *902:19 2.61147e-05
23 *7112:A *902:24 2.16355e-05
24 *7128:A2 *902:10 3.57663e-05
25 *7128:B1 *902:10 0.000523693
26 *7128:B1 *902:19 0.000113197
27 *7139:A *902:24 5.82465e-05
28 *587:15 *902:24 0.00172147
29 *587:36 *902:19 0.00130742
30 *587:36 *902:24 0.000163227
31 *599:13 *902:19 4.82966e-05
32 *822:26 *902:24 0
33 *880:5 *902:24 4.91439e-05
34 *889:7 *902:24 6.50586e-05
*RES
1 *7128:X *902:10 27.5991
2 *902:10 *902:19 44.6093
3 *902:19 *902:24 45.5989
4 *902:24 *7131:A2 13.7491
*END
*D_NET *903 0.00499676
*CONN
*I *7152:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7144:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7140:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7130:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7134:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7129:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7152:B1 0.000275265
2 *7144:B1 0.00025872
3 *7140:B1 0
4 *7130:B1 0.000226297
5 *7134:B1 4.90501e-05
6 *7129:X 0.000140797
7 *903:37 0.000594213
8 *903:29 0.000193734
9 *903:10 0.00042021
10 *903:5 0.000419166
11 *7130:B1 *7130:A1 4.08887e-05
12 *7144:B1 *911:8 8.00198e-06
13 *7144:B1 *911:17 2.83743e-05
14 *7144:B1 *911:26 8.00198e-06
15 *7152:B1 *7152:A1 6.08467e-05
16 *7152:B1 *908:37 8.4761e-05
17 *7152:B1 *911:26 2.11357e-05
18 *903:5 *7136:B1 0.000366603
19 *903:29 *7136:B1 0.000654952
20 *903:29 *7140:A1 2.57847e-05
21 *903:37 *7140:A1 6.49003e-05
22 *7130:A3 *7130:B1 6.08467e-05
23 *7131:A1 *903:10 5.92342e-05
24 *7136:A1 *7134:B1 7.34948e-06
25 *7140:A2 *903:29 0.000142931
26 *7144:A2 *7130:B1 9.82896e-06
27 *7185:A2 *7144:B1 0
28 *7185:A2 *7152:B1 0
29 *401:8 *7152:B1 6.12531e-05
30 *402:18 *7152:B1 5.05252e-05
31 *587:14 *903:10 0
32 *589:14 *7152:B1 5.41227e-05
33 *595:17 *7152:B1 6.08467e-05
34 *819:25 *903:5 0.000122969
35 *819:25 *903:29 9.36451e-05
36 *883:32 *7130:B1 0.000127349
37 *883:32 *7134:B1 0.000169041
38 *899:8 *903:10 3.51113e-05
*RES
1 *7129:X *903:5 14.964
2 *903:5 *903:10 12.493
3 *903:10 *7134:B1 11.0817
4 *903:10 *7130:B1 15.7416
5 *903:5 *903:29 8.48785
6 *903:29 *7140:B1 9.24915
7 *903:29 *903:37 6.3326
8 *903:37 *7144:B1 18.952
9 *903:37 *7152:B1 21.2876
*END
*D_NET *904 0.00114187
*CONN
*I *7131:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7130:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7131:A3 0.000304276
2 *7130:X 0.000304276
3 *7131:A3 *1105:40 8.01687e-05
4 *7131:A1 *7131:A3 4.5539e-05
5 *7134:A3 *7131:A3 0.000377273
6 *7139:A *7131:A3 5.2504e-06
7 *822:26 *7131:A3 1.11594e-05
8 *883:27 *7131:A3 3.20069e-06
9 *902:24 *7131:A3 1.07248e-05
*RES
1 *7130:X *7131:A3 34.2118
*END
*D_NET *905 0.00727577
*CONN
*I *7132:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7131:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7132:B1 0.000881332
2 *7131:X 0.00129541
3 *905:8 0.00217674
4 *7132:B1 *1021:9 4.19472e-05
5 *7132:B1 *1021:11 2.32594e-05
6 *905:8 *927:19 0.000235478
7 *905:8 *1060:16 0.000478215
8 *7170:A *7132:B1 3.86121e-05
9 *7176:A3 *7132:B1 8.90486e-05
10 *402:12 *905:8 0.000123597
11 *422:8 *7132:B1 0.000459901
12 *422:8 *905:8 0
13 *594:14 *905:8 0.00109273
14 *845:11 *905:8 0.000339508
*RES
1 *7131:X *905:8 46.9135
2 *905:8 *7132:B1 28.627
*END
*D_NET *906 0.0163573
*CONN
*I *7136:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7133:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7136:A2 0
2 *7133:X 0
3 *906:24 0.0028368
4 *906:7 0.0033435
5 *906:4 0.000506698
6 *906:7 *7128:B2 1.18677e-05
7 *906:24 *7136:B1 0
8 *906:24 *911:8 0.00012171
9 *906:24 *1105:40 0.000593901
10 *906:24 *1105:46 0
11 *6717:A2 *906:24 1.38938e-05
12 *7128:A2 *906:7 0.000134266
13 *7128:B1 *906:7 0.000116929
14 *7131:B1 *906:24 2.16355e-05
15 *7139:A *906:24 6.25562e-05
16 *574:47 *906:24 7.55625e-05
17 *587:36 *906:24 0.00090427
18 *594:14 *906:24 0.000285127
19 *599:13 *906:7 0.00394423
20 *822:26 *906:24 0
21 *842:34 *906:24 0.000214575
22 *900:21 *906:7 2.98284e-05
23 *900:25 *906:7 0.000517924
24 *902:10 *906:7 9.10673e-06
25 *902:19 *906:7 0.00258999
26 *902:19 *906:24 2.28823e-05
*RES
1 *7133:X *906:4 9.24915
2 *906:4 *906:7 47.3733
3 *906:7 *906:24 49.1427
4 *906:24 *7136:A2 13.7491
*END
*D_NET *907 0.00101317
*CONN
*I *7136:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7134:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7136:A3 7.58893e-05
2 *7134:X 7.58893e-05
3 *7136:A3 *7134:A1 3.21548e-05
4 *7136:A3 *7136:B1 0.000334808
5 *883:27 *7136:A3 0.000494429
*RES
1 *7134:X *7136:A3 24.2372
*END
*D_NET *908 0.007945
*CONN
*I *7145:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7162:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7153:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7141:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7136:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7135:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7145:B1 0.000243257
2 *7162:B1 0.000296568
3 *7153:B1 1.47608e-05
4 *7141:B1 2.50833e-05
5 *7136:B1 0.000674359
6 *7135:X 0
7 *908:37 0.000526263
8 *908:9 0.000778675
9 *908:5 0.000488278
10 *908:4 0.000437368
11 *7136:B1 *7134:A1 0.000383703
12 *7136:B1 *7141:A2 2.15208e-05
13 *7136:B1 *911:26 6.27098e-05
14 *7141:B1 *7141:A2 1.87825e-05
15 *7141:B1 *911:26 5.0187e-05
16 *7145:B1 *1113:12 0
17 *7153:B1 *7184:A1 6.08467e-05
18 *7162:B1 *7179:A1 0.000314044
19 *7162:B1 *911:42 0.000330911
20 *908:37 *911:26 0.000219442
21 *908:37 *911:42 0.000162732
22 *7136:A1 *7136:B1 0
23 *7136:A3 *7136:B1 0.000334808
24 *7145:A1 *7145:B1 0.000157075
25 *7152:B1 *908:37 8.4761e-05
26 *402:18 *7162:B1 7.14746e-05
27 *402:18 *908:37 0.000194894
28 *404:25 *7153:B1 6.08467e-05
29 *408:12 *7162:B1 0.00011755
30 *435:21 *7145:B1 0.000113968
31 *435:21 *908:5 0.000313495
32 *435:21 *908:9 0.000107496
33 *822:26 *7136:B1 0.00023344
34 *838:36 *7136:B1 0
35 *842:34 *7136:B1 0
36 *883:27 *7136:B1 2.41483e-05
37 *903:5 *7136:B1 0.000366603
38 *903:29 *7136:B1 0.000654952
39 *906:24 *7136:B1 0
*RES
1 *7135:X *908:4 9.24915
2 *908:4 *908:5 3.49641
3 *908:5 *908:9 5.778
4 *908:9 *7136:B1 45.1034
5 *908:9 *7141:B1 14.7506
6 *908:5 *908:37 12.1455
7 *908:37 *7153:B1 14.4725
8 *908:37 *7162:B1 24.0606
9 *908:4 *7145:B1 23.2989
*END
*D_NET *909 0.00692214
*CONN
*I *7137:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7136:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7137:B1 0.00104138
2 *7136:X 0.00089393
3 *909:8 0.00193531
4 *7137:B1 *7137:A1 2.71187e-05
5 *909:8 *7134:A1 4.56831e-05
6 *909:8 *1021:9 2.36494e-05
7 *909:8 *1062:74 0.000440166
8 *7042:C *909:8 7.08723e-06
9 *7156:A *909:8 4.23775e-05
10 *7175:A2 *909:8 0
11 *7189:A3 *909:8 0.000125695
12 *7385:D *7137:B1 0.000306271
13 *406:27 *909:8 0
14 *819:10 *909:8 5.05976e-05
15 *827:14 *909:8 9.35753e-06
16 *827:21 *909:8 9.8239e-05
17 *828:14 *909:8 0.000197171
18 *845:5 *909:8 0.000251669
19 *845:54 *909:8 0.000295086
20 *883:27 *909:8 0.000907584
21 *898:18 *7137:B1 0.000223764
*RES
1 *7136:X *909:8 46.4954
2 *909:8 *7137:B1 30.4355
*END
*D_NET *910 0.0110693
*CONN
*I *7141:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7138:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7141:A2 7.48156e-05
2 *7138:X 0.00398006
3 *910:11 0.00405488
4 *7141:A2 *7141:A3 3.97274e-05
5 *910:11 *7138:A1 1.59359e-05
6 *6984:A1 *910:11 0.000151118
7 *6984:A2 *910:11 1.19589e-05
8 *6984:B1 *910:11 5.94977e-06
9 *6984:C1 *910:11 6.50727e-05
10 *6985:B *910:11 0.000375013
11 *6987:B *910:11 4.98489e-05
12 *6989:B *910:11 3.04443e-05
13 *6993:A2 *910:11 1.00004e-05
14 *7136:B1 *7141:A2 2.15208e-05
15 *7138:A2 *910:11 4.24378e-05
16 *7138:B1 *910:11 0.000251669
17 *7141:B1 *7141:A2 1.87825e-05
18 *408:12 *910:11 0
19 *428:24 *910:11 0
20 *584:11 *910:11 0.000121985
21 *589:26 *910:11 0.000149641
22 *819:34 *910:11 0.00014041
23 *820:36 *910:11 0
24 *820:50 *910:11 0.00123915
25 *901:25 *910:11 0.000218849
*RES
1 *7138:X *910:11 48.5182
2 *910:11 *7141:A2 19.1576
*END
*D_NET *911 0.00521758
*CONN
*I *7161:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7165:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7152:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7140:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7144:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7139:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7161:A3 0
2 *7165:A3 0.000127271
3 *7152:A3 3.94038e-05
4 *7140:A3 0.000129736
5 *7144:A3 1.67835e-05
6 *7139:X 0.000233583
7 *911:42 0.000817871
8 *911:26 0.000974878
9 *911:17 0.000521181
10 *911:8 0.000396938
11 *7144:A3 *7130:A1 0.00011818
12 *7165:A3 *7165:A1 1.64789e-05
13 *911:42 *923:27 2.29454e-05
14 *7136:B1 *911:26 6.27098e-05
15 *7140:A2 *911:8 0
16 *7140:A2 *911:17 0
17 *7141:B1 *911:26 5.0187e-05
18 *7144:A2 *7144:A3 5.07314e-05
19 *7144:B1 *911:8 8.00198e-06
20 *7144:B1 *911:17 2.83743e-05
21 *7144:B1 *911:26 8.00198e-06
22 *7152:A2 *911:42 6.08163e-05
23 *7152:B1 *911:26 2.11357e-05
24 *7153:A1 *911:26 0
25 *7153:A1 *911:42 1.91391e-05
26 *7161:A2 *7165:A3 1.60561e-05
27 *7161:A2 *911:42 5.0383e-05
28 *7162:B1 *911:42 0.000330911
29 *7165:A2 *7165:A3 0.000130414
30 *7165:B1 *7165:A3 3.39313e-06
31 *7167:A2 *911:42 9.64386e-06
32 *7171:B1 *911:42 0.000111802
33 *7172:A3 *7165:A3 6.74827e-06
34 *7185:B1 *911:42 1.54299e-05
35 *406:59 *7165:A3 2.02035e-05
36 *406:59 *911:42 0
37 *408:12 *911:42 4.62365e-05
38 *414:44 *7165:A3 9.91282e-05
39 *414:47 *7165:A3 2.41598e-05
40 *414:47 *911:42 7.26959e-06
41 *587:14 *911:8 0
42 *587:14 *911:17 0
43 *587:14 *911:26 0
44 *594:14 *911:8 0.000117566
45 *906:24 *911:8 0.00012171
46 *908:37 *911:26 0.000219442
47 *908:37 *911:42 0.000162732
*RES
1 *7139:X *911:8 20.5992
2 *911:8 *7144:A3 15.0271
3 *911:8 *911:17 3.07775
4 *911:17 *7140:A3 15.6056
5 *911:17 *911:26 6.81502
6 *911:26 *7152:A3 14.4819
7 *911:26 *911:42 22.4729
8 *911:42 *7165:A3 22.5815
9 *911:42 *7161:A3 9.24915
*END
*D_NET *912 0.000389635
*CONN
*I *7141:A3 I *D sky130_fd_sc_hd__a31o_1
*I *7140:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7141:A3 0.000111297
2 *7140:X 0.000111297
3 *7141:A2 *7141:A3 3.97274e-05
4 *435:21 *7141:A3 6.3657e-05
5 *587:14 *7141:A3 0
6 *899:58 *7141:A3 6.3657e-05
*RES
1 *7140:X *7141:A3 30.4689
*END
*D_NET *913 0.00506869
*CONN
*I *7142:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7141:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *7142:B1 0
2 *7141:X 0.0015181
3 *913:10 0.0015181
4 *913:10 *1059:16 0
5 *7135:A *913:10 0.00116098
6 *7160:A *913:10 0.000317253
7 *7171:A3 *913:10 0
8 *7176:B1 *913:10 0
9 *7180:A2 *913:10 0
10 *7180:A3 *913:10 0
11 *410:11 *913:10 0
12 *410:20 *913:10 0
13 *425:26 *913:10 0.000331044
14 *430:10 *913:10 0.000175033
15 *845:23 *913:10 4.81714e-05
*RES
1 *7141:X *913:10 48.343
2 *913:10 *7142:B1 13.7491
*END
*D_NET *914 0.0110296
*CONN
*I *7145:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7143:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *7145:A2 0.000248678
2 *7143:X 0.000885137
3 *914:24 0.00268994
4 *914:19 0.0033264
5 *7145:A2 *7140:A1 0.000352184
6 *914:19 *943:7 7.60278e-05
7 *914:19 *943:17 0.000208218
8 *914:19 *943:27 0.000730615
9 *914:19 *1122:26 7.14746e-05
10 *914:24 *7130:A1 7.77309e-06
11 *914:24 *921:27 3.14544e-05
12 *914:24 *943:7 2.15184e-05
13 *914:24 *1113:12 0
14 *6989:C *914:19 0.000319954
15 *7294:D *7145:A2 2.65667e-05
16 *7363:D *914:24 2.54559e-05
17 *432:17 *914:24 0.000127051
18 *432:21 *914:24 0.00114704
19 *589:45 *914:19 0
20 *594:26 *914:24 0.000293417
21 *594:44 *914:19 7.50872e-05
22 *776:13 *914:19 6.9473e-05
23 *880:24 *914:19 0.000228812
24 *880:36 *914:19 6.73351e-05
*RES
1 *7143:X *914:19 49.8849
2 *914:19 *914:24 47.6752
3 *914:24 *7145:A2 22.237
*END
*D_NET *915 0.00504752
*CONN
*I *6686:A I *D sky130_fd_sc_hd__and3_1
*I *7054:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7280:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6686:A 0.000106348
2 *7054:A1 0.000905592
3 *7280:Q 0.000247524
4 *915:5 0.00125946
5 *7054:A1 *7280:CLK 5.07314e-05
6 *7054:A1 *1098:72 0.000570342
7 *7054:A1 *1131:17 3.18377e-05
8 *915:5 *1131:17 1.47792e-05
9 *6688:B *6686:A 8.8567e-05
10 *7054:A2 *7054:A1 1.67329e-05
11 *7054:B2 *7054:A1 0.000140422
12 *7280:D *7054:A1 0.000218302
13 *562:28 *6686:A 0.000294256
14 *564:20 *6686:A 4.09612e-05
15 *570:8 *7054:A1 3.77804e-05
16 *578:8 *7054:A1 9.24241e-05
17 *813:10 *7054:A1 0.000931452
*RES
1 *7280:Q *915:5 12.7456
2 *915:5 *7054:A1 40.9391
3 *915:5 *6686:A 23.4032
*END
*D_NET *916 0.00713631
*CONN
*I *6717:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7117:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7290:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6717:A1 9.64161e-05
2 *7117:A1 0.00164668
3 *7290:Q 0.000134005
4 *916:5 0.0018771
5 *6717:A1 *1099:47 0.000238522
6 *7117:A1 *6718:B 8.09995e-05
7 *7117:A1 *7292:CLK 0.000107496
8 *7117:A1 *1096:751 0.00120989
9 *6718:A *7117:A1 0.000746647
10 *6727:A1_N *6717:A1 0.000263069
11 *7117:B1 *7117:A1 3.07133e-05
12 *586:10 *7117:A1 2.17597e-05
13 *888:8 *6717:A1 0
14 *892:20 *7117:A1 0.000479262
15 *892:20 *916:5 0.000203739
*RES
1 *7290:Q *916:5 11.6364
2 *916:5 *7117:A1 43.8516
3 *916:5 *6717:A1 22.9879
*END
*D_NET *917 0.00364029
*CONN
*I *7121:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6719:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7291:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7121:A1 0.000369806
2 *6719:A1 0
3 *7291:Q 0.000504315
4 *917:10 0.000874121
5 *7121:A1 *1101:27 9.34869e-05
6 *917:10 *1096:751 9.79568e-05
7 *7121:B1 *7121:A1 2.99287e-05
8 *7291:D *7121:A1 2.16355e-05
9 *559:37 *7121:A1 0.00108203
10 *576:12 *917:10 0.000137936
11 *586:29 *7121:A1 5.66627e-05
12 *597:10 *917:10 3.0902e-05
13 *888:20 *7121:A1 0.000341513
*RES
1 *7291:Q *917:10 27.1811
2 *917:10 *6719:A1 9.24915
3 *917:10 *7121:A1 25.5256
*END
*D_NET *918 0.00486968
*CONN
*I *7130:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6721:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7292:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7130:A1 0.000416046
2 *6721:A1 5.29707e-05
3 *7292:Q 0.000285494
4 *918:7 0.00075451
5 *6721:A1 *921:27 1.18254e-05
6 *6721:A1 *1099:34 3.20069e-06
7 *7130:A1 *921:27 0.000985156
8 *918:7 *1105:41 2.06185e-05
9 *7130:B1 *7130:A1 4.08887e-05
10 *7144:A2 *7130:A1 0.000346368
11 *7144:A3 *7130:A1 0.00011818
12 *7145:A3 *7130:A1 0.000423936
13 *432:21 *7130:A1 7.39264e-05
14 *435:9 *7130:A1 0.000895417
15 *594:26 *7130:A1 7.65861e-05
16 *597:10 *6721:A1 6.87503e-05
17 *597:10 *7130:A1 0.000288033
18 *914:24 *7130:A1 7.77309e-06
*RES
1 *7292:Q *918:7 17.8002
2 *918:7 *6721:A1 15.1659
3 *918:7 *7130:A1 38.5313
*END
*D_NET *919 0.0049477
*CONN
*I *7134:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6722:A I *D sky130_fd_sc_hd__and3_1
*I *7293:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7134:A1 0.000835109
2 *6722:A 0.000136902
3 *7293:Q 0
4 *919:5 0.000972011
5 *6722:A *1101:27 0.000111708
6 *7134:A1 *6744:A1 0.000190042
7 *7134:A1 *7188:A1 0.000163997
8 *6723:B1 *6722:A 3.82228e-05
9 *7134:A3 *7134:A1 1.65872e-05
10 *7136:A1 *7134:A1 0.00029145
11 *7136:A3 *7134:A1 3.21548e-05
12 *7136:B1 *7134:A1 0.000383703
13 *827:14 *6722:A 4.85326e-05
14 *827:14 *7134:A1 0.000112541
15 *845:5 *7134:A1 0.000200794
16 *845:54 *7134:A1 0.000307037
17 *855:17 *6722:A 0.000182639
18 *855:17 *7134:A1 0.000786815
19 *883:27 *7134:A1 2.67e-05
20 *883:32 *7134:A1 6.50727e-05
21 *909:8 *7134:A1 4.56831e-05
*RES
1 *7293:Q *919:5 13.7491
2 *919:5 *6722:A 18.5201
3 *919:5 *7134:A1 44.3446
*END
*D_NET *920 0.00365575
*CONN
*I *7140:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6725:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7294:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7140:A1 0.000354482
2 *6725:A1 3.17594e-05
3 *7294:Q 0.000368152
4 *920:8 0.000754394
5 *7140:A2 *7140:A1 3.3204e-05
6 *7145:A2 *7140:A1 0.000352184
7 *584:11 *7140:A1 0
8 *584:14 *6725:A1 5.77352e-05
9 *589:26 *7140:A1 3.82228e-05
10 *597:10 *6725:A1 7.65861e-05
11 *597:10 *920:8 0.000106068
12 *819:25 *7140:A1 0.00139228
13 *903:29 *7140:A1 2.57847e-05
14 *903:37 *7140:A1 6.49003e-05
*RES
1 *7294:Q *920:8 20.0474
2 *920:8 *6725:A1 15.1659
3 *920:8 *7140:A1 31.7376
*END
*D_NET *921 0.00702878
*CONN
*I *6727:B2 I *D sky130_fd_sc_hd__a2bb2o_1
*I *7144:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7295:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6727:B2 0
2 *7144:A1 0
3 *7295:Q 0.000350039
4 *921:27 0.000638199
5 *921:10 0.000988238
6 *921:10 *1105:41 1.69967e-05
7 *921:27 *1099:34 6.88784e-05
8 *921:27 *1113:12 9.1005e-05
9 *6717:A2 *921:10 4.06587e-05
10 *6721:A1 *921:27 1.18254e-05
11 *6721:A2 *921:27 0.000113968
12 *6727:A1_N *921:27 0.000118166
13 *6727:A2_N *921:27 2.7457e-05
14 *6727:B1 *921:10 9.12416e-06
15 *7130:A1 *921:27 0.000985156
16 *7292:D *921:27 5.40265e-05
17 *432:21 *921:27 0.00079792
18 *547:18 *921:10 0.000124811
19 *574:47 *921:27 0.00010238
20 *587:15 *921:27 6.08467e-05
21 *587:36 *921:27 5.08751e-05
22 *599:13 *921:27 0.000282983
23 *880:5 *921:27 0.00147318
24 *902:19 *921:27 7.02172e-06
25 *902:24 *921:27 0.00058357
26 *914:24 *921:27 3.14544e-05
*RES
1 *7295:Q *921:10 24.4081
2 *921:10 *921:27 47.6373
3 *921:27 *7144:A1 9.24915
4 *921:10 *6727:B2 9.24915
*END
*D_NET *922 0.00622816
*CONN
*I *6729:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7152:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7296:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6729:A1 6.83134e-05
2 *7152:A1 0.00171859
3 *7296:Q 0.000269025
4 *922:5 0.00205593
5 *6729:A1 *1107:28 0
6 *7152:A1 *1096:778 0.000516157
7 *7152:B1 *7152:A1 6.08467e-05
8 *7296:D *6729:A1 0
9 *7296:D *7152:A1 1.87611e-05
10 *402:18 *7152:A1 6.08467e-05
11 *589:14 *7152:A1 0.00114807
12 *595:17 *7152:A1 2.14995e-05
13 *597:31 *7152:A1 0.000140778
14 *597:31 *922:5 0.000149356
*RES
1 *7296:Q *922:5 13.8548
2 *922:5 *7152:A1 40.524
3 *922:5 *6729:A1 19.6659
*END
*D_NET *923 0.00856747
*CONN
*I *6732:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7161:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7297:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6732:A1 0
2 *7161:A1 0
3 *7297:Q 0.000282807
4 *923:27 0.00167569
5 *923:20 0.00230345
6 *923:9 0.000910567
7 *923:9 *1106:15 1.79807e-05
8 *923:20 *7297:CLK 0.000364723
9 *923:20 *1107:28 0
10 *923:27 *7179:A1 0.000185474
11 *6732:B1 *923:9 0.00015511
12 *6732:B1 *923:20 0.000133333
13 *7000:A *923:9 0.000224395
14 *7167:A2 *923:27 0.000365048
15 *7171:A3 *923:27 1.92172e-05
16 *7171:B1 *923:27 0.000367233
17 *401:8 *923:27 0.00011191
18 *408:12 *923:27 3.84518e-05
19 *417:26 *923:27 1.5714e-05
20 *594:31 *923:27 0.00137341
21 *911:42 *923:27 2.29454e-05
*RES
1 *7297:Q *923:9 23.7169
2 *923:9 *923:20 24.6351
3 *923:20 *923:27 42.088
4 *923:27 *7161:A1 9.24915
5 *923:9 *6732:A1 9.24915
*END
*D_NET *924 0.00409418
*CONN
*I *7165:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6734:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7298:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7165:A1 0.000569958
2 *6734:A1 0.000295164
3 *7298:Q 0.000653404
4 *924:8 0.00151853
5 *6734:A1 *7171:A1 7.3869e-05
6 *6734:A1 *1096:797 0.000193616
7 *6734:A1 *1113:8 5.53411e-05
8 *6734:A1 *1113:12 5.80329e-05
9 *7165:A1 *7299:CLK 6.08467e-05
10 *924:8 *7298:CLK 0.000158288
11 *924:8 *1096:797 2.09495e-05
12 *924:8 *1113:8 7.23866e-05
13 *6734:B1 *6734:A1 6.50586e-05
14 *6740:A2 *6734:A1 1.41976e-05
15 *7165:A3 *7165:A1 1.64789e-05
16 *7165:B1 *7165:A1 2.57847e-05
17 *7172:A3 *7165:A1 5.74376e-05
18 *7298:D *924:8 7.02539e-05
19 *414:44 *7165:A1 0.000114584
*RES
1 *7298:Q *924:8 25.3147
2 *924:8 *6734:A1 22.4265
3 *924:8 *7165:A1 27.2525
*END
*D_NET *925 0.00215318
*CONN
*I *7171:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6736:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7299:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7171:A1 0.00068256
2 *6736:A1 6.28996e-05
3 *7299:Q 0.000139153
4 *925:8 0.000884612
5 *6734:A1 *7171:A1 7.3869e-05
6 *6734:B1 *7171:A1 2.65667e-05
7 *6736:B1 *7171:A1 1.72489e-05
8 *6740:A2 *7171:A1 2.65831e-05
9 *7171:B1 *7171:A1 7.37379e-05
10 *7299:D *925:8 0
11 *592:33 *6736:A1 5.47232e-06
12 *592:33 *7171:A1 0.000160474
*RES
1 *7299:Q *925:8 16.3045
2 *925:8 *6736:A1 15.1659
3 *925:8 *7171:A1 30.5802
*END
*D_NET *926 0.00513011
*CONN
*I *6688:A I *D sky130_fd_sc_hd__and3_1
*I *7058:B2 I *D sky130_fd_sc_hd__a221o_1
*I *7281:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6688:A 0.000218058
2 *7058:B2 0.00163368
3 *7281:Q 0
4 *926:4 0.00185174
5 *7058:B2 *7058:A1 1.64865e-05
6 *7058:B2 *1176:27 0.000557409
7 *6688:C *6688:A 1.07248e-05
8 *7058:B1 *7058:B2 7.50872e-05
9 *7063:A *6688:A 0.000221185
10 *7281:D *7058:B2 3.6246e-05
11 *634:15 *7058:B2 1.11729e-05
12 *823:37 *7058:B2 0.000146645
13 *832:36 *6688:A 3.33639e-05
14 *832:36 *7058:B2 4.34841e-05
15 *841:8 *7058:B2 1.42919e-05
16 *842:47 *7058:B2 3.24516e-05
17 *848:5 *7058:B2 3.99086e-06
18 *848:35 *7058:B2 2.81717e-05
19 *855:24 *6688:A 0.000195929
*RES
1 *7281:Q *926:4 9.24915
2 *926:4 *7058:B2 45.8688
3 *926:4 *6688:A 24.5446
*END
*D_NET *927 0.00602587
*CONN
*I *7175:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6737:A I *D sky130_fd_sc_hd__and3_1
*I *7300:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7175:A1 0
2 *6737:A 7.21773e-05
3 *7300:Q 0.000111179
4 *927:19 0.00108249
5 *927:7 0.00126585
6 *927:19 *1060:16 0
7 *927:19 *1099:30 0.000122083
8 *6722:B *6737:A 8.62625e-06
9 *6722:B *927:19 0.000355346
10 *6722:C *6737:A 7.59e-05
11 *6737:B *6737:A 1.19856e-05
12 *7042:A *927:19 0.000288885
13 *7042:B *927:19 7.65861e-05
14 *7188:A3 *927:19 0.000144727
15 *402:12 *927:19 0.000127194
16 *422:8 *927:19 5.22654e-06
17 *522:9 *6737:A 0.000115934
18 *564:44 *6737:A 1.03403e-05
19 *564:44 *927:7 0.000158357
20 *820:8 *6737:A 0.000106215
21 *820:8 *927:19 0.00130063
22 *845:11 *927:19 0.000339508
23 *855:39 *927:19 1.11594e-05
24 *905:8 *927:19 0.000235478
*RES
1 *7300:Q *927:7 15.5817
2 *927:7 *6737:A 16.8591
3 *927:7 *927:19 43.2894
4 *927:19 *7175:A1 9.24915
*END
*D_NET *928 0.00547739
*CONN
*I *7179:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6740:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7301:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7179:A1 0.000649765
2 *6740:A1 2.84781e-05
3 *7301:Q 0.000241621
4 *928:7 0.000919864
5 *6740:A2 *6740:A1 7.50722e-05
6 *6740:A2 *7179:A1 0.000217572
7 *7162:A1 *7179:A1 1.80122e-05
8 *7162:A3 *7179:A1 2.41483e-05
9 *7162:B1 *7179:A1 0.000314044
10 *406:27 *7179:A1 1.19721e-05
11 *413:14 *928:7 1.92046e-05
12 *414:44 *7179:A1 0.0005768
13 *421:13 *7179:A1 0.00190384
14 *594:26 *6740:A1 7.86847e-05
15 *594:26 *7179:A1 0.00021043
16 *594:31 *7179:A1 2.41274e-06
17 *923:27 *7179:A1 0.000185474
*RES
1 *7301:Q *928:7 17.2456
2 *928:7 *6740:A1 15.1659
3 *928:7 *7179:A1 44.4713
*END
*D_NET *929 0.00891208
*CONN
*I *6742:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7184:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7302:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6742:A1 0
2 *7184:A1 0.00064966
3 *7302:Q 0.000289708
4 *929:16 0.00152517
5 *929:9 0.00116521
6 *929:9 *1107:28 0
7 *7153:A1 *7184:A1 2.83056e-05
8 *7153:A3 *7184:A1 1.47046e-05
9 *7153:B1 *7184:A1 6.08467e-05
10 *7184:A2 *7184:A1 3.75603e-05
11 *404:25 *7184:A1 0.00123453
12 *421:10 *929:9 7.14746e-05
13 *425:11 *7184:A1 0.000942094
14 *425:26 *7184:A1 0.000172939
15 *589:7 *7184:A1 0.00111146
16 *592:8 *929:9 1.03986e-05
17 *592:18 *929:9 5.33266e-05
18 *595:18 *929:16 0
19 *595:27 *929:9 0.000271044
20 *595:27 *929:16 0.00127365
*RES
1 *7302:Q *929:9 24.684
2 *929:9 *929:16 25.557
3 *929:16 *7184:A1 40.4999
4 *929:9 *6742:A1 9.24915
*END
*D_NET *930 0.00266947
*CONN
*I *7188:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6744:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7303:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7188:A1 0.000422688
2 *6744:A1 9.36123e-05
3 *7303:Q 0.000114467
4 *930:7 0.000630767
5 *7134:A1 *6744:A1 0.000190042
6 *7134:A1 *7188:A1 0.000163997
7 *7175:A2 *7188:A1 0
8 *7188:A3 *7188:A1 7.77695e-05
9 *405:21 *7188:A1 7.34948e-06
10 *594:14 *930:7 0.000154145
11 *595:13 *7188:A1 1.22496e-05
12 *819:10 *7188:A1 9.24241e-05
13 *827:14 *6744:A1 8.3647e-05
14 *827:14 *7188:A1 5.50603e-05
15 *827:21 *7188:A1 0.000162806
16 *855:17 *7188:A1 4.94731e-05
17 *855:39 *7188:A1 0.000358975
*RES
1 *7303:Q *930:7 15.5817
2 *930:7 *6744:A1 17.2421
3 *930:7 *7188:A1 27.5821
*END
*D_NET *931 0.00188433
*CONN
*I *7070:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6695:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7282:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7070:A1 0.000462773
2 *6695:A1 0
3 *7282:Q 0.000184816
4 *931:8 0.000647589
5 *7070:A1 *7074:B2 0.000105575
6 *7070:A1 *1063:62 0
7 *7070:A1 *1176:31 0
8 *931:8 *1063:62 0
9 *931:8 *1110:11 5.56461e-05
10 *931:8 *1176:31 0
11 *7070:A2 *7070:A1 0.000115934
12 *7070:B1 *7070:A1 0.000178049
13 *7074:A2 *7070:A1 3.49272e-05
14 *7077:A2 *7070:A1 3.43906e-05
15 *7282:D *931:8 0
16 *849:10 *7070:A1 4.80461e-05
17 *850:12 *7070:A1 1.65872e-05
*RES
1 *7282:Q *931:8 17.2744
2 *931:8 *6695:A1 13.7491
3 *931:8 *7070:A1 26.6886
*END
*D_NET *932 0.00857781
*CONN
*I *6701:B2 I *D sky130_fd_sc_hd__a2bb2o_1
*I *7075:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7283:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6701:B2 0
2 *7075:A1 0.000872555
3 *7283:Q 0.000271269
4 *932:15 0.00278305
5 *932:10 0.00218177
6 *7075:A1 *7085:A1 5.99691e-05
7 *7075:A1 *1117:26 0.000234508
8 *932:10 *7355:CLK 5.04829e-06
9 *932:10 *1096:224 0
10 *6699:B *932:15 0.000717327
11 *6701:B1 *932:10 1.07248e-05
12 *6706:B *932:15 0.000321919
13 *6707:A1_N *932:15 1.00937e-05
14 *6707:A2_N *932:15 7.48633e-05
15 *7081:A2 *7075:A1 0.00021975
16 *7086:A3 *7075:A1 0.000453457
17 *7090:A1 *7075:A1 0.000123471
18 *7090:B1 *7075:A1 1.00937e-05
19 *486:11 *7075:A1 4.81015e-05
20 *524:17 *932:10 3.42853e-05
21 *579:9 *932:15 7.46011e-05
22 *597:61 *932:10 7.0954e-05
*RES
1 *7283:Q *932:10 23.7141
2 *932:10 *932:15 30.7202
3 *932:15 *7075:A1 32.7354
4 *932:10 *6701:B2 9.24915
*END
*D_NET *933 0.0032712
*CONN
*I *7081:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6703:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7284:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7081:A1 0.000553833
2 *6703:A1 0.000255217
3 *7284:Q 0.000129765
4 *933:7 0.000938815
5 *6703:A1 *1140:55 9.37249e-05
6 *7081:A1 *1140:55 0.000153319
7 *6703:A2 *6703:A1 3.14978e-05
8 *7081:A2 *7081:A1 1.21461e-06
9 *7088:A2 *7081:A1 0.000212491
10 *7090:A2 *7081:A1 0.000378069
11 *577:25 *6703:A1 0.000434578
12 *578:24 *6703:A1 8.86714e-05
*RES
1 *7284:Q *933:7 15.5817
2 *933:7 *6703:A1 23.5088
3 *933:7 *7081:A1 30.166
*END
*D_NET *934 0.00583772
*CONN
*I *7085:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6705:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7285:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7085:A1 0.000629861
2 *6705:A1 5.38266e-05
3 *7285:Q 0.000173883
4 *934:8 0.00085757
5 *7085:A1 *7079:A1 0.000722935
6 *7085:A1 *1059:16 0.00150882
7 *7085:A1 *1117:26 0.000191962
8 *934:8 *7079:A1 0.00020588
9 *934:8 *982:15 0.000171288
10 *934:8 *1059:16 0.00029479
11 *934:8 *1096:148 3.33532e-05
12 *934:8 *1140:55 0
13 *6703:A2 *6705:A1 3.58044e-05
14 *6705:A2 *6705:A1 4.81015e-05
15 *7075:A1 *7085:A1 5.99691e-05
16 *7079:A2 *7085:A1 0.000378039
17 *7081:A2 *7085:A1 6.43529e-05
18 *7082:A2 *7085:A1 0
19 *7082:A3 *7085:A1 2.4815e-05
20 *7086:B1 *7085:A1 4.90485e-05
21 *577:13 *6705:A1 0.000264586
22 *578:24 *6705:A1 1.41291e-05
23 *870:7 *7085:A1 5.47093e-05
*RES
1 *7285:Q *934:8 21.151
2 *934:8 *6705:A1 16.691
3 *934:8 *7085:A1 43.714
*END
*D_NET *935 0.0023359
*CONN
*I *6707:B2 I *D sky130_fd_sc_hd__a2bb2o_1
*I *7089:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7286:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6707:B2 8.92299e-05
2 *7089:A1 0.000933038
3 *7286:Q 0
4 *935:4 0.00102227
5 *6707:B2 *1141:26 3.1218e-05
6 *7089:A1 *7286:CLK 1.43848e-05
7 *7286:D *7089:A1 7.82633e-05
8 *524:17 *7089:A1 0.00015715
9 *533:8 *6707:B2 0
10 *862:13 *7089:A1 1.03434e-05
*RES
1 *7286:Q *935:4 9.24915
2 *935:4 *7089:A1 24.2717
3 *935:4 *6707:B2 20.4964
*END
*D_NET *936 0.00240543
*CONN
*I *6710:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7098:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7287:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6710:A1 0
2 *7098:A1 0.000517713
3 *7287:Q 0.000249078
4 *936:9 0.000766791
5 *7098:A1 *1096:209 0
6 *7098:A1 *1096:1199 3.20923e-05
7 *936:9 *1141:26 2.22923e-05
8 *6710:A2 *936:9 1.1718e-05
9 *6710:B1 *936:9 1.55025e-05
10 *7098:A2 *7098:A1 0.000159668
11 *7287:D *7098:A1 7.09666e-06
12 *533:8 *936:9 0
13 *567:16 *7098:A1 0
14 *634:15 *936:9 0.000623476
*RES
1 *7287:Q *936:9 26.9052
2 *936:9 *7098:A1 29.2032
3 *936:9 *6710:A1 9.24915
*END
*D_NET *937 0.00516262
*CONN
*I *6712:B2 I *D sky130_fd_sc_hd__a2bb2o_1
*I *7107:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7288:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6712:B2 0
2 *7107:A1 0.000362003
3 *7288:Q 0.000151001
4 *937:9 0.000513004
5 *6681:A *7107:A1 0.000289907
6 *6681:A *937:9 5.51483e-06
7 *6712:A1_N *7107:A1 0.000171288
8 *6712:A2_N *7107:A1 0.000164829
9 *6712:A2_N *937:9 0.00051396
10 *522:19 *7107:A1 0.00199767
11 *522:19 *937:9 0.000689445
12 *572:33 *937:9 0.000160969
13 *597:50 *937:9 0.000143032
*RES
1 *7288:Q *937:9 28.2903
2 *937:9 *7107:A1 30.4929
3 *937:9 *6712:B2 9.24915
*END
*D_NET *938 0.00284125
*CONN
*I *7113:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6714:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7289:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7113:A1 0.000265095
2 *6714:A1 0.000138574
3 *7289:Q 2.78838e-05
4 *938:7 0.000431552
5 *6714:A1 *1125:65 0.000111722
6 *938:7 *1123:17 0.000111722
7 *7113:B1 *7113:A1 2.4562e-05
8 *527:29 *938:7 4.31703e-05
9 *872:18 *6714:A1 0.000169093
10 *872:18 *7113:A1 0.00052267
11 *872:25 *7113:A1 0.000172706
12 *876:17 *6714:A1 0.000165495
13 *876:17 *7113:A1 0.000331044
14 *876:24 *7113:A1 0.000325964
*RES
1 *7289:Q *938:7 15.0271
2 *938:7 *6714:A1 18.1049
3 *938:7 *7113:A1 26.3777
*END
*D_NET *939 0.00451275
*CONN
*I *6969:A I *D sky130_fd_sc_hd__and2_1
*I *6968:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7120:B2 I *D sky130_fd_sc_hd__a221o_1
*I *7359:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6969:A 8.11152e-05
2 *6968:B1 0
3 *7120:B2 0.000229344
4 *7359:Q 7.6665e-05
5 *939:12 0.000657393
6 *939:5 0.000585829
7 *6969:A *1089:16 0.000113374
8 *7120:A2 *7120:B2 3.69443e-05
9 *7120:B1 *7120:B2 0.000107805
10 *538:21 *6969:A 0.000107496
11 *541:19 *7120:B2 0.000729678
12 *557:54 *939:5 9.55447e-05
13 *557:54 *939:12 0.000398089
14 *572:16 *7120:B2 0.000725466
15 *587:38 *7120:B2 0
16 *589:45 *939:12 0.000246734
17 *594:48 *6969:A 0.000116986
18 *691:16 *6969:A 0.000107496
19 *762:8 *939:12 9.67886e-05
20 *881:10 *7120:B2 0
21 *895:16 *7120:B2 0
*RES
1 *7359:Q *939:5 10.5271
2 *939:5 *939:12 18.3444
3 *939:12 *7120:B2 29.646
4 *939:12 *6968:B1 9.24915
5 *939:5 *6969:A 21.7744
*END
*D_NET *940 0.0028782
*CONN
*I *7128:B2 I *D sky130_fd_sc_hd__a221o_1
*I *6977:B I *D sky130_fd_sc_hd__and4_1
*I *6972:A I *D sky130_fd_sc_hd__inv_2
*I *7360:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7128:B2 0.000218514
2 *6977:B 0.000120888
3 *6972:A 0
4 *7360:Q 0.000173645
5 *940:10 0.000149257
6 *940:7 0.000420529
7 *6977:B *1103:50 0.000650724
8 *7128:B2 *7128:A1 8.75997e-05
9 *6973:A *7128:B2 4.72872e-05
10 *6973:A *940:10 2.22198e-05
11 *6977:C *6977:B 0.000417557
12 *6977:C *940:7 0.000260388
13 *7128:B1 *7128:B2 3.27324e-05
14 *7128:C1 *7128:B2 5.22654e-06
15 *560:29 *7128:B2 9.75356e-05
16 *560:29 *940:10 5.05252e-05
17 *589:45 *7128:B2 0
18 *775:8 *6977:B 0.000111708
19 *906:7 *7128:B2 1.18677e-05
*RES
1 *7360:Q *940:7 16.691
2 *940:7 *940:10 5.50149
3 *940:10 *6972:A 9.24915
4 *940:10 *6977:B 16.6278
5 *940:7 *7128:B2 20.1195
*END
*D_NET *941 0.0052397
*CONN
*I *6979:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *7133:B2 I *D sky130_fd_sc_hd__a221o_1
*I *6977:A I *D sky130_fd_sc_hd__and4_1
*I *7361:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6979:A1 0
2 *7133:B2 0.000207426
3 *6977:A 0
4 *7361:Q 0.000932212
5 *941:14 0.000472603
6 *941:10 0.00119739
7 *7133:B2 *1107:48 1.57079e-05
8 *941:10 *7361:CLK 4.65396e-05
9 *941:10 *1103:58 3.17533e-05
10 *941:14 *1099:75 0.000746254
11 *941:14 *1107:48 6.9507e-05
12 *7133:B1 *7133:B2 1.47046e-05
13 *533:47 *941:10 0.000134323
14 *559:11 *941:10 9.05137e-05
15 *559:27 *941:10 1.41976e-05
16 *560:32 *941:14 0.000301557
17 *740:31 *941:10 0.000137936
18 *775:8 *7133:B2 0.000343284
19 *831:36 *7133:B2 0.000403054
20 *831:36 *941:14 6.60341e-05
21 *900:25 *7133:B2 1.47046e-05
*RES
1 *7361:Q *941:10 33.2817
2 *941:10 *941:14 16.0656
3 *941:14 *6977:A 13.7491
4 *941:14 *7133:B2 22.4733
5 *941:10 *6979:A1 9.24915
*END
*D_NET *942 0.00171756
*CONN
*I *6991:C I *D sky130_fd_sc_hd__and4_1
*I *6982:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7362:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6991:C 9.44799e-05
2 *6982:A 0
3 *7362:Q 0.000132113
4 *942:9 0.000226593
5 *6991:C *7149:B2 0.000470571
6 *6991:C *1107:47 1.19721e-05
7 *942:9 *7149:B2 0.000105636
8 *6991:D *6991:C 0.000373061
9 *7149:C1 *6991:C 5.51483e-06
10 *7149:C1 *942:9 5.73392e-05
11 *503:8 *942:9 0.000122098
12 *740:31 *942:9 0
13 *779:7 *942:9 0.00011818
*RES
1 *7362:Q *942:9 23.4382
2 *942:9 *6982:A 9.24915
3 *942:9 *6991:C 14.9881
*END
*D_NET *943 0.00416782
*CONN
*I *6991:B I *D sky130_fd_sc_hd__and4_1
*I *7143:B2 I *D sky130_fd_sc_hd__a221o_1
*I *6988:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6987:A I *D sky130_fd_sc_hd__and3_1
*I *7363:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6991:B 0.000304005
2 *7143:B2 0
3 *6988:B1 1.76235e-05
4 *6987:A 0.000102712
5 *7363:Q 4.54841e-05
6 *943:27 0.000468057
7 *943:17 0.000210852
8 *943:7 0.000177372
9 *6991:B *7149:B2 2.65831e-05
10 *6991:B *1107:47 2.65831e-05
11 *6988:A2 *943:27 0.000115934
12 *6989:B *6987:A 0
13 *7128:C1 *6991:B 3.5534e-06
14 *7143:B1 *6991:B 2.77564e-05
15 *432:17 *943:7 4.31539e-05
16 *432:17 *943:17 9.40969e-05
17 *432:17 *943:27 0.000178114
18 *435:9 *6991:B 1.18677e-05
19 *435:9 *943:27 2.63704e-05
20 *589:45 *6991:B 0
21 *594:44 *6991:B 7.90853e-05
22 *776:13 *6991:B 9.44933e-06
23 *776:13 *943:27 0.000930017
24 *820:36 *6987:A 0.000169093
25 *901:25 *6991:B 6.36771e-05
26 *914:19 *943:7 7.60278e-05
27 *914:19 *943:17 0.000208218
28 *914:19 *943:27 0.000730615
29 *914:24 *943:7 2.15184e-05
*RES
1 *7363:Q *943:7 11.1059
2 *943:7 *6987:A 21.3269
3 *943:7 *943:17 2.38721
4 *943:17 *6988:B1 9.82786
5 *943:17 *943:27 13.2864
6 *943:27 *7143:B2 9.24915
7 *943:27 *6991:B 26.1734
*END
*D_NET *944 0.00495399
*CONN
*I *7149:B2 I *D sky130_fd_sc_hd__a221o_1
*I *6991:A I *D sky130_fd_sc_hd__and4_1
*I *6993:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *7364:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7149:B2 0.000974563
2 *6991:A 0
3 *6993:A1 0
4 *7364:Q 0.000200029
5 *944:21 0.00129965
6 *944:10 0.00052512
7 *7149:B2 *1107:47 1.19207e-05
8 *944:21 *1107:47 0.000275256
9 *6984:C1 *944:21 6.75138e-05
10 *6985:B *944:21 0
11 *6986:A *944:21 5.89861e-05
12 *6991:B *7149:B2 2.65831e-05
13 *6991:C *7149:B2 0.000470571
14 *6993:A2 *944:21 6.50727e-05
15 *6993:B1 *944:10 1.09738e-05
16 *6993:B1 *944:21 6.50727e-05
17 *6994:B *944:21 0.000169041
18 *6999:C1 *944:10 0
19 *7149:B1 *7149:B2 4.80635e-06
20 *7149:C1 *7149:B2 0.000202626
21 *7362:D *944:21 5.04829e-06
22 *425:7 *944:10 0.000118166
23 *428:24 *944:21 0.000202424
24 *589:32 *7149:B2 0
25 *589:45 *944:21 8.78262e-05
26 *594:44 *944:21 0
27 *820:44 *944:21 7.09666e-06
28 *942:9 *7149:B2 0.000105636
*RES
1 *7364:Q *944:10 22.1896
2 *944:10 *6993:A1 9.24915
3 *944:10 *944:21 21.7042
4 *944:21 *6991:A 9.24915
5 *944:21 *7149:B2 26.9543
*END
*D_NET *945 0.0037017
*CONN
*I *7006:C I *D sky130_fd_sc_hd__and4_1
*I *6997:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7365:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7006:C 0.000579474
2 *6997:A 4.68772e-05
3 *7365:Q 0.000235514
4 *945:8 0.000861865
5 *7006:C *7164:B2 3.14978e-05
6 *7006:C *946:12 7.83298e-05
7 *945:8 *1096:863 5.67722e-05
8 *7005:A1 *7006:C 5.74071e-05
9 *7005:A2 *7006:C 5.41227e-05
10 *7006:D *7006:C 0.000504332
11 *417:26 *7006:C 0.0010441
12 *594:39 *6997:A 0
13 *787:9 *7006:C 3.82228e-05
14 *787:17 *7006:C 9.90116e-05
15 *791:44 *7006:C 1.41761e-05
*RES
1 *7365:Q *945:8 17.9683
2 *945:8 *6997:A 14.7506
3 *945:8 *7006:C 43.2178
*END
*D_NET *946 0.00484054
*CONN
*I *7002:A I *D sky130_fd_sc_hd__and3_1
*I *7006:B I *D sky130_fd_sc_hd__and4_1
*I *7164:B2 I *D sky130_fd_sc_hd__a221o_1
*I *7003:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *7366:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7002:A 0
2 *7006:B 0
3 *7164:B2 0.000355551
4 *7003:B1 0.000486007
5 *7366:Q 0.000211028
6 *946:30 0.000640341
7 *946:12 0.00099169
8 *946:8 0.000431922
9 *7003:B1 *7362:CLK 1.66771e-05
10 *7164:B2 *7164:A1 3.31745e-05
11 *946:8 *7367:CLK 9.14834e-05
12 *7002:C *946:8 5.22654e-06
13 *7002:C *946:12 0.000166991
14 *7005:A1 *7164:B2 0.000147308
15 *7005:A2 *946:12 2.18741e-05
16 *7006:C *7164:B2 3.14978e-05
17 *7006:C *946:12 7.83298e-05
18 *7006:D *7164:B2 1.41291e-05
19 *7008:B1 *7164:B2 1.41291e-05
20 *7159:B2 *946:30 1.91246e-05
21 *7164:C1 *7164:B2 0.000208806
22 *7366:D *946:8 0
23 *7366:D *946:12 0
24 *503:8 *946:8 2.32176e-05
25 *598:16 *7164:B2 0.000217021
26 *740:52 *7164:B2 0.000248046
27 *787:33 *7003:B1 0.000111708
28 *798:5 *7164:B2 0.000275256
*RES
1 *7366:Q *946:8 17.9683
2 *946:8 *946:12 10.3914
3 *946:12 *7003:B1 19.7423
4 *946:12 *946:30 8.83271
5 *946:30 *7164:B2 24.4465
6 *946:30 *7006:B 9.24915
7 *946:8 *7002:A 13.7491
*END
*D_NET *947 0.00411717
*CONN
*I *7008:A1 I *D sky130_fd_sc_hd__o211a_1
*I *7006:A I *D sky130_fd_sc_hd__and4_1
*I *7169:B2 I *D sky130_fd_sc_hd__a221o_1
*I *7367:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7008:A1 0
2 *7006:A 0.000163381
3 *7169:B2 0.000278806
4 *7367:Q 0.000232106
5 *947:22 0.00075007
6 *947:8 0.0010976
7 *7008:A2 *7006:A 0.00035734
8 *7008:A2 *947:22 3.51034e-05
9 *7009:B *7006:A 0.000122378
10 *7010:A *947:22 6.3657e-05
11 *7020:B1 *7169:B2 5.22859e-06
12 *7159:B2 *7006:A 0.000152372
13 *7164:A2 *7169:B2 0.000217587
14 *7169:A2 *7169:B2 7.61196e-06
15 *417:11 *7169:B2 0
16 *417:11 *947:22 0.000144528
17 *503:8 *7006:A 4.60777e-05
18 *740:52 *947:22 0.000443326
*RES
1 *7367:Q *947:8 17.829
2 *947:8 *7169:B2 21.1542
3 *947:8 *947:22 23.5494
4 *947:22 *7006:A 24.8233
5 *947:22 *7008:A1 9.24915
*END
*D_NET *948 0.00209403
*CONN
*I *7021:C I *D sky130_fd_sc_hd__and4_1
*I *7011:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7368:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7021:C 0.000321049
2 *7011:A 2.59387e-05
3 *7368:Q 0.000231425
4 *948:8 0.000578412
5 *7011:A *7187:B2 6.50586e-05
6 *7021:C *7187:B2 0.000416183
7 *7021:C *951:11 9.82896e-06
8 *7014:A2 *948:8 0
9 *7021:D *7021:C 0.00011818
10 *7022:B *7021:C 4.81015e-05
11 *7023:A1 *7021:C 0.000122098
12 *538:14 *948:8 0.000157753
*RES
1 *7368:Q *948:8 24.6489
2 *948:8 *7011:A 9.97254
3 *948:8 *7021:C 28.0438
*END
*D_NET *949 0.0046596
*CONN
*I *7016:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7017:A I *D sky130_fd_sc_hd__nand3_1
*I *7178:B2 I *D sky130_fd_sc_hd__a221o_2
*I *7021:B I *D sky130_fd_sc_hd__and4_1
*I *7369:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7016:B1 0
2 *7017:A 0
3 *7178:B2 0.000375726
4 *7021:B 0.000134813
5 *7369:Q 0.000388354
6 *949:27 0.000552442
7 *949:20 0.000485194
8 *949:8 0.000831645
9 *7021:B *1096:956 0
10 *7178:B2 *7178:A1 0.000224368
11 *949:8 *1096:956 0
12 *949:27 *950:12 0
13 *7016:A1 *949:20 4.81718e-05
14 *7016:A1 *949:27 0.000381471
15 *7016:A2 *949:20 1.19856e-05
16 *7018:A2 *949:20 6.92705e-05
17 *7018:A2 *949:27 4.82966e-05
18 *7023:A1 *7021:B 0.000113953
19 *7023:A1 *949:8 0.000121393
20 *7174:B2 *949:27 3.31733e-05
21 *7178:A2 *7178:B2 1.67329e-05
22 *402:42 *7178:B2 8.58398e-05
23 *428:11 *7178:B2 1.15389e-05
24 *597:33 *7178:B2 0.000543261
25 *802:19 *949:27 0.000156823
26 *807:7 *7178:B2 2.51527e-05
*RES
1 *7369:Q *949:8 21.845
2 *949:8 *7021:B 18.0727
3 *949:8 *949:20 11.9028
4 *949:20 *949:27 16.1288
5 *949:27 *7178:B2 24.9951
6 *949:27 *7017:A 9.24915
7 *949:20 *7016:B1 9.24915
*END
*D_NET *950 0.00530967
*CONN
*I *7019:A I *D sky130_fd_sc_hd__xnor2_1
*I *7021:A I *D sky130_fd_sc_hd__and4_1
*I *7183:B2 I *D sky130_fd_sc_hd__a221o_2
*I *7370:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7019:A 3.7936e-05
2 *7021:A 0.000291221
3 *7183:B2 0.000564506
4 *7370:Q 0.000345846
5 *950:12 0.00145103
6 *950:8 0.000979086
7 *7183:B2 *7183:A1 1.89852e-05
8 *950:8 *7370:CLK 0.000118245
9 *950:12 *7370:CLK 0
10 *7018:A1 *950:12 0
11 *7018:A2 *950:12 0
12 *7019:B *950:12 0.000111287
13 *7020:A2 *7019:A 2.41274e-06
14 *7020:A2 *950:12 0.000156823
15 *7021:D *7021:A 0.000121149
16 *7021:D *7183:B2 6.36716e-05
17 *7174:B2 *950:12 0
18 *7183:A2 *7183:B2 2.48809e-05
19 *7183:B1 *7183:B2 4.06348e-05
20 *7187:A2 *7183:B2 0.000589147
21 *7369:D *950:12 0.000156823
22 *7370:D *950:8 0
23 *7370:D *950:12 0
24 *411:10 *7183:B2 3.66775e-05
25 *538:14 *950:12 0
26 *802:8 *950:12 0
27 *802:19 *950:12 0
28 *803:8 *950:12 0
29 *820:50 *7183:B2 0.000199304
30 *949:27 *950:12 0
*RES
1 *7370:Q *950:8 20.0474
2 *950:8 *950:12 20.8658
3 *950:12 *7183:B2 25.9355
4 *950:12 *7021:A 16.6278
5 *950:8 *7019:A 14.4725
*END
*D_NET *951 0.00472015
*CONN
*I *7022:A I *D sky130_fd_sc_hd__xor2_1
*I *7187:B2 I *D sky130_fd_sc_hd__a221o_2
*I *7371:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7022:A 0
2 *7187:B2 0.000449646
3 *7371:Q 0.00077058
4 *951:11 0.00122023
5 *7187:B2 *1044:18 4.70005e-05
6 *7011:A *7187:B2 6.50586e-05
7 *7013:B *7187:B2 3.0332e-05
8 *7021:C *7187:B2 0.000416183
9 *7021:C *951:11 9.82896e-06
10 *7022:B *951:11 0.000375027
11 *7023:A2 *951:11 0.000167076
12 *7187:A2 *7187:B2 3.27324e-05
13 *7187:B1 *7187:B2 3.27324e-05
14 *7187:C1 *7187:B2 6.17339e-05
15 *7371:D *951:11 4.72569e-05
16 *435:9 *7187:B2 0.000271058
17 *802:5 *7187:B2 0.000303968
18 *802:41 *7187:B2 0.00041971
*RES
1 *7371:Q *951:11 24.4646
2 *951:11 *7187:B2 36.0788
3 *951:11 *7022:A 9.24915
*END
*D_NET *952 0.00656307
*CONN
*I *6642:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6615:D I *D sky130_fd_sc_hd__and4_1
*I *6647:A2 I *D sky130_fd_sc_hd__a31o_1
*I *6643:B I *D sky130_fd_sc_hd__and3_1
*I *6641:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *7270:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6642:A1 0.000146237
2 *6615:D 0.00101347
3 *6647:A2 0.00020092
4 *6643:B 0
5 *6641:A1 5.98583e-05
6 *7270:Q 4.34621e-05
7 *952:21 0.00152164
8 *952:10 0.000642302
9 *952:5 0.000464895
10 *6615:D *6615:B 2.41483e-05
11 *6615:D *6615:C 0.000436825
12 *6615:D *6616:B 0.000271778
13 *6647:A2 *6647:B1 0.000334808
14 *952:5 *1096:1002 4.66492e-05
15 *952:10 *1096:1002 0.000317644
16 *6617:C *6615:D 0
17 *6619:A2 *952:21 0
18 *6642:B1 *952:10 0.000118485
19 *6642:B1 *952:21 5.92342e-05
20 *6643:C *6615:D 6.94062e-07
21 *6643:C *6647:A2 2.65831e-05
22 *6643:C *952:21 0.000320287
23 *6647:A1 *6647:A2 3.14978e-05
24 *6670:A *952:21 0
25 *502:8 *952:10 0
26 *502:8 *952:21 0
27 *502:71 *6642:A1 0.000205962
28 *507:9 *6615:D 0
29 *529:54 *6641:A1 6.08467e-05
30 *529:66 *6641:A1 0.000114594
31 *531:8 *6615:D 0.000100255
*RES
1 *7270:Q *952:5 9.97254
2 *952:5 *952:10 10.2437
3 *952:10 *6641:A1 15.5817
4 *952:10 *952:21 13.8065
5 *952:21 *6643:B 9.24915
6 *952:21 *6647:A2 15.9526
7 *952:21 *6615:D 39.2612
8 *952:5 *6642:A1 22.5727
*END
*D_NET *953 0.00194487
*CONN
*I *6616:C I *D sky130_fd_sc_hd__and3_1
*I *6643:A I *D sky130_fd_sc_hd__and3_1
*I *6647:B1 I *D sky130_fd_sc_hd__a31o_1
*I *7271:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6616:C 0.000418729
2 *6643:A 0
3 *6647:B1 0.000106387
4 *7271:Q 0.00012978
5 *953:10 0.000189282
6 *953:5 0.000631404
7 *6616:C *6616:A 0.000123759
8 *6643:C *953:10 1.07248e-05
9 *6647:A1 *6647:B1 0
10 *6647:A2 *6647:B1 0.000334808
11 *531:8 *953:10 0
*RES
1 *7271:Q *953:5 11.0817
2 *953:5 *953:10 10.832
3 *953:10 *6647:B1 13.3243
4 *953:10 *6643:A 9.24915
5 *953:5 *6616:C 15.615
*END
*D_NET *954 0.00379934
*CONN
*I *6654:B I *D sky130_fd_sc_hd__and3_1
*I *6652:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6616:B I *D sky130_fd_sc_hd__and3_1
*I *6650:A I *D sky130_fd_sc_hd__and2_1
*I *7272:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6654:B 0
2 *6652:A1 0.000456665
3 *6616:B 0.000128858
4 *6650:A 0
5 *7272:Q 0.00015603
6 *954:28 0.000547082
7 *954:16 0.000400785
8 *954:7 0.000337541
9 *6652:A1 *6615:C 1.9503e-05
10 *6652:A1 *955:13 8.97566e-06
11 *954:28 *6615:C 6.32024e-05
12 *6615:D *6616:B 0.000271778
13 *6650:B *6616:B 0.000102632
14 *6650:B *954:16 0.000135905
15 *6652:A2 *6652:A1 0.000489918
16 *531:8 *6616:B 0.000268165
17 *531:15 *6652:A1 0.000226918
18 *538:77 *6652:A1 2.41483e-05
19 *540:21 *954:28 0.000161234
*RES
1 *7272:Q *954:7 12.7697
2 *954:7 *6650:A 9.24915
3 *954:7 *954:16 8.9951
4 *954:16 *6616:B 20.1489
5 *954:16 *954:28 8.02053
6 *954:28 *6652:A1 21.9568
7 *954:28 *6654:B 9.24915
*END
*D_NET *955 0.00409192
*CONN
*I *6656:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6615:C I *D sky130_fd_sc_hd__and4_1
*I *6654:A I *D sky130_fd_sc_hd__and3_1
*I *7273:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6656:A1 2.40881e-05
2 *6615:C 0.000156917
3 *6654:A 0
4 *7273:Q 0.000842096
5 *955:13 0.00053274
6 *955:8 0.00124201
7 *6615:C *6615:A 4.82966e-05
8 *6615:C *6615:B 6.92705e-05
9 *955:8 *7273:CLK 5.04829e-06
10 *6615:D *6615:C 0.000436825
11 *6650:B *955:13 0
12 *6652:A1 *6615:C 1.9503e-05
13 *6652:A1 *955:13 8.97566e-06
14 *6653:A *6656:A1 6.3657e-05
15 *6657:A *955:8 0
16 *6657:A *955:13 0
17 *6657:B *955:8 0
18 *7272:D *955:13 0
19 *7273:D *955:8 0.000119567
20 *531:11 *6615:C 0.000202063
21 *531:15 *6615:C 0.000116269
22 *531:15 *955:13 6.78549e-05
23 *540:21 *6615:C 7.35402e-05
24 *954:28 *6615:C 6.32024e-05
*RES
1 *7273:Q *955:8 28.2215
2 *955:8 *955:13 12.4537
3 *955:13 *6654:A 9.24915
4 *955:13 *6615:C 18.2916
5 *955:8 *6656:A1 14.4725
*END
*D_NET *956 0.00456528
*CONN
*I *6659:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6658:A I *D sky130_fd_sc_hd__and2_1
*I *6666:A2 I *D sky130_fd_sc_hd__a31o_1
*I *6615:B I *D sky130_fd_sc_hd__and4_1
*I *7274:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6659:A1 7.90576e-05
2 *6658:A 0.000122958
3 *6666:A2 1.03162e-05
4 *6615:B 0.000352277
5 *7274:Q 0.000309828
6 *956:31 0.000202016
7 *956:13 0.000631526
8 *956:8 0.00057876
9 *6615:B *6615:A 0.00119742
10 *956:13 *6615:A 7.34948e-06
11 *956:13 *6665:A 0.000283531
12 *956:13 *6666:A1 1.92172e-05
13 *956:13 *957:19 1.2819e-05
14 *956:13 *958:24 2.95757e-05
15 *6615:C *6615:B 6.92705e-05
16 *6615:D *6615:B 2.41483e-05
17 *6659:A2 *6658:A 9.74909e-05
18 *6659:A2 *6659:A1 7.48797e-05
19 *6660:A *956:8 0.000160482
20 *6660:A *956:13 2.95757e-05
21 *6660:B *956:8 0
22 *6661:B1 *956:8 0
23 *6661:B1 *956:13 0
24 *541:45 *6658:A 1.19721e-05
25 *543:7 *6658:A 6.50586e-05
26 *543:10 *956:13 0.000134323
27 *548:6 *956:13 6.14273e-05
*RES
1 *7274:Q *956:8 19.7687
2 *956:8 *956:13 13.1476
3 *956:13 *6615:B 23.4759
4 *956:13 *6666:A2 9.82786
5 *956:8 *956:31 4.5
6 *956:31 *6658:A 12.7697
7 *956:31 *6659:A1 11.6605
*END
*D_NET *957 0.00695385
*CONN
*I *6662:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6661:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6665:B I *D sky130_fd_sc_hd__nand3_1
*I *6666:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6615:A I *D sky130_fd_sc_hd__and4_1
*I *7275:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6662:A1 0.000164934
2 *6661:A1 2.06324e-05
3 *6665:B 0
4 *6666:A1 2.56698e-05
5 *6615:A 0.000109423
6 *7275:Q 0.000393387
7 *957:19 0.00022001
8 *957:13 0.000165121
9 *957:9 0.00018321
10 *957:7 0.000640695
11 *6662:A1 *7276:CLK 0
12 *6662:A1 *1096:1046 0
13 *957:7 *1096:1046 0.000220183
14 *957:9 *1096:1046 0.000483474
15 *957:13 *6665:A 0.000158357
16 *957:13 *1096:1046 0.000481227
17 *6615:B *6615:A 0.00119742
18 *6615:C *6615:A 4.82966e-05
19 *6661:B1 *6662:A1 0
20 *6662:B1 *6662:A1 2.78452e-05
21 *6662:B1 *957:9 3.94667e-05
22 *6665:C *957:13 2.404e-05
23 *6666:A3 *957:19 8.62625e-06
24 *7275:D *6662:A1 6.80864e-05
25 *7275:D *957:7 0.00035153
26 *7275:D *957:9 0.000113968
27 *540:21 *6615:A 0.00133319
28 *540:31 *957:19 0.000174205
29 *543:10 *957:19 1.44611e-05
30 *543:21 *957:9 2.41483e-05
31 *543:21 *957:13 0.000118128
32 *548:6 *957:19 0.000104731
33 *956:13 *6615:A 7.34948e-06
34 *956:13 *6666:A1 1.92172e-05
35 *956:13 *957:19 1.2819e-05
*RES
1 *7275:Q *957:7 20.5341
2 *957:7 *957:9 5.16022
3 *957:9 *957:13 5.73894
4 *957:13 *957:19 12.6565
5 *957:19 *6615:A 23.8376
6 *957:19 *6666:A1 9.97254
7 *957:13 *6665:B 9.24915
8 *957:9 *6661:A1 9.82786
9 *957:7 *6662:A1 22.9879
*END
*D_NET *958 0.00575187
*CONN
*I *6665:A I *D sky130_fd_sc_hd__nand3_1
*I *6666:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6617:A I *D sky130_fd_sc_hd__and3_1
*I *7276:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6665:A 0.00020468
2 *6666:B1 0
3 *6617:A 0.000420789
4 *7276:Q 0.00107203
5 *958:24 0.000316994
6 *958:11 0.00160513
7 *6617:B *6617:A 9.90116e-05
8 *6617:C *6617:A 7.43087e-05
9 *6662:A2 *6665:A 0
10 *6665:C *6665:A 6.50586e-05
11 *6667:A *6617:A 5.08751e-05
12 *6667:C *6617:A 4.62502e-05
13 *6668:A *958:11 0.000346362
14 *7276:D *958:11 7.73486e-05
15 *543:21 *6665:A 0.000107496
16 *547:39 *6617:A 0.000453698
17 *547:43 *6617:A 0.000171273
18 *548:6 *958:24 0.000169108
19 *956:13 *6665:A 0.000283531
20 *956:13 *958:24 2.95757e-05
21 *957:13 *6665:A 0.000158357
*RES
1 *7276:Q *958:11 30.5652
2 *958:11 *6617:A 25.5256
3 *958:11 *958:24 12.0778
4 *958:24 *6666:B1 9.24915
5 *958:24 *6665:A 25.268
*END
*D_NET *959 0.00233592
*CONN
*I *6669:A I *D sky130_fd_sc_hd__inv_2
*I *6616:A I *D sky130_fd_sc_hd__and3_1
*I *7277:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6669:A 0
2 *6616:A 0.000662532
3 *7277:Q 0.000344487
4 *959:9 0.00100702
5 *6616:C *6616:A 0.000123759
6 *6617:C *6616:A 7.49459e-05
7 *6671:A1 *6616:A 9.9028e-05
8 *6671:A1 *959:9 2.41483e-05
9 *6671:A2 *959:9 0
*RES
1 *7277:Q *959:9 26.2112
2 *959:9 *6616:A 26.8036
3 *959:9 *6669:A 9.24915
*END
*D_NET *960 0.00445314
*CONN
*I *6673:A I *D sky130_fd_sc_hd__or2_1
*I *6672:A I *D sky130_fd_sc_hd__nand2_1
*I *6619:A3 I *D sky130_fd_sc_hd__a41o_1
*I *7278:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6673:A 0
2 *6672:A 0
3 *6619:A3 0.00045064
4 *7278:Q 0.000680945
5 *960:11 0.000549232
6 *960:9 0.000779536
7 *960:9 *7278:CLK 7.00409e-05
8 *960:11 *7278:CLK 4.33655e-05
9 *6619:A1 *6619:A3 9.9774e-06
10 *6619:A4 *6619:A3 6.9815e-05
11 *6670:A *6619:A3 0.000224395
12 *6673:B *960:11 0.000106215
13 *6675:A *960:9 0.000277502
14 *7278:D *960:9 0.000269264
15 *507:9 *6619:A3 4.09471e-05
16 *552:7 *6619:A3 0.000610379
17 *552:15 *6619:A3 2.66074e-05
18 *552:15 *960:11 0.000171288
19 *553:8 *6619:A3 6.50586e-05
20 *553:8 *960:11 7.92757e-06
*RES
1 *7278:Q *960:9 27.2135
2 *960:9 *960:11 4.05102
3 *960:11 *6619:A3 23.7412
4 *960:11 *6672:A 9.24915
5 *960:9 *6673:A 9.24915
*END
*D_NET *961 0.00193475
*CONN
*I *6614:A I *D sky130_fd_sc_hd__inv_2
*I *6676:A I *D sky130_fd_sc_hd__xor2_1
*I *7279:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6614:A 0.000322511
2 *6676:A 4.6898e-05
3 *7279:Q 0.000420868
4 *961:8 0.000790277
5 *6619:A2 *6614:A 1.84293e-05
6 *6674:A *6614:A 0
7 *6674:B *6614:A 3.86121e-05
8 *6676:B *6614:A 5.00082e-05
9 *6676:B *961:8 1.2601e-05
10 *6677:A *961:8 0
11 *6677:B *6676:A 2.85139e-05
12 *6677:B *961:8 1.79672e-05
13 *529:66 *6614:A 0.00018806
*RES
1 *7279:Q *961:8 21.0173
2 *961:8 *6676:A 15.0271
3 *961:8 *6614:A 23.6509
*END
*D_NET *962 0.023135
*CONN
*I *6906:A I *D sky130_fd_sc_hd__and3_1
*I *6896:A I *D sky130_fd_sc_hd__inv_2
*I *7027:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7050:C I *D sky130_fd_sc_hd__and4bb_1
*I *7372:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6906:A 0
2 *6896:A 0.000286053
3 *7027:A1 0
4 *7050:C 0.000624089
5 *7372:Q 0
6 *962:45 0.000777365
7 *962:44 0.00185961
8 *962:19 0.00189326
9 *962:17 0.00305023
10 *962:4 0.00314935
11 *962:17 *1070:8 0
12 *962:17 *1142:26 0.000360145
13 *962:19 *6687:A2 0.000859517
14 *962:19 *6957:B1 6.50727e-05
15 *962:19 *1110:27 0.00274215
16 *962:19 *1140:44 5.99856e-05
17 *962:19 *1140:53 0.000369382
18 *962:44 *7116:A1 1.63493e-05
19 *962:44 *7336:CLK 0.000115615
20 *962:45 *6881:B1 2.65831e-05
21 *962:45 *6906:B 0.000217169
22 *6687:A1 *962:19 3.84508e-05
23 *6687:B1 *962:19 6.50586e-05
24 *6957:A2 *962:19 0.000111708
25 *6958:C *962:19 0.00011247
26 *7029:A *962:17 2.61012e-05
27 *7050:D *7050:C 0.000118166
28 *7054:B1 *7050:C 2.52287e-06
29 *7096:C1 *962:17 0.00125654
30 *7099:A1 *962:19 0.000317679
31 *7099:A2 *962:17 0.000119557
32 *7099:A2 *962:19 3.91843e-05
33 *7356:D *962:17 0.000381836
34 *7372:D *962:17 0.000109321
35 *484:11 *6896:A 0.000414018
36 *522:58 *6896:A 0.000256202
37 *547:39 *962:45 0.00182286
38 *568:11 *7050:C 9.11135e-05
39 *578:8 *7050:C 0.000268195
40 *583:34 *962:17 0
41 *589:58 *962:44 0
42 *595:40 *6896:A 0.000134323
43 *696:65 *6896:A 0.000134323
44 *813:10 *7050:C 5.41227e-05
45 *813:10 *962:19 6.08467e-05
46 *814:10 *962:19 6.11359e-06
47 *822:31 *962:19 1.92172e-05
48 *832:17 *6896:A 0.00040678
49 *835:11 *7050:C 2.71182e-05
50 *874:22 *962:17 2.43314e-05
51 *876:7 *962:19 6.50586e-05
52 *878:5 *962:19 0.000179879
*RES
1 *7372:Q *962:4 9.24915
2 *962:4 *962:17 48.127
3 *962:17 *962:19 50.0831
4 *962:19 *7050:C 33.8871
5 *962:19 *7027:A1 9.24915
6 *962:4 *962:44 29.9939
7 *962:44 *962:45 20.1345
8 *962:45 *6896:A 32.1725
9 *962:45 *6906:A 9.24915
*END
*D_NET *963 0.0081952
*CONN
*I *7033:A I *D sky130_fd_sc_hd__and2_2
*I *7037:A I *D sky130_fd_sc_hd__nor2_2
*I *7342:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7033:A 3.57807e-05
2 *7037:A 2.06659e-05
3 *7342:Q 0.000304251
4 *963:17 0.00228698
5 *963:8 0.00257612
6 *7037:A *7037:B 0.000111722
7 *963:8 *7058:A1 0
8 *963:8 *1116:5 5.35886e-05
9 *963:17 *7058:A1 0
10 *963:17 *7265:A1 0
11 *963:17 *1057:27 0.000339438
12 *963:17 *1121:17 6.71192e-05
13 *963:17 *1125:43 0
14 *963:17 *1178:6 0
15 *963:17 *1178:17 0
16 *7265:A0 *963:17 0
17 *626:6 *963:8 0
18 *633:18 *963:17 0
19 *823:17 *963:8 0.00017419
20 *823:37 *963:8 4.33979e-05
21 *823:37 *963:17 0.00207023
22 *841:6 *963:17 0
23 *841:8 *963:17 0
24 *860:13 *7037:A 0.000111722
*RES
1 *7342:Q *963:8 21.7084
2 *963:8 *7037:A 15.0271
3 *963:8 *963:17 63.2893
4 *963:17 *7033:A 14.4725
*END
*D_NET *964 0.00257615
*CONN
*I *6608:A I *D sky130_fd_sc_hd__buf_2
*I *6937:C I *D sky130_fd_sc_hd__and4_1
*I *7341:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6608:A 0.000118207
2 *6937:C 0.000746198
3 *7341:Q 0
4 *964:5 0.000864406
5 *6608:A *1067:8 0
6 *6937:C *6933:A 0.000178097
7 *6937:C *6937:D 0.000122378
8 *6937:C *1067:8 0
9 *7341:D *6608:A 0.000271058
10 *529:17 *6937:C 0.000102801
11 *587:38 *6608:A 2.71953e-05
12 *587:38 *6937:C 0.000145807
*RES
1 *7341:Q *964:5 13.7491
2 *964:5 *6937:C 33.6226
3 *964:5 *6608:A 18.1077
*END
*D_NET *965 0.0229927
*CONN
*I *6618:A I *D sky130_fd_sc_hd__inv_2
*I *6609:B I *D sky130_fd_sc_hd__and2_1
*I *6647:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6932:C I *D sky130_fd_sc_hd__and3_1
*I *6937:D I *D sky130_fd_sc_hd__and4_1
*I *7269:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6618:A 0.000182427
2 *6609:B 5.1408e-05
3 *6647:A3 0.000428509
4 *6932:C 0
5 *6937:D 0.000168893
6 *7269:Q 0
7 *965:62 0.000652853
8 *965:43 0.00107878
9 *965:38 0.00173962
10 *965:17 0.00128962
11 *965:13 0.00075287
12 *965:6 0.00057488
13 *965:4 0.00029138
14 *6937:D *6932:A 0
15 *6937:D *6933:A 0.000118166
16 *6937:D *1135:72 0
17 *6937:D *1143:30 0.000233425
18 *965:13 *998:14 0.00114389
19 *965:17 *998:14 0.000611863
20 *965:38 *6834:A1 0.000365772
21 *965:38 *978:12 4.88764e-06
22 *965:38 *1133:18 6.75845e-06
23 *965:43 *7326:CLK 0.00168481
24 *6609:A *6609:B 6.50586e-05
25 *6619:A1 *6609:B 2.41483e-05
26 *6635:A *965:38 0.000560802
27 *6636:A1 *6609:B 0.000271058
28 *6636:A1 *965:62 0.00069815
29 *6647:A1 *6647:A3 0.000118128
30 *6823:S *965:17 4.88955e-05
31 *6837:S *965:38 4.51357e-05
32 *6932:B *965:43 0.000150252
33 *6934:B *6937:D 0.000123582
34 *6937:C *6937:D 0.000122378
35 *7320:D *965:38 0.000104389
36 *500:28 *6647:A3 9.40969e-05
37 *500:33 *6647:A3 0
38 *508:8 *6647:A3 0
39 *523:26 *965:38 0.000297919
40 *524:33 *965:38 0.000213725
41 *525:19 *965:38 0.000246586
42 *525:50 *965:17 0.000112629
43 *525:50 *965:38 0.000118251
44 *529:52 *965:17 2.5124e-05
45 *529:52 *965:38 0.000950036
46 *529:54 *965:13 0.000516173
47 *529:54 *965:17 0.00376481
48 *589:58 *965:43 0.00134797
49 *595:52 *965:38 9.81829e-05
50 *645:26 *965:38 0.000145008
51 *664:42 *965:17 0.00118454
52 *741:7 *6937:D 7.70866e-05
53 *741:7 *965:43 8.77427e-05
*RES
1 *7269:Q *965:4 9.24915
2 *965:4 *965:6 6.74725
3 *965:6 *965:13 20.9418
4 *965:13 *965:17 41.2336
5 *965:17 *965:38 44.5916
6 *965:38 *965:43 33.9996
7 *965:43 *6937:D 24.767
8 *965:43 *6932:C 9.24915
9 *965:6 *965:62 11.8786
10 *965:62 *6647:A3 29.8091
11 *965:62 *6609:B 12.191
12 *965:4 *6618:A 13.7342
*END
*D_NET *966 0.0268776
*CONN
*I *6757:B2 I *D sky130_fd_sc_hd__a22o_1
*I *7446:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6757:B2 0.000474111
2 *7446:X 0
3 *966:12 0.00286621
4 *966:10 0.00242926
5 *966:8 0.00552796
6 *966:7 0.0054908
7 *966:5 0.00389075
8 *966:4 0.00389075
9 *6757:B2 *7311:CLK 0.000158371
10 *966:8 *6928:A1 1.40733e-05
11 *966:8 *1148:6 0
12 *966:8 *1148:8 0
13 *966:12 *6804:A0 5.82465e-05
14 *966:12 *6823:A0 0
15 *966:12 *6829:A1 0
16 *966:12 *6891:A 0
17 *966:12 *6911:B 0
18 *966:12 *6924:A 1.46079e-05
19 *966:12 *6928:A1 9.86329e-05
20 *966:12 *7317:CLK 0
21 *966:12 *996:8 0
22 *966:12 *1096:397 5.8261e-05
23 *966:12 *1096:475 0.000102359
24 *966:12 *1096:485 0.000158881
25 *966:12 *1120:74 0
26 *966:12 *1147:20 4.3116e-06
27 *966:12 *1148:8 0
28 *966:12 *1154:8 0
29 *966:12 *1154:40 0
30 *6768:A2 *6757:B2 0.000527976
31 *6827:S *966:12 0
32 *6924:B *966:12 8.71199e-05
33 *6925:B1 *966:12 0.00031669
34 *6928:A3 *966:12 7.44124e-05
35 *6930:A *966:8 0
36 *7317:D *966:12 0
37 *7349:D *966:8 0
38 *276:19 *6757:B2 0.000399569
39 *648:31 *966:12 0
40 *702:14 *966:12 8.4299e-05
41 *714:8 *966:12 0
42 *715:9 *966:12 0.00014998
*RES
1 *7446:X *966:4 9.24915
2 *966:4 *966:5 56.7384
3 *966:5 *966:7 4.5
4 *966:7 *966:8 138.853
5 *966:8 *966:10 0.732798
6 *966:10 *966:12 62.8863
7 *966:12 *6757:B2 31.1106
*END
*D_NET *967 0.0188799
*CONN
*I *7159:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7447:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7159:A1 0
2 *7447:X 0.00160987
3 *967:12 0.00270598
4 *967:10 0.00376897
5 *967:7 0.00267285
6 *967:7 *978:7 0.000347135
7 *967:7 *989:5 0.00194469
8 *967:10 *982:14 2.32311e-05
9 *967:10 *1033:23 0
10 *967:10 *1123:53 0
11 *967:12 *978:12 0
12 *967:12 *1114:44 0.000806993
13 *967:12 *1114:57 5.81162e-05
14 *6783:A *967:12 0.0004041
15 *6821:A *967:12 0.000140285
16 *6857:A *967:10 1.98557e-05
17 *6861:A2 *967:10 8.12567e-05
18 *6861:B1 *967:10 0.000459044
19 *6861:C1 *967:10 0
20 *6907:A2 *967:12 7.50722e-05
21 *7164:B1 *967:12 1.07248e-05
22 *7343:D *967:12 0.000144531
23 *7512:A *967:10 0
24 *403:20 *967:12 0.000405599
25 *403:43 *967:12 0.000122098
26 *524:38 *967:12 0
27 *598:10 *967:12 0.00151451
28 *603:19 *967:10 0.000105575
29 *619:34 *967:10 0.000925964
30 *619:34 *967:12 0.00012438
31 *647:36 *967:10 4.3116e-06
32 *662:34 *967:12 8.28179e-05
33 *688:16 *967:10 9.39114e-06
34 *689:8 *967:10 0.000312552
35 *832:10 *967:12 0
*RES
1 *7447:X *967:7 42.7573
2 *967:7 *967:10 31.5714
3 *967:10 *967:12 81.3528
4 *967:12 *7159:A1 13.7491
*END
*D_NET *968 0.00945304
*CONN
*I *6621:D_N I *D sky130_fd_sc_hd__or4b_2
*I *7025:A I *D sky130_fd_sc_hd__nor3_2
*I *7533:A I *D sky130_fd_sc_hd__buf_2
*I *6581:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6621:D_N 0.000164935
2 *7025:A 5.18521e-05
3 *7533:A 0
4 *6581:X 0
5 *968:17 0.00103084
6 *968:11 0.00116364
7 *968:5 0.00197769
8 *6621:D_N *6621:B 6.63327e-05
9 *6621:D_N *975:25 5.22654e-06
10 *6621:D_N *1049:19 9.80738e-05
11 *6621:D_N *1174:20 0.000113197
12 *7025:A *1118:9 6.50727e-05
13 *968:11 *7534:A 0.000195621
14 *968:11 *7544:A 6.36477e-05
15 *968:11 *7611:A 0
16 *968:11 *975:12 0.0014002
17 *968:11 *1096:35 0
18 *968:11 *1096:71 0
19 *968:11 *1096:74 0.000157148
20 *968:11 *1096:86 0.000150009
21 *968:17 *7091:A1 8.01741e-05
22 *968:17 *7378:CLK 0.000349977
23 *968:17 *975:12 0.000317623
24 *968:17 *975:25 0.000175047
25 *968:17 *1049:19 0.000128894
26 *968:17 *1096:86 7.8406e-05
27 *968:17 *1096:89 8.52802e-05
28 sram_addr0[1] *968:11 0.000432613
29 sram_addr1[0] *968:11 2.63704e-05
30 sram_csb0 *968:11 0.000517103
31 *7379:D *968:17 0.000122083
32 *232:16 *968:11 4.56831e-05
33 *442:15 *968:17 0
34 *812:11 *6621:D_N 6.50727e-05
35 *834:13 *6621:D_N 0.000171288
36 *834:18 *6621:D_N 0
37 *878:5 *6621:D_N 0.000153949
*RES
1 *6581:X *968:5 13.7491
2 *968:5 *968:11 45.7232
3 *968:11 *7533:A 9.24915
4 *968:5 *968:17 27.5776
5 *968:17 *7025:A 15.2053
6 *968:17 *6621:D_N 22.263
*END
*D_NET *969 0.0125882
*CONN
*I *7039:A_N I *D sky130_fd_sc_hd__nand4b_1
*I *7534:A I *D sky130_fd_sc_hd__buf_2
*I *7026:A I *D sky130_fd_sc_hd__or4b_1
*I *6580:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7039:A_N 0.000200727
2 *7534:A 0.000515671
3 *7026:A 0
4 *6580:X 0
5 *969:20 0.00250286
6 *969:19 0.00200503
7 *969:11 0.000434897
8 *969:5 0.000617788
9 *7039:A_N *1121:9 0.000111708
10 *7534:A *1136:33 0.000602726
11 *969:20 *7432:CLK 0
12 *969:20 *1096:114 0
13 *969:20 *1096:119 0
14 *969:20 *1128:16 0
15 *969:20 *1128:23 0
16 *969:20 *1136:33 0.00121727
17 *969:20 *1156:40 0
18 sram_addr0[1] *7534:A 7.6719e-06
19 sram_addr0[2] *7534:A 0.000164829
20 sram_addr1[1] *7534:A 0.000171273
21 sram_din0[1] *7534:A 0.000324166
22 sram_din0[2] *969:20 0
23 sram_wmask0[1] *7534:A 6.50727e-05
24 *6580:A *7039:A_N 0.000374457
25 *6589:A *969:20 0.000116971
26 *6605:B_N *969:11 0.000139435
27 *6631:A *969:11 0.00017632
28 *7026:C *969:11 2.15184e-05
29 *7026:C *969:19 6.08467e-05
30 *7026:D_N *969:11 7.63448e-05
31 *7039:C *7039:A_N 2.41274e-06
32 *7039:D *7039:A_N 2.12954e-05
33 *7087:B1 *969:20 0
34 *7206:B *969:20 0.000774434
35 *7498:A *969:20 0.00018763
36 *444:8 *969:20 0
37 *479:16 *969:11 0.000134323
38 *498:8 *7039:A_N 0.00024065
39 *498:8 *969:11 0.000127179
40 *498:19 *7039:A_N 0.000141001
41 *498:28 *7039:A_N 2.24484e-05
42 *511:10 *969:11 0.000559644
43 *511:10 *969:19 1.65872e-05
44 *515:6 *7039:A_N 0
45 *515:6 *969:11 0
46 *632:12 *969:20 7.50722e-05
47 *812:11 *969:11 4.31539e-05
48 *812:16 *969:11 2.15656e-05
49 *834:13 *969:11 6.53854e-05
50 *878:5 *969:11 5.22164e-05
51 *968:11 *7534:A 0.000195621
*RES
1 *6580:X *969:5 13.7491
2 *969:5 *969:11 20.6547
3 *969:11 *7026:A 9.24915
4 *969:11 *969:19 5.2234
5 *969:19 *969:20 57.4758
6 *969:20 *7534:A 33.9078
7 *969:5 *7039:A_N 22.8361
*END
*D_NET *970 0.00154673
*CONN
*I *7535:A I *D sky130_fd_sc_hd__buf_2
*I *6589:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7535:A 0.000620037
2 *6589:X 0.000620037
3 *7535:A *7405:CLK 0.000153737
4 *7535:A *1054:14 0
5 *7535:A *1125:18 9.48595e-05
6 *7535:A *1136:33 0
7 sram_addr1[2] *7535:A 3.14978e-05
8 sram_din0[2] *7535:A 2.65667e-05
*RES
1 *6589:X *7535:A 38.8174
*END
*D_NET *971 0.00100158
*CONN
*I *7536:A I *D sky130_fd_sc_hd__buf_2
*I *6591:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7536:A 0.00037926
2 *6591:X 0.00037926
3 *7536:A *1058:14 0
4 *7536:A *1156:55 0.00018806
5 sram_din0[3] *7536:A 5.50027e-05
*RES
1 *6591:X *7536:A 34.7664
*END
*D_NET *972 0.00091924
*CONN
*I *7537:A I *D sky130_fd_sc_hd__buf_2
*I *6593:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7537:A 0.000305144
2 *6593:X 0.000305144
3 *7537:A *7539:A 0.00010801
4 *7537:A *7619:A 3.88655e-06
5 *7537:A *1063:57 0.000171273
6 *6593:A *7537:A 2.32594e-05
7 *6600:A *7537:A 2.52287e-06
*RES
1 *6593:X *7537:A 33.6572
*END
*D_NET *973 0.0019447
*CONN
*I *7538:A I *D sky130_fd_sc_hd__buf_2
*I *6595:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7538:A 0.000276279
2 *6595:X 0.000276279
3 *7538:A *7620:A 0.000375027
4 *7538:A *1063:57 0.000992969
5 *7538:A *1096:164 2.41483e-05
*RES
1 *6595:X *7538:A 31.1818
*END
*D_NET *974 0.00576624
*CONN
*I *7539:A I *D sky130_fd_sc_hd__buf_2
*I *6597:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7539:A 0.00130737
2 *6597:X 0.00130737
3 *7539:A *7619:A 0.000106917
4 *7539:A *7621:A 0.000193737
5 *7539:A *1006:29 2.42273e-05
6 *7539:A *1055:11 0.00253312
7 sram_addr0[6] *7539:A 0.000171288
8 sram_addr1[6] *7539:A 1.41976e-05
9 *7537:A *7539:A 0.00010801
*RES
1 *6597:X *7539:A 47.0406
*END
*D_NET *975 0.0263765
*CONN
*I *6621:B I *D sky130_fd_sc_hd__or4b_2
*I *7025:B I *D sky130_fd_sc_hd__nor3_2
*I *7540:A I *D sky130_fd_sc_hd__buf_2
*I *6598:X O *D sky130_fd_sc_hd__o21a_2
*CAP
1 *6621:B 0.000407153
2 *7025:B 2.57738e-05
3 *7540:A 0.000115737
4 *6598:X 0.000111713
5 *975:25 0.00064171
6 *975:15 0.00638227
7 *975:14 0.00626653
8 *975:12 0.00147198
9 *975:7 0.00179248
10 *7540:A *994:36 0
11 *975:7 *6598:B1 6.99486e-05
12 *975:12 *7260:A1 0
13 *975:12 *7378:CLK 0.000355591
14 *975:12 *7542:A 3.91685e-05
15 *975:12 *7557:A 0
16 *975:12 *1096:89 8.52802e-05
17 *975:12 *1130:8 0
18 *975:15 *994:37 0
19 *975:15 *1009:29 0.00509624
20 *975:15 *1054:11 0
21 *975:15 *1058:9 9.43847e-06
22 *975:15 *1060:9 0.000291278
23 sram_addr0[1] *975:12 0
24 sram_addr1[1] *975:12 0
25 sram_din0[1] *975:12 0
26 *6621:D_N *6621:B 6.63327e-05
27 *6621:D_N *975:25 5.22654e-06
28 *6872:B *7540:A 1.05272e-06
29 *7207:B1 *975:12 0.000126899
30 *7375:D *975:12 4.90264e-05
31 *7379:D *975:12 9.96342e-05
32 *7379:D *975:25 2.24484e-05
33 *7431:D *975:12 2.22788e-05
34 *7470:A *7540:A 0
35 *834:18 *6621:B 4.01437e-05
36 *834:18 *975:12 0.000257534
37 *834:18 *975:25 0.000124249
38 *878:5 *6621:B 0.000506564
39 *968:11 *975:12 0.0014002
40 *968:17 *975:12 0.000317623
41 *968:17 *975:25 0.000175047
*RES
1 *6598:X *975:7 15.5817
2 *975:7 *975:12 48.8288
3 *975:12 *975:14 4.5
4 *975:14 *975:15 101.661
5 *975:15 *7540:A 20.4964
6 *975:7 *975:25 7.64553
7 *975:25 *7025:B 14.4819
8 *975:25 *6621:B 22.1265
*END
*D_NET *976 0.0121659
*CONN
*I *7541:A I *D sky130_fd_sc_hd__buf_2
*I *6600:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7541:A 0
2 *6600:X 4.56231e-05
3 *976:19 0.00136393
4 *976:9 0.00199197
5 *976:5 0.000673658
6 *976:5 *1062:65 1.03434e-05
7 *976:9 *1009:24 0.000113968
8 *976:9 *1012:24 0.000310558
9 *976:9 *1062:65 0.0018266
10 *976:19 *6871:B2 0.000211659
11 *976:19 *7331:CLK 1.41976e-05
12 *976:19 *7622:A 4.36466e-06
13 *976:19 *7623:A 0.000169041
14 *976:19 *994:32 2.20702e-05
15 *976:19 *1006:29 7.58595e-05
16 *976:19 *1009:24 4.69495e-06
17 *976:19 *1013:25 0
18 *976:19 *1014:21 2.7961e-05
19 *976:19 *1063:47 0.000139279
20 *976:19 *1096:235 0.000178097
21 sram_din0[8] *976:19 5.18929e-05
22 *6871:A2 *976:19 8.69374e-05
23 *6872:A *976:19 0.000281456
24 *6949:A *976:9 0.000169041
25 *7466:A *976:9 0.000357898
26 *502:36 *976:19 1.27831e-06
27 *502:37 *976:9 0.00401674
28 *694:13 *976:9 1.67988e-05
*RES
1 *6600:X *976:5 10.6477
2 *976:5 *976:9 49.5917
3 *976:9 *976:19 40.7837
4 *976:19 *7541:A 9.24915
*END
*D_NET *977 0.00304634
*CONN
*I *7542:A I *D sky130_fd_sc_hd__buf_2
*I *7444:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7542:A 0.00133285
2 *7444:X 0.00133285
3 *7542:A *1096:29 9.95204e-05
4 sram_web0 *7542:A 0
5 wb_ack_o *7542:A 7.13655e-06
6 wb_data_o[0] *7542:A 0
7 *7207:B1 *7542:A 3.91685e-05
8 *7527:A *7542:A 0
9 *233:21 *7542:A 0.000195652
10 *975:12 *7542:A 3.91685e-05
*RES
1 *7444:X *7542:A 38.5943
*END
*D_NET *978 0.0222616
*CONN
*I *7164:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7448:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7164:A1 0.000349224
2 *7448:X 0.000424014
3 *978:12 0.00398647
4 *978:11 0.00381053
5 *978:7 0.000597301
6 *978:7 *7552:A 0.000297897
7 *978:7 *989:5 0.00242042
8 *978:7 *1011:7 0.00206382
9 *978:7 *1011:15 7.90527e-05
10 *978:7 *1055:11 0.000217213
11 *978:7 *1100:12 3.60363e-05
12 *978:11 *7552:A 6.78364e-06
13 *978:11 *1100:12 0.000212104
14 *978:12 *6854:A 0.000821169
15 *978:12 *7343:CLK 0.000223232
16 *978:12 *7361:CLK 0.000372958
17 *978:12 *7551:A 4.97742e-05
18 *978:12 *7552:A 0.000182202
19 *978:12 *987:12 3.874e-05
20 *978:12 *987:14 0.000130219
21 *978:12 *1096:635 0.000419953
22 *978:12 *1096:637 0.000508284
23 *978:12 *1123:53 1.25173e-05
24 *978:12 *1133:18 0
25 *978:12 *1133:20 0
26 sram_din0[15] *978:7 2.82583e-05
27 *6809:A *978:12 0.000248266
28 *6853:A *978:12 0
29 *6857:A *978:12 0
30 *7009:A *978:12 0
31 *7148:A *978:12 7.44269e-05
32 *7158:A *978:12 9.34869e-05
33 *7164:A2 *7164:A1 0
34 *7164:B1 *7164:A1 7.74397e-05
35 *7164:B2 *7164:A1 3.31745e-05
36 *7164:C1 *978:12 0.000137921
37 *7523:A *978:7 0.000570356
38 *402:30 *978:12 0.000103807
39 *403:20 *978:12 0
40 *403:43 *978:12 0
41 *524:38 *978:12 0.00040999
42 *525:19 *978:12 2.86353e-06
43 *529:43 *978:12 1.70975e-05
44 *572:10 *978:12 0.000275325
45 *595:37 *978:12 0
46 *598:10 *7164:A1 2.95757e-05
47 *598:16 *7164:A1 0.000362198
48 *598:16 *978:12 2.19276e-05
49 *603:19 *978:12 0
50 *605:6 *978:12 9.71265e-05
51 *647:36 *978:12 0
52 *655:45 *978:12 0.000110844
53 *688:8 *978:12 8.74104e-05
54 *688:16 *978:12 1.9101e-05
55 *740:52 *978:12 3.20069e-06
56 *832:10 *978:12 0.00184585
57 *965:38 *978:12 4.88764e-06
58 *967:7 *978:7 0.000347135
59 *967:12 *978:12 0
*RES
1 *7448:X *978:7 47.565
2 *978:7 *978:11 7.44181
3 *978:11 *978:12 114.365
4 *978:12 *7164:A1 29.3261
*END
*D_NET *979 0.00308699
*CONN
*I *7543:A I *D sky130_fd_sc_hd__buf_2
*I *7445:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7543:A 0.000503526
2 *7445:X 0.000503526
3 *7543:A *7204:A 0.000213368
4 *7543:A *7406:CLK 0.000158316
5 *7543:A *7611:A 9.84669e-05
6 *7543:A *1096:21 9.14669e-05
7 *7543:A *1097:11 0.000162884
8 *7543:A *1125:7 0.000965006
9 sram_wmask0[0] *7543:A 9.24241e-05
10 *7406:D *7543:A 0.000274007
11 *232:16 *7543:A 1.69071e-05
12 *233:21 *7543:A 7.09666e-06
*RES
1 *7445:X *7543:A 47.8042
*END
*D_NET *980 0.000752566
*CONN
*I *7544:A I *D sky130_fd_sc_hd__buf_2
*I *6611:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7544:A 0.00024901
2 *6611:Y 0.00024901
3 wb_stall_o *7544:A 0
4 *7457:A *7544:A 0.000137936
5 *232:16 *7544:A 5.29627e-05
6 *233:21 *7544:A 0
7 *968:11 *7544:A 6.36477e-05
*RES
1 *6611:Y *7544:A 34.2062
*END
*D_NET *981 0.0248477
*CONN
*I *7037:B I *D sky130_fd_sc_hd__nor2_2
*I *7545:A I *D sky130_fd_sc_hd__buf_2
*I *6904:B I *D sky130_fd_sc_hd__nor2_1
*I *6607:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *7037:B 0.000509165
2 *7545:A 0
3 *6904:B 5.46077e-05
4 *6607:X 0.000427762
5 *981:38 0.00208002
6 *981:32 0.0034337
7 *981:23 0.00186285
8 *981:11 0.000433599
9 *981:8 0.00186333
10 *981:7 0.0019121
11 *7037:B *1018:22 0.00031669
12 *7037:B *1125:20 0.000311661
13 *981:7 *1096:1588 1.82679e-05
14 *981:8 *6576:A 6.14273e-05
15 *981:8 *1156:39 0.000742702
16 *981:8 *1156:40 0.00308021
17 *981:8 *1175:21 0.000986036
18 *981:8 *1181:17 0.000485312
19 *981:11 *1127:18 0.000935636
20 *981:32 *6581:A2 0.000406808
21 *981:32 *1000:9 7.50872e-05
22 *981:32 *1018:22 9.75356e-05
23 *981:32 *1125:20 0.000102632
24 *981:32 *1127:18 0.000249485
25 *981:38 *1018:13 0.00247713
26 *981:38 *1110:5 8.47748e-05
27 *6774:A *7037:B 0.000101253
28 *6904:A *6904:B 1.41976e-05
29 *6904:A *981:32 5.04829e-06
30 *7037:A *7037:B 0.000111722
31 *7092:A *981:8 0.000172691
32 *7342:D *981:11 6.50586e-05
33 *7511:A *981:38 1.44467e-05
34 *498:8 *981:8 4.3116e-06
35 *498:19 *981:8 4.01573e-05
36 *498:28 *981:8 0.000148948
37 *515:6 *981:32 0.000165234
38 *524:5 *7037:B 0.000207892
39 *812:16 *981:8 6.42805e-05
40 *817:6 *981:8 0.000230258
41 *823:7 *7037:B 0.00011818
42 *834:18 *981:32 0.000128561
43 *860:13 *7037:B 0.000246942
*RES
1 *6607:X *981:7 19.464
2 *981:7 *981:8 68.2723
3 *981:8 *981:11 16.3155
4 *981:11 *6904:B 11.6846
5 *981:11 *981:23 4.5
6 *981:23 *981:32 40.7543
7 *981:32 *981:38 46.6292
8 *981:38 *7545:A 9.24915
9 *981:23 *7037:B 31.158
*END
*D_NET *982 0.039146
*CONN
*I *6859:A1 I *D sky130_fd_sc_hd__o221a_1
*I *6860:A I *D sky130_fd_sc_hd__and2_1
*I *5643:DIODE I *D sky130_fd_sc_hd__diode_2
*I *7546:A I *D sky130_fd_sc_hd__buf_2
*I *7328:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6859:A1 3.29888e-05
2 *6860:A 0.000114611
3 *5643:DIODE 0
4 *7546:A 0.00019305
5 *7328:Q 0.000359633
6 *982:27 0.00104116
7 *982:15 0.00983465
8 *982:14 0.0090576
9 *982:10 0.000319786
10 *982:8 0.00052674
11 *982:8 *7328:CLK 0.000100913
12 *982:8 *1033:23 0
13 *982:10 *1033:23 0
14 *982:15 *6874:B2 3.56969e-06
15 *982:15 *7573:A 0.00135609
16 *982:15 *7622:A 0.000160617
17 *982:15 *1006:29 0.000459915
18 *982:15 *1009:10 2.6113e-05
19 *982:15 *1010:14 9.92328e-05
20 *982:15 *1010:21 1.75155e-06
21 *982:15 *1012:11 0.000317679
22 *982:15 *1012:16 0.000464127
23 *982:15 *1062:33 0.00495047
24 *982:15 *1064:7 0.00161957
25 *982:15 *1096:148 4.07687e-05
26 *982:15 *1096:180 1.00846e-05
27 *982:15 *1096:192 1.03403e-05
28 *982:27 *7204:A 6.50727e-05
29 *982:27 *7405:CLK 6.50586e-05
30 *982:27 *1055:11 0
31 *982:27 *1096:35 0.000623435
32 *982:27 *1097:11 6.08467e-05
33 *982:27 *1098:33 0.00127589
34 *982:27 *1135:36 0.00115614
35 *982:27 *1173:44 0.000914589
36 sram_din0[0] *7546:A 4.31703e-05
37 *6597:A *982:15 1.22567e-05
38 *6611:A *7546:A 9.95922e-06
39 *6611:A *982:27 0.000463031
40 *6861:A2 *6860:A 0.00041971
41 *6861:A2 *982:10 0.000165087
42 *6861:A2 *982:14 3.31882e-05
43 *6861:B2 *6860:A 0.000143774
44 *6861:C1 *982:10 1.67271e-05
45 *6861:C1 *982:14 4.70005e-05
46 *6864:A2 *982:15 4.97077e-05
47 *6874:C1 *982:15 1.92336e-05
48 *7285:D *982:15 3.18826e-06
49 *7328:D *982:8 6.87503e-05
50 *7331:D *982:15 4.40253e-05
51 *7332:D *982:15 3.43646e-05
52 *7405:D *982:27 4.69495e-06
53 *7450:A *982:27 6.50586e-05
54 *442:10 *982:27 2.65831e-05
55 *487:9 *982:15 0.000177491
56 *487:17 *982:27 0.000670127
57 *502:45 *982:27 4.23622e-05
58 *571:43 *6860:A 0.000776341
59 *605:40 *982:15 0.000118683
60 *606:30 *982:8 2.5386e-05
61 *609:18 *6859:A1 2.16355e-05
62 *688:16 *982:8 0.000137023
63 *688:16 *982:10 7.09666e-06
64 *694:13 *982:15 4.33655e-05
65 *934:8 *982:15 0.000171288
66 *967:10 *982:14 2.32311e-05
*RES
1 *7328:Q *982:8 21.0173
2 *982:8 *982:10 3.493
3 *982:10 *982:14 6.74725
4 *982:14 *982:15 151.021
5 *982:15 *982:27 47.3449
6 *982:27 *7546:A 13.8789
7 *982:27 *5643:DIODE 9.24915
8 *982:10 *6860:A 22.237
9 *982:8 *6859:A1 14.4725
*END
*D_NET *983 0.00488308
*CONN
*I *6843:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6841:A1 I *D sky130_fd_sc_hd__a22o_1
*I *7547:A I *D sky130_fd_sc_hd__buf_2
*I *7322:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6843:B2 0.000377697
2 *6841:A1 8.49173e-05
3 *7547:A 0.00039886
4 *7322:Q 7.14857e-05
5 *983:19 0.00049977
6 *983:7 0.000507502
7 *6841:A1 *6841:B2 6.08467e-05
8 *6841:A1 *994:11 0.000530137
9 *6843:B2 *6843:A1 0.000326398
10 *6843:B2 *7577:A 0
11 *6843:B2 *1016:8 0
12 *6843:B2 *1078:8 0.000126455
13 *7547:A *7548:A 0
14 *7547:A *7577:A 0.00127364
15 *7547:A *7623:A 5.99621e-05
16 *7547:A *1078:8 2.652e-05
17 *983:7 *994:11 0.00011818
18 *983:19 *1078:8 2.39535e-05
19 sram_din0[11] *7547:A 4.26566e-05
20 *6841:A2 *6841:A1 9.51132e-06
21 *7322:D *6841:A1 0.000207177
22 *7468:A *7547:A 6.50727e-05
23 *610:34 *6843:B2 7.23432e-05
*RES
1 *7322:Q *983:7 15.0271
2 *983:7 *7547:A 30.0544
3 *983:7 *983:19 1.00149
4 *983:19 *6841:A1 20.0427
5 *983:19 *6843:B2 24.4758
*END
*D_NET *984 0.00389653
*CONN
*I *6845:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6843:A1 I *D sky130_fd_sc_hd__a22o_1
*I *7548:A I *D sky130_fd_sc_hd__buf_2
*I *7323:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6845:B2 0.000181991
2 *6843:A1 0.000275686
3 *7548:A 0.000395977
4 *7323:Q 4.18856e-05
5 *984:15 0.000502211
6 *984:7 0.000482397
7 *6845:B2 *7322:CLK 0
8 *6845:B2 *1096:280 0
9 *7548:A *7322:CLK 0
10 *7548:A *1067:8 0
11 *7548:A *1078:8 0
12 *984:15 *7322:CLK 0
13 *6843:B1 *6843:A1 7.2379e-05
14 *6843:B2 *6843:A1 0.000326398
15 *7547:A *7548:A 0
16 *571:36 *6843:A1 0.000779787
17 *571:36 *984:7 6.50727e-05
18 *587:38 *6845:B2 4.73463e-05
19 *587:38 *7548:A 7.50902e-05
20 *587:38 *984:15 1.03986e-05
21 *609:19 *6845:B2 0.000319954
22 *610:26 *6845:B2 0.000319954
*RES
1 *7323:Q *984:7 14.4725
2 *984:7 *7548:A 23.0557
3 *984:7 *984:15 1.00149
4 *984:15 *6843:A1 25.0823
5 *984:15 *6845:B2 20.7386
*END
*D_NET *985 0.0064161
*CONN
*I *6848:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6845:A1 I *D sky130_fd_sc_hd__a22o_1
*I *7549:A I *D sky130_fd_sc_hd__buf_2
*I *7324:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6848:A1 0.000985621
2 *6845:A1 0.000126038
3 *7549:A 0.000425767
4 *7324:Q 0
5 *985:6 0.000767318
6 *985:5 0.00120113
7 *6848:A1 *6846:A1 0.000111722
8 *6848:A1 *6850:A1 8.62625e-06
9 *6848:A1 *1016:8 0.000180355
10 *6848:A1 *1096:313 0.000377546
11 *6848:A1 *1123:39 1.00981e-05
12 *7549:A *7577:A 0.000162888
13 *7549:A *1016:8 0.000491283
14 *7549:A *1063:35 1.9101e-05
15 *7549:A *1089:14 0
16 *7549:A *1089:16 0
17 *7549:A *1122:14 0
18 *985:6 *1016:8 0.000429747
19 *6841:B1 *7549:A 3.40126e-05
20 *6842:A1 *6848:A1 3.85773e-05
21 *6842:A1 *985:6 0.000104502
22 *6842:B1 *7549:A 8.92568e-06
23 *6842:B2 *7549:A 0.000136288
24 *6842:B2 *985:6 8.11463e-06
25 *6844:B2 *985:6 6.34651e-06
26 *6863:B *6848:A1 0.000623477
27 *595:52 *6848:A1 0
28 *609:19 *6845:A1 0.000139747
29 *646:14 *6848:A1 1.88656e-05
*RES
1 *7324:Q *985:5 13.7491
2 *985:5 *985:6 8.06078
3 *985:6 *7549:A 26.7929
4 *985:6 *6845:A1 17.2456
5 *985:5 *6848:A1 42.9949
*END
*D_NET *986 0.00482858
*CONN
*I *6848:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6850:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7550:A I *D sky130_fd_sc_hd__buf_2
*I *7325:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6848:A0 0
2 *6850:A1 0.000237468
3 *7550:A 0.00084717
4 *7325:Q 0
5 *986:13 0.00055127
6 *986:4 0.00116097
7 *6850:A1 *6850:A0 6.92705e-05
8 *7550:A *1015:24 0
9 *7550:A *1100:14 0
10 *7550:A *1111:14 0.000133638
11 *986:13 *1110:50 0.000170553
12 *6756:A *7550:A 1.34849e-05
13 *6791:A *7550:A 3.5516e-05
14 *6848:A1 *6850:A1 8.62625e-06
15 *6863:B *7550:A 7.97954e-05
16 *6882:A1 *6850:A1 0.000217602
17 *595:52 *6850:A1 0
18 *611:13 *7550:A 0.000541521
19 *636:13 *6850:A1 0.000164829
20 *646:11 *986:13 6.08467e-05
21 *646:14 *6850:A1 2.95757e-05
22 *646:31 *6850:A1 0.000243633
23 *646:40 *6850:A1 0.000148144
24 *655:11 *7550:A 0.000114664
*RES
1 *7325:Q *986:4 9.24915
2 *986:4 *7550:A 40.4285
3 *986:4 *986:13 5.16022
4 *986:13 *6850:A1 27.312
5 *986:13 *6848:A0 9.24915
*END
*D_NET *987 0.00705066
*CONN
*I *6850:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6855:A1 I *D sky130_fd_sc_hd__o22a_1
*I *7551:A I *D sky130_fd_sc_hd__buf_2
*I *7326:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6850:A0 0.000335804
2 *6855:A1 1.98947e-05
3 *7551:A 0.000669951
4 *7326:Q 0.000576514
5 *987:14 0.00118284
6 *987:12 0.00140531
7 *7551:A *7552:A 1.30854e-05
8 *7551:A *1133:14 0
9 *7551:A *1133:18 0.000160609
10 *987:12 *1133:18 3.90758e-05
11 *987:14 *1133:18 9.37698e-05
12 sram_din0[14] *7551:A 5.56461e-05
13 *6750:A *987:14 4.77801e-05
14 *6809:A *7551:A 0.000578593
15 *6809:A *987:14 4.42142e-05
16 *6850:A1 *6850:A0 6.92705e-05
17 *6855:A2 *7551:A 6.9787e-05
18 *6855:A2 *987:14 0.000334613
19 *6855:B2 *6855:A1 6.08467e-05
20 *7501:A *7551:A 0.000330596
21 *529:43 *987:12 3.04007e-05
22 *589:68 *987:12 0.000140981
23 *605:6 *987:14 0.000239168
24 *606:30 *6855:A1 2.16355e-05
25 *609:18 *7551:A 7.39195e-05
26 *636:13 *6850:A0 0.000197314
27 *655:45 *7551:A 4.03102e-05
28 *978:12 *7551:A 4.97742e-05
29 *978:12 *987:12 3.874e-05
30 *978:12 *987:14 0.000130219
*RES
1 *7326:Q *987:12 24.4154
2 *987:12 *987:14 15.5353
3 *987:14 *7551:A 35.3457
4 *987:14 *6855:A1 14.4725
5 *987:12 *6850:A0 19.464
*END
*D_NET *988 0.0040526
*CONN
*I *6854:A I *D sky130_fd_sc_hd__and2_1
*I *7552:A I *D sky130_fd_sc_hd__buf_2
*I *7327:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6854:A 0.000548162
2 *7552:A 0.000339442
3 *7327:Q 4.51842e-05
4 *988:7 0.000932788
5 *6854:A *1111:13 0
6 *6854:A *1123:53 0
7 *7552:A *1100:12 3.33645e-05
8 sram_din0[15] *7552:A 0.000393386
9 *6859:B1 *6854:A 0
10 *6861:B1 *6854:A 0
11 *7501:A *7552:A 5.04829e-06
12 *7512:A *6854:A 0.000112174
13 *7512:A *7552:A 0.000261072
14 *7551:A *7552:A 1.30854e-05
15 *587:53 *988:7 6.08467e-05
16 *606:30 *6854:A 0
17 *619:34 *6854:A 0
18 *686:8 *6854:A 0
19 *978:7 *7552:A 0.000297897
20 *978:11 *7552:A 6.78364e-06
21 *978:12 *6854:A 0.000821169
22 *978:12 *7552:A 0.000182202
*RES
1 *7327:Q *988:7 14.4725
2 *988:7 *7552:A 27.5839
3 *988:7 *6854:A 29.2845
*END
*D_NET *989 0.0207018
*CONN
*I *7169:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7449:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7169:A1 0.000805155
2 *7449:X 0.000648675
3 *989:16 0.0022802
4 *989:14 0.00269336
5 *989:5 0.00186699
6 *7169:A1 *7183:A1 0
7 *7169:A1 *1011:18 0.00132776
8 *7169:A1 *1022:18 0
9 *989:5 *1011:7 1.41689e-05
10 *989:5 *1022:8 0.00101456
11 *989:5 *1055:11 0.00012426
12 *989:14 *6861:A1 2.23206e-05
13 *989:14 *1011:15 0.000278452
14 *989:14 *1011:18 0
15 *989:14 *1033:23 0.000256147
16 *989:14 *1063:24 0.000145456
17 *989:14 *1099:92 0.000417913
18 *989:16 *6745:A 0.000169093
19 *989:16 *7183:A1 0.000183897
20 *989:16 *1011:18 0.000865574
21 *989:16 *1099:92 0.000105084
22 *989:16 *1152:10 0.000259599
23 sram_din0[16] *989:14 4.42995e-05
24 *6861:A2 *989:14 4.52324e-05
25 *6897:A2 *989:16 0.000545102
26 *6902:B1 *989:16 0.000352008
27 *7169:B1 *7169:A1 3.42931e-05
28 *7329:D *989:14 0.000193395
29 *432:14 *7169:A1 6.01588e-05
30 *523:16 *989:16 0.000114725
31 *523:26 *989:16 5.93821e-05
32 *529:52 *989:16 7.14746e-05
33 *613:11 *989:16 0.00110095
34 *650:53 *989:14 0.000160384
35 *820:50 *7169:A1 7.65861e-05
36 *967:7 *989:5 0.00194469
37 *978:7 *989:5 0.00242042
*RES
1 *7449:X *989:5 46.0218
2 *989:5 *989:14 37.9522
3 *989:14 *989:16 55.8148
4 *989:16 *7169:A1 40.1909
*END
*D_NET *990 0.00943947
*CONN
*I *6804:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6810:B2 I *D sky130_fd_sc_hd__a22o_1
*I *7553:A I *D sky130_fd_sc_hd__buf_2
*I *7312:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6804:A0 0.000268781
2 *6810:B2 0
3 *7553:A 0.000227342
4 *7312:Q 0
5 *990:18 0.000764584
6 *990:17 0.00136889
7 *990:5 0.00110043
8 *6804:A0 *6801:A0 3.22726e-05
9 *6804:A0 *6801:A1 0.000123167
10 *6804:A0 *6804:A1 7.6719e-06
11 *6804:A0 *1008:11 0.000167076
12 *6804:A0 *1096:388 0
13 *6804:A0 *1096:397 0
14 *6804:A0 *1120:74 0.000171288
15 *7553:A *7313:CLK 0.00011818
16 *7553:A *1096:343 6.60341e-05
17 *990:17 *6801:A1 1.91391e-05
18 *990:17 *1123:60 4.4925e-05
19 *990:18 *7320:CLK 4.29463e-05
20 *990:18 *1096:343 0.000140636
21 sram_din0[16] *7553:A 3.25584e-05
22 *6770:A *990:17 6.08467e-05
23 *6801:S *6804:A0 0
24 *6803:B1 *990:17 1.48603e-05
25 *6810:A2 *7553:A 6.08076e-05
26 *6810:A2 *990:18 1.07248e-05
27 *6811:B1 *990:18 6.37856e-05
28 *6813:B1 *990:18 0
29 *6817:A1 *990:17 1.17108e-05
30 *7313:D *990:18 0
31 *577:46 *990:17 0.000169834
32 *611:25 *990:17 0.0017216
33 *623:8 *990:17 0.000224381
34 *626:57 *990:17 0.000333441
35 *636:68 *6804:A0 0.000373061
36 *650:53 *990:17 0.00116323
37 *655:45 *7553:A 0.00047703
38 *966:12 *6804:A0 5.82465e-05
*RES
1 *7312:Q *990:5 13.7491
2 *990:5 *990:17 37.1899
3 *990:17 *990:18 13.4591
4 *990:18 *7553:A 22.7199
5 *990:18 *6810:B2 13.7491
6 *990:5 *6804:A0 23.3694
*END
*D_NET *991 0.0028073
*CONN
*I *6812:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6810:A1 I *D sky130_fd_sc_hd__a22o_1
*I *7554:A I *D sky130_fd_sc_hd__buf_2
*I *7313:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6812:B2 0.000289093
2 *6810:A1 0.000108266
3 *7554:A 7.49707e-05
4 *7313:Q 0.000112228
5 *991:17 0.000488696
6 *991:7 0.000278535
7 *6810:A1 *1062:31 0.000318056
8 *6812:B2 *6815:B2 0
9 *6812:B2 *992:13 9.57856e-05
10 *7554:A *6815:B2 0
11 *991:17 *6815:B2 0
12 sram_din0[18] *6810:A1 0.000113968
13 sram_din0[18] *7554:A 2.18292e-05
14 sram_din0[18] *991:17 1.9946e-05
15 *6810:A2 *6810:A1 6.92705e-05
16 *587:60 *6812:B2 0
17 *641:41 *6810:A1 0.000636352
18 *655:65 *7554:A 0.000122378
19 *655:65 *991:7 5.79304e-05
*RES
1 *7313:Q *991:7 15.5817
2 *991:7 *7554:A 16.0286
3 *991:7 *991:17 1.832
4 *991:17 *6810:A1 22.237
5 *991:17 *6812:B2 20.9794
*END
*D_NET *992 0.00211218
*CONN
*I *6812:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6815:B2 I *D sky130_fd_sc_hd__a22o_1
*I *7555:A I *D sky130_fd_sc_hd__buf_2
*I *7314:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6812:A1 0
2 *6815:B2 0.000149443
3 *7555:A 0
4 *7314:Q 0.000158667
5 *992:13 0.000442689
6 *992:8 0.000451913
7 *6815:B2 *1062:31 0.000171273
8 *992:8 *1096:364 6.50727e-05
9 *992:13 *1062:31 0.000224395
10 sram_din0[18] *6815:B2 4.82966e-05
11 sram_din0[18] *992:13 0.000103943
12 sram_din0[19] *6815:B2 1.56321e-05
13 *6812:B1 *992:8 2.22198e-05
14 *6812:B1 *992:13 1.44611e-05
15 *6812:B2 *6815:B2 0
16 *6812:B2 *992:13 9.57856e-05
17 *6815:B1 *6815:B2 7.00732e-05
18 *6817:A2 *6815:B2 5.92192e-05
19 *6817:A2 *992:13 0
20 *7554:A *6815:B2 0
21 *606:43 *992:8 1.9101e-05
22 *623:10 *992:13 0
23 *625:12 *992:13 0
24 *991:17 *6815:B2 0
*RES
1 *7314:Q *992:8 17.135
2 *992:8 *992:13 13.7022
3 *992:13 *7555:A 9.24915
4 *992:13 *6815:B2 23.1595
5 *992:8 *6812:A1 13.7491
*END
*D_NET *993 0.00439654
*CONN
*I *6818:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6815:A1 I *D sky130_fd_sc_hd__a22o_1
*I *7556:A I *D sky130_fd_sc_hd__buf_2
*I *7315:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6818:B2 9.3049e-05
2 *6815:A1 0
3 *7556:A 0.000277996
4 *7315:Q 0.000632207
5 *993:11 0.000646335
6 *993:5 0.00109359
7 *6818:B2 *7315:CLK 5.09396e-05
8 sram_din0[19] *7556:A 0.000271281
9 *6817:A1 *6818:B2 0
10 *6817:A2 *7556:A 7.77309e-06
11 *6817:B1 *7556:A 2.11802e-05
12 *6817:B2 *7556:A 8.46481e-05
13 *6818:A2 *993:11 6.30852e-05
14 *6819:A2 *7556:A 5.04829e-06
15 *7315:D *6818:B2 0
16 *7315:D *7556:A 0
17 *7315:D *993:5 0.000234754
18 *7315:D *993:11 0.000277502
19 *571:43 *7556:A 0.000377259
20 *623:10 *7556:A 1.69093e-05
21 *625:13 *7556:A 0.000228593
22 *655:65 *993:11 1.43848e-05
*RES
1 *7315:Q *993:5 18.8462
2 *993:5 *993:11 8.12615
3 *993:11 *7556:A 28.6999
4 *993:11 *6815:A1 9.24915
5 *993:5 *6818:B2 20.4964
*END
*D_NET *994 0.0249702
*CONN
*I *6861:A1 I *D sky130_fd_sc_hd__o221a_1
*I *6864:B2 I *D sky130_fd_sc_hd__a221o_1
*I *7557:A I *D sky130_fd_sc_hd__buf_2
*I *7329:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6861:A1 0.000234674
2 *6864:B2 0.000179482
3 *7557:A 0.000246018
4 *7329:Q 0
5 *994:37 0.00300897
6 *994:36 0.00301672
7 *994:32 0.00148007
8 *994:26 0.00280425
9 *994:11 0.00361518
10 *994:4 0.00209242
11 *6861:A1 *1011:15 0.000200831
12 *6861:A1 *1062:31 0.000157014
13 *6864:B2 *1006:29 5.22859e-06
14 *6864:B2 *1010:21 5.22859e-06
15 *994:11 *1096:325 0.000342515
16 *994:26 *1012:11 0.000219712
17 *994:26 *1063:35 5.57686e-05
18 *994:26 *1063:47 6.12066e-05
19 *994:32 *7623:A 5.04686e-05
20 *994:32 *1013:25 9.08675e-05
21 *994:37 *1054:11 6.44576e-05
22 *994:37 *1057:9 0.00299983
23 sram_addr0[8] *994:32 2.52287e-06
24 sram_addr1[7] *994:32 0.000328363
25 sram_din0[13] *994:11 0.000171288
26 sram_din0[16] *6861:A1 0.000164829
27 sram_din0[1] *7557:A 0
28 sram_din0[7] *6864:B2 7.84346e-05
29 sram_din0[7] *994:36 0.000388269
30 sram_din0[8] *994:32 0.00033061
31 *6841:A1 *994:11 0.000530137
32 *6841:A2 *994:11 0.000318638
33 *6861:B1 *6861:A1 0
34 *6871:B1 *6864:B2 5.33266e-05
35 *6871:C1 *6864:B2 0.000167579
36 *6872:A *6864:B2 0
37 *6872:B *6864:B2 2.29201e-05
38 *6872:B *994:32 1.9101e-05
39 *6872:B *994:36 2.64093e-05
40 *6873:A *6864:B2 4.3116e-06
41 *7322:D *994:11 1.9644e-05
42 *7322:D *994:26 7.96517e-05
43 *7329:D *6861:A1 0.000139435
44 *7450:A *7557:A 0
45 *7470:A *6864:B2 0
46 *7470:A *994:36 0
47 *7471:A *994:26 0.00022619
48 *7472:A *994:26 5.67857e-05
49 *7540:A *994:36 0
50 *160:13 *994:37 0
51 *587:53 *994:11 0.000111963
52 *610:12 *6864:B2 9.22013e-06
53 *641:31 *6861:A1 0.000123754
54 *655:11 *994:11 0.000205985
55 *655:45 *6861:A1 9.14669e-05
56 *655:45 *994:11 0.000195119
57 *692:22 *6864:B2 1.07248e-05
58 *975:12 *7557:A 0
59 *975:15 *994:37 0
60 *976:19 *994:32 2.20702e-05
61 *983:7 *994:11 0.00011818
62 *989:14 *6861:A1 2.23206e-05
*RES
1 *7329:Q *994:4 9.24915
2 *994:4 *994:11 40.3173
3 *994:11 *994:26 39.8107
4 *994:26 *994:32 22.4183
5 *994:32 *994:36 11.9379
6 *994:36 *994:37 94.4514
7 *994:37 *7557:A 24.2337
8 *994:32 *6864:B2 19.2856
9 *994:4 *6861:A1 27.4864
*END
*D_NET *995 0.00607634
*CONN
*I *6823:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7558:A I *D sky130_fd_sc_hd__buf_2
*I *6818:A1 I *D sky130_fd_sc_hd__a22o_1
*I *7316:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6823:A1 0.000891405
2 *7558:A 0
3 *6818:A1 0.00016136
4 *7316:Q 0
5 *995:9 0.000383629
6 *995:5 0.00111367
7 *6818:A1 *7315:CLK 4.40272e-05
8 *6818:A1 *1063:24 0.000128946
9 *6823:A1 *7559:A 0.00115713
10 *6823:A1 *996:8 0.000208976
11 *6823:A1 *1096:388 0.000221769
12 *6823:A1 *1096:397 0.000157788
13 *6823:A1 *1096:475 0.000242134
14 *6823:A1 *1096:485 0.000352694
15 *995:9 *7559:A 0.000255194
16 *995:9 *1063:24 5.56461e-05
17 *6792:B1 *6818:A1 0.0002817
18 *6792:B1 *995:9 0.000318644
19 *6818:A2 *6818:A1 5.37648e-05
20 *6818:B1 *6818:A1 1.84721e-05
21 *6823:S *6823:A1 2.93844e-05
*RES
1 *7316:Q *995:5 13.7491
2 *995:5 *995:9 12.7352
3 *995:9 *6818:A1 24.828
4 *995:9 *7558:A 9.24915
5 *995:5 *6823:A1 45.1288
*END
*D_NET *996 0.00735453
*CONN
*I *6823:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6827:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7559:A I *D sky130_fd_sc_hd__buf_2
*I *7317:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6823:A0 0.000166729
2 *6827:A1 4.45914e-05
3 *7559:A 0.00108908
4 *7317:Q 0.000739991
5 *996:20 0.000588073
6 *996:8 0.00220583
7 *6823:A0 *6829:A1 8.68133e-05
8 *6827:A1 *997:18 6.08467e-05
9 *996:8 *1096:485 2.04806e-05
10 *996:20 *997:18 0.000625682
11 sram_din0[20] *7559:A 0
12 sram_din0[21] *7559:A 2.85274e-05
13 *6823:A1 *7559:A 0.00115713
14 *6823:A1 *996:8 0.000208976
15 *6827:S *6823:A0 7.65861e-05
16 *618:20 *7559:A 0
17 *619:14 *7559:A 0
18 *619:14 *996:8 0
19 *966:12 *6823:A0 0
20 *966:12 *996:8 0
21 *995:9 *7559:A 0.000255194
*RES
1 *7317:Q *996:8 30.15
2 *996:8 *7559:A 44.9597
3 *996:8 *996:20 11.324
4 *996:20 *6827:A1 9.97254
5 *996:20 *6823:A0 22.9879
*END
*D_NET *997 0.00624908
*CONN
*I *6827:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6829:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7560:A I *D sky130_fd_sc_hd__buf_2
*I *7318:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6827:A0 0
2 *6829:A1 0.000346342
3 *7560:A 0.000770629
4 *7318:Q 4.85187e-05
5 *997:18 0.000970854
6 *997:7 0.00144366
7 *7560:A *7309:CLK 0
8 *6793:B2 *7560:A 8.70332e-05
9 *6823:A0 *6829:A1 8.68133e-05
10 *6823:S *6829:A1 9.75356e-05
11 *6827:A1 *997:18 6.08467e-05
12 *6827:S *6829:A1 5.92342e-05
13 *6827:S *997:18 2.16355e-05
14 *502:9 *997:18 0.000331942
15 *529:54 *6829:A1 0.000217923
16 *618:20 *7560:A 0
17 *618:20 *997:18 0
18 *618:24 *7560:A 0.000401434
19 *619:8 *7560:A 0.000538009
20 *619:8 *997:18 8.16827e-05
21 *619:14 *997:7 1.43848e-05
22 *625:34 *7560:A 3.18543e-05
23 *625:36 *7560:A 1.30635e-05
24 *668:18 *6829:A1 0
25 *966:12 *6829:A1 0
26 *996:20 *997:18 0.000625682
*RES
1 *7318:Q *997:7 14.4725
2 *997:7 *7560:A 36.3438
3 *997:7 *997:18 24.1059
4 *997:18 *6829:A1 27.0361
5 *997:18 *6827:A0 9.24915
*END
*D_NET *998 0.0125758
*CONN
*I *7561:A I *D sky130_fd_sc_hd__buf_2
*I *6829:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6833:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7319:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7561:A 0
2 *6829:A0 0
3 *6833:A1 0.00111137
4 *7319:Q 2.71498e-05
5 *998:39 0.00144544
6 *998:16 0.00111137
7 *998:14 0.0012436
8 *998:5 0.00271618
9 *6833:A1 *1015:9 7.50872e-05
10 *998:5 *1096:992 2.65667e-05
11 *998:39 *6771:B2 3.00073e-05
12 *998:39 *6789:B2 0
13 *998:39 *7562:A 0.000278512
14 *998:39 *999:6 0.000137726
15 *998:39 *1004:32 2.02035e-05
16 *998:39 *1008:41 0.000122378
17 *998:39 *1062:28 5.39635e-06
18 *998:39 *1063:20 4.69495e-06
19 *998:39 *1096:992 0.000214838
20 *998:39 *1117:68 0
21 sram_din0[24] *998:39 0
22 *6757:A2 *998:39 0
23 *6768:B1 *998:39 0
24 *6789:A2 *998:39 6.69303e-05
25 *6823:S *998:14 6.50586e-05
26 *7306:D *998:39 0.000118166
27 *273:17 *998:39 1.11047e-05
28 *529:54 *6833:A1 0.00158164
29 *586:63 *6833:A1 0.000215704
30 *607:16 *998:39 0
31 *626:57 *998:39 1.96152e-05
32 *664:42 *998:14 0.000171288
33 *965:13 *998:14 0.00114389
34 *965:17 *998:14 0.000611863
*RES
1 *7319:Q *998:5 9.97254
2 *998:5 *998:14 49.0789
3 *998:14 *998:16 4.5
4 *998:16 *6833:A1 38.86
5 *998:16 *6829:A0 9.24915
6 *998:5 *998:39 47.9989
7 *998:39 *7561:A 9.24915
*END
*D_NET *999 0.00560153
*CONN
*I *6766:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6757:A1 I *D sky130_fd_sc_hd__a22o_1
*I *7562:A I *D sky130_fd_sc_hd__buf_2
*I *7304:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6766:B2 0
2 *6757:A1 0.000150147
3 *7562:A 0.000530321
4 *7304:Q 0.000240772
5 *999:22 0.000568824
6 *999:6 0.00118977
7 *6757:A1 *1008:41 0.000134323
8 *6757:A1 *1096:412 2.50362e-05
9 *7562:A *1004:32 6.89789e-05
10 *7562:A *1062:28 0.000317707
11 *7562:A *1063:9 0.000606321
12 *7562:A *1096:415 0
13 *7562:A *1096:420 0
14 *999:6 *1007:33 0
15 *999:6 *1096:415 0
16 *999:22 *6766:A1 0.000479276
17 *999:22 *1117:68 9.80242e-07
18 *6757:B1 *6757:A1 0.00017729
19 *6768:A1 *999:6 0.000137936
20 *6771:A2 *7562:A 0.000191541
21 *273:17 *7562:A 0.000141903
22 *611:45 *6757:A1 0.000111722
23 *611:45 *999:22 0.000112448
24 *998:39 *7562:A 0.000278512
25 *998:39 *999:6 0.000137726
*RES
1 *7304:Q *999:6 20.5642
2 *999:6 *7562:A 33.3599
3 *999:6 *999:22 12.3127
4 *999:22 *6757:A1 23.4354
5 *999:22 *6766:B2 9.24915
*END
*D_NET *1000 0.0046583
*CONN
*I *7059:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7450:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7059:A1 0
2 *7450:X 0.00165735
3 *1000:9 0.00165735
4 *1000:9 *6625:A 0.00026821
5 *1000:9 *1135:36 2.33103e-06
6 *1000:9 *1174:28 0
7 *1000:9 *1182:9 4.97328e-05
8 *7207:A2 *1000:9 0
9 *7430:D *1000:9 0.000413252
10 *438:66 *1000:9 0.000459901
11 *515:6 *1000:9 7.50722e-05
12 *834:18 *1000:9 0
13 *981:32 *1000:9 7.50872e-05
*RES
1 *7450:X *1000:9 48.6573
2 *1000:9 *7059:A1 9.24915
*END
*D_NET *1001 0.00806518
*CONN
*I *6766:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6771:B2 I *D sky130_fd_sc_hd__a22o_1
*I *7563:A I *D sky130_fd_sc_hd__buf_2
*I *7305:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6766:A1 0.000784997
2 *6771:B2 0.00109014
3 *7563:A 0.000348395
4 *7305:Q 0.000189346
5 *1001:8 0.00185928
6 *1001:6 0.00139509
7 *6766:A1 *6787:A1 0.000768333
8 *6766:A1 *1117:68 7.46057e-06
9 *6771:B2 *6771:A1 4.43897e-05
10 *6771:B2 *6794:B2 4.13612e-06
11 *7563:A *7308:CLK 0
12 *7563:A *7564:A 0.00011792
13 *7563:A *1002:8 9.46346e-05
14 sram_din0[25] *7563:A 0.000212554
15 *6766:A2 *6766:A1 7.89268e-05
16 *6773:B1 *6771:B2 2.15348e-05
17 *6787:A2 *6766:A1 3.14978e-05
18 *6788:B *6766:A1 0.000155017
19 *6789:A2 *6771:B2 7.86847e-05
20 *6794:B1 *6771:B2 1.31657e-05
21 *6795:B1 *1001:6 0
22 *6795:B1 *1001:8 0
23 *6796:A *1001:6 0
24 *7307:D *1001:8 0
25 *273:17 *6771:B2 0.000260388
26 *998:39 *6771:B2 3.00073e-05
27 *999:22 *6766:A1 0.000479276
*RES
1 *7305:Q *1001:6 17.2421
2 *1001:6 *1001:8 10.137
3 *1001:8 *7563:A 24.4786
4 *1001:8 *6771:B2 39.8636
5 *1001:6 *6766:A1 32.9674
*END
*D_NET *1002 0.00497566
*CONN
*I *7564:A I *D sky130_fd_sc_hd__buf_2
*I *6786:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6771:A1 I *D sky130_fd_sc_hd__a22o_1
*I *7306:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7564:A 0.000476024
2 *6786:B2 6.24391e-05
3 *6771:A1 0.000242992
4 *7306:Q 0
5 *1002:8 0.00112307
6 *1002:4 0.00129367
7 *6786:B2 *1007:33 0
8 *6786:B2 *1096:420 0.000139532
9 *7564:A *7566:A 2.85274e-05
10 *7564:A *1008:49 3.2804e-05
11 *1002:8 *6794:B2 0.000313195
12 *1002:8 *7308:CLK 5.64335e-05
13 *1002:8 *1007:33 0
14 *1002:8 *1008:49 1.98847e-05
15 *1002:8 *1096:420 0.00033924
16 sram_din0[25] *7564:A 2.01874e-05
17 *6771:A2 *6771:A1 6.08467e-05
18 *6771:B2 *6771:A1 4.43897e-05
19 *6773:B1 *6771:A1 4.56667e-05
20 *6794:B1 *6786:B2 5.39608e-05
21 *7306:D *1002:8 0.000410239
22 *7563:A *7564:A 0.00011792
23 *7563:A *1002:8 9.46346e-05
*RES
1 *7306:Q *1002:4 9.24915
2 *1002:4 *1002:8 22.1606
3 *1002:8 *6771:A1 19.4881
4 *1002:8 *6786:B2 16.4116
5 *1002:4 *7564:A 17.6164
*END
*D_NET *1003 0.00772289
*CONN
*I *7565:A I *D sky130_fd_sc_hd__buf_2
*I *6786:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6789:B2 I *D sky130_fd_sc_hd__a22o_1
*I *7307:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7565:A 0.000638177
2 *6786:A1 0
3 *6789:B2 0.00097583
4 *7307:Q 0
5 *1003:10 0.00232881
6 *1003:4 0.00199116
7 *6789:B2 *1004:32 4.82966e-05
8 *6789:B2 *1008:41 2.3681e-05
9 *6789:B2 *1062:28 4.32866e-05
10 *6789:B2 *1096:420 9.24772e-05
11 *7565:A *7566:A 0.000434578
12 *7565:A *1004:32 0
13 *7565:A *1007:8 0
14 *1003:10 *7307:CLK 0.000261814
15 *1003:10 *1007:33 0.000165495
16 *1003:10 *1096:420 0
17 sram_din0[24] *6789:B2 8.62625e-06
18 *6771:A2 *6789:B2 4.01573e-05
19 *6787:B1 *1003:10 3.00073e-05
20 *6789:A2 *6789:B2 4.35719e-05
21 *6790:B1 *6789:B2 3.40867e-05
22 *6794:B1 *1003:10 3.31882e-05
23 *7306:D *6789:B2 5.26029e-05
24 *7307:D *1003:10 4.05943e-06
25 *273:17 *6789:B2 0.000207542
26 *606:61 *6789:B2 0.000211324
27 *637:51 *1003:10 5.41227e-05
28 *998:39 *6789:B2 0
*RES
1 *7307:Q *1003:4 9.24915
2 *1003:4 *1003:10 31.6577
3 *1003:10 *6789:B2 48.0198
4 *1003:10 *6786:A1 9.24915
5 *1003:4 *7565:A 35.0681
*END
*D_NET *1004 0.00936286
*CONN
*I *6789:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6792:B2 I *D sky130_fd_sc_hd__a22o_1
*I *7566:A I *D sky130_fd_sc_hd__buf_2
*I *7308:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6789:A1 1.47709e-05
2 *6792:B2 0.000260351
3 *7566:A 0.000466474
4 *7308:Q 0
5 *1004:32 0.0011204
6 *1004:5 0.00131175
7 *6792:B2 *1055:11 0
8 *6792:B2 *1062:28 0.00085475
9 *7566:A *7567:A 0.000126027
10 *7566:A *1008:49 0.00165605
11 *1004:32 *6794:B2 1.9101e-05
12 *1004:32 *7567:A 0.000288819
13 *1004:32 *1007:14 4.69495e-06
14 *1004:32 *1055:11 0
15 *1004:32 *1062:28 0.00213899
16 *6789:B2 *1004:32 4.82966e-05
17 *6793:A2 *6792:B2 2.27135e-05
18 *7306:D *6789:A1 7.86825e-06
19 *7562:A *1004:32 6.89789e-05
20 *7564:A *7566:A 2.85274e-05
21 *7565:A *7566:A 0.000434578
22 *7565:A *1004:32 0
23 *273:17 *1004:32 0.000469513
24 *998:39 *1004:32 2.02035e-05
*RES
1 *7308:Q *1004:5 13.7491
2 *1004:5 *7566:A 34.3519
3 *1004:5 *1004:32 29.7803
4 *1004:32 *6792:B2 18.6173
5 *1004:32 *6789:A1 17.4965
*END
*D_NET *1005 0.00952203
*CONN
*I *6794:B2 I *D sky130_fd_sc_hd__a22o_1
*I *7567:A I *D sky130_fd_sc_hd__buf_2
*I *6792:A1 I *D sky130_fd_sc_hd__a22o_1
*I *7309:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6794:B2 0.000262392
2 *7567:A 0.00230806
3 *6792:A1 0.000359118
4 *7309:Q 0
5 *1005:20 0.00320561
6 *1005:4 0.000994278
7 *6792:A1 *1008:41 0.000222316
8 *6794:B2 *1007:14 8.01418e-05
9 *6794:B2 *1096:420 1.70077e-05
10 *7567:A *1008:49 0.000146918
11 *7567:A *1055:11 0.000262547
12 *7567:A *1062:28 4.28383e-06
13 *1005:20 *1007:14 8.78565e-06
14 *1005:20 *1008:41 0.000253156
15 sram_din0[23] *6792:A1 7.44302e-05
16 sram_din0[23] *1005:20 0.000441022
17 sram_din0[24] *6794:B2 0
18 sram_din0[24] *1005:20 0
19 *6771:B2 *6794:B2 4.13612e-06
20 *6790:B1 *6792:A1 4.26431e-05
21 *6793:A2 *6792:A1 0
22 *6793:B1 *6792:A1 8.80509e-05
23 *7566:A *7567:A 0.000126027
24 *1002:8 *6794:B2 0.000313195
25 *1004:32 *6794:B2 1.9101e-05
26 *1004:32 *7567:A 0.000288819
*RES
1 *7309:Q *1005:4 9.24915
2 *1005:4 *6792:A1 27.4864
3 *1005:4 *1005:20 16.0001
4 *1005:20 *7567:A 27.2202
5 *1005:20 *6794:B2 21.4764
*END
*D_NET *1006 0.0146573
*CONN
*I *6870:B2 I *D sky130_fd_sc_hd__o221a_1
*I *6864:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7568:A I *D sky130_fd_sc_hd__buf_2
*I *7330:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6870:B2 0.000428661
2 *6864:A1 3.61781e-05
3 *7568:A 2.06324e-05
4 *7330:Q 2.18332e-05
5 *1006:29 0.0019866
6 *1006:7 0.00140021
7 *1006:5 0.00292317
8 *6870:B2 *1009:24 0.000777735
9 *6870:B2 *1012:24 9.97145e-05
10 *1006:7 *7330:CLK 6.50727e-05
11 *1006:7 *7572:A 0.000733862
12 *1006:7 *7618:A 0.000694075
13 *1006:7 *7619:A 0.000248832
14 *1006:7 *1137:21 8.5686e-05
15 *1006:7 *1138:13 9.25607e-05
16 *1006:29 *6874:B2 1.21461e-06
17 *1006:29 *7621:A 0.00048906
18 *1006:29 *7622:A 8.62625e-06
19 *1006:29 *1009:24 8.18934e-05
20 *1006:29 *1009:28 6.25467e-05
21 *1006:29 *1010:21 5.42227e-05
22 *1006:29 *1013:25 0.000157874
23 *1006:29 *1138:13 0.000428134
24 sram_addr0[3] *1006:7 0.000224395
25 sram_addr0[4] *1006:7 0.000171288
26 sram_addr1[3] *1006:7 0.000324166
27 sram_addr1[4] *1006:7 0.000277502
28 sram_addr1[7] *1006:29 6.50727e-05
29 sram_din0[2] *1006:7 2.85274e-05
30 sram_din0[4] *1006:7 0.000383717
31 *6864:B2 *1006:29 5.22859e-06
32 *6865:B *6864:A1 1.05272e-06
33 *6865:B *6870:B2 0.000189126
34 *6871:A2 *6864:A1 0
35 *6872:A *1006:29 6.96573e-05
36 *6874:B1 *6870:B2 2.41483e-05
37 *6874:C1 *6870:B2 0.00056613
38 *7330:D *1006:7 0.000599096
39 *7470:A *6864:A1 0
40 *7470:A *6870:B2 0.000164829
41 *7539:A *1006:29 2.42273e-05
42 *610:12 *6864:A1 4.40272e-05
43 *692:22 *6870:B2 0
44 *695:27 *6870:B2 1.00846e-05
45 *697:15 *6870:B2 5.08751e-05
46 *976:19 *1006:29 7.58595e-05
47 *982:15 *1006:29 0.000459915
*RES
1 *7330:Q *1006:5 9.82786
2 *1006:5 *1006:7 49.5285
3 *1006:7 *7568:A 9.82786
4 *1006:5 *1006:29 49.0044
5 *1006:29 *6864:A1 14.7506
6 *1006:29 *6870:B2 32.6639
*END
*D_NET *1007 0.0174535
*CONN
*I *7569:A I *D sky130_fd_sc_hd__buf_2
*I *6801:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6794:A1 I *D sky130_fd_sc_hd__a22o_1
*I *7310:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7569:A 0.00130732
2 *6801:A1 0.000395441
3 *6794:A1 0
4 *7310:Q 0.00106381
5 *1007:33 0.00168452
6 *1007:14 0.00266374
7 *1007:8 0.00374579
8 *6801:A1 *1117:68 0.0016007
9 *1007:14 *7308:CLK 0
10 *1007:14 *1054:10 9.35153e-05
11 *1007:33 *1008:41 1.72464e-05
12 *1007:33 *1096:412 4.3491e-05
13 *1007:33 *1096:415 3.94229e-05
14 sram_din0[24] *1007:14 0
15 sram_din0[27] *1007:8 5.04879e-05
16 sram_din0[30] *7569:A 9.91731e-05
17 *6757:A2 *1007:33 0.000174378
18 *6765:A1 *1007:33 1.07248e-05
19 *6768:A1 *1007:33 0
20 *6768:A2 *1007:33 1.5006e-05
21 *6768:B1 *1007:33 8.07811e-05
22 *6786:B2 *1007:33 0
23 *6787:A2 *1007:33 6.76864e-05
24 *6787:B1 *1007:33 0.000195427
25 *6794:A2 *1007:14 1.07248e-05
26 *6794:A2 *1007:33 0.000179271
27 *6794:B1 *1007:33 3.94997e-05
28 *6794:B2 *1007:14 8.01418e-05
29 *6795:B1 *1007:14 1.78514e-05
30 *6795:B1 *1007:33 4.25423e-05
31 *6804:A0 *6801:A1 0.000123167
32 *7305:D *1007:33 0.000742567
33 *7455:A *1007:14 0.00019069
34 *7456:A *1007:14 5.07314e-05
35 *7458:A *7569:A 6.92705e-05
36 *7458:A *1007:14 6.75302e-05
37 *7459:A *7569:A 6.50727e-05
38 *7460:A *7569:A 6.50727e-05
39 *7461:A *7569:A 6.50727e-05
40 *7565:A *1007:8 0
41 *611:31 *6801:A1 0.00187592
42 *611:45 *6801:A1 0.000221563
43 *990:17 *6801:A1 1.91391e-05
44 *999:6 *1007:33 0
45 *1002:8 *1007:33 0
46 *1003:10 *1007:33 0.000165495
47 *1004:32 *1007:14 4.69495e-06
48 *1005:20 *1007:14 8.78565e-06
*RES
1 *7310:Q *1007:8 43.7505
2 *1007:8 *1007:14 36.5988
3 *1007:14 *6794:A1 13.7491
4 *1007:14 *1007:33 44.4243
5 *1007:33 *6801:A1 44.9819
6 *1007:8 *7569:A 41.6091
*END
*D_NET *1008 0.0176572
*CONN
*I *7570:A I *D sky130_fd_sc_hd__buf_2
*I *6804:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6801:A0 I *D sky130_fd_sc_hd__mux2_1
*I *7311:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7570:A 0.000574661
2 *6804:A1 7.13509e-05
3 *6801:A0 0.000159442
4 *7311:Q 7.09058e-05
5 *1008:49 0.00211309
6 *1008:41 0.00287676
7 *1008:11 0.000585371
8 *1008:5 0.00176382
9 *6801:A0 *1120:74 8.62625e-06
10 *6804:A1 *1120:74 5.0715e-05
11 *1008:11 *6795:A1 0.00134759
12 *1008:11 *1096:404 0.00103764
13 *1008:41 *1096:412 8.62625e-06
14 *1008:41 *1096:420 0.000169041
15 *1008:49 *7308:CLK 7.06457e-05
16 *1008:49 *1096:420 3.61993e-05
17 sram_din0[23] *1008:41 0.000489932
18 sram_din0[25] *1008:49 0.000583127
19 sram_din0[26] *1008:49 0.00033061
20 sram_din0[27] *1008:49 0.000171288
21 sram_din0[28] *1008:49 0.000171288
22 sram_din0[29] *7570:A 7.48797e-05
23 *6757:A1 *1008:41 0.000134323
24 *6768:A2 *1008:5 0.000118166
25 *6768:A2 *1008:41 0.000685233
26 *6773:A2 *1008:41 1.91246e-05
27 *6789:B1 *1008:41 0
28 *6789:B2 *1008:41 2.3681e-05
29 *6790:B1 *1008:41 0
30 *6792:A1 *1008:41 0.000222316
31 *6792:A2 *1008:41 0
32 *6801:S *6801:A0 3.14978e-05
33 *6804:A0 *6801:A0 3.22726e-05
34 *6804:A0 *6804:A1 7.6719e-06
35 *6804:A0 *1008:11 0.000167076
36 *6806:B1 *6804:A1 0
37 *7306:D *1008:41 0.000401508
38 *7464:A *7570:A 0.000273917
39 *7564:A *1008:49 3.2804e-05
40 *7566:A *1008:49 0.00165605
41 *7567:A *1008:49 0.000146918
42 *276:19 *6801:A0 6.50727e-05
43 *607:16 *1008:41 0
44 *611:48 *1008:41 0.000145165
45 *617:9 *6804:A1 0.000175485
46 *617:9 *1008:11 7.68538e-06
47 *619:8 *1008:11 5.65445e-05
48 *636:68 *1008:11 7.64557e-05
49 *998:39 *1008:41 0.000122378
50 *1002:8 *1008:49 1.98847e-05
51 *1005:20 *1008:41 0.000253156
52 *1007:33 *1008:41 1.72464e-05
*RES
1 *7311:Q *1008:5 10.5271
2 *1008:5 *1008:11 28.4666
3 *1008:11 *6801:A0 22.0503
4 *1008:11 *6804:A1 12.6491
5 *1008:5 *1008:41 49.5645
6 *1008:41 *1008:49 39.4854
7 *1008:49 *7570:A 26.5263
*END
*D_NET *1009 0.018041
*CONN
*I *6870:A1 I *D sky130_fd_sc_hd__o221a_1
*I *6871:B2 I *D sky130_fd_sc_hd__a221o_1
*I *7571:A I *D sky130_fd_sc_hd__buf_2
*I *7331:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6870:A1 5.62462e-05
2 *6871:B2 0.000156443
3 *7571:A 0.000117742
4 *7331:Q 0.00065013
5 *1009:29 0.000745846
6 *1009:28 0.000889389
7 *1009:24 0.00187973
8 *1009:10 0.00216838
9 *6871:B2 *1063:47 6.03713e-05
10 *6871:B2 *1063:57 7.68538e-06
11 *7571:A *1058:14 3.44066e-05
12 *1009:10 *1012:11 9.9655e-05
13 *1009:10 *1062:33 2.9373e-05
14 *1009:24 *7622:A 0.000123376
15 *1009:24 *1012:24 1.68741e-05
16 *1009:24 *1062:50 0.000148921
17 *1009:28 *7622:A 1.79196e-05
18 *1009:29 *1058:9 0.000326836
19 *1009:29 *1060:9 0.00323371
20 sram_addr0[7] *1009:28 0
21 sram_addr1[7] *1009:28 0.000187483
22 *6865:B *1009:24 8.90486e-05
23 *6870:A2 *1009:24 1.43983e-05
24 *6870:B2 *1009:24 0.000777735
25 *6872:A *1009:28 0
26 *6873:A *6871:B2 0.000103139
27 *6874:A2 *1009:10 2.58814e-05
28 *7331:D *1009:10 8.21567e-05
29 *7465:A *7571:A 0
30 *7469:A *1009:28 0
31 *502:36 *1009:24 3.14281e-05
32 *694:13 *1009:24 0.000368568
33 *695:27 *1009:24 9.80242e-07
34 *975:15 *1009:29 0.00509624
35 *976:9 *1009:24 0.000113968
36 *976:19 *6871:B2 0.000211659
37 *976:19 *1009:24 4.69495e-06
38 *982:15 *1009:10 2.6113e-05
39 *1006:29 *1009:24 8.18934e-05
40 *1006:29 *1009:28 6.25467e-05
*RES
1 *7331:Q *1009:10 29.6754
2 *1009:10 *1009:24 41.251
3 *1009:24 *1009:28 11.315
4 *1009:28 *1009:29 54.5199
5 *1009:29 *7571:A 20.9116
6 *1009:24 *6871:B2 19.1083
7 *1009:10 *6870:A1 10.6477
*END
*D_NET *1010 0.00913253
*CONN
*I *6874:B2 I *D sky130_fd_sc_hd__o221a_1
*I *6871:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7572:A I *D sky130_fd_sc_hd__buf_2
*I *7332:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6874:B2 0.000762596
2 *6871:A1 6.57592e-05
3 *7572:A 0.000144001
4 *7332:Q 0
5 *1010:21 0.00134321
6 *1010:14 0.00158998
7 *1010:4 0.00196084
8 *6874:B2 *1012:16 4.64717e-05
9 *7572:A *1138:13 0.000173284
10 *1010:14 *7330:CLK 3.60268e-05
11 *1010:14 *7573:A 0.000141291
12 *1010:14 *7622:A 1.21461e-06
13 *1010:14 *1059:16 0
14 *1010:14 *1096:148 0.000151428
15 *1010:14 *1096:180 0.000113968
16 *1010:14 *1096:192 5.08751e-05
17 *1010:21 *7622:A 2.32967e-05
18 sram_addr0[5] *1010:14 0
19 sram_addr1[5] *1010:14 0.000137693
20 sram_din0[5] *1010:14 0.000171288
21 *6864:A2 *6874:B2 8.69374e-05
22 *6864:B2 *1010:21 5.22859e-06
23 *6871:A2 *6871:A1 0
24 *6871:B1 *6871:A1 9.60216e-05
25 *6874:A2 *6874:B2 5.85233e-05
26 *6874:B1 *6874:B2 8.39941e-06
27 *6874:C1 *6874:B2 2.82583e-05
28 *7332:D *1010:14 0.000223132
29 *7467:A *1010:14 0.000370829
30 *605:40 *6874:B2 0.000240367
31 *694:13 *1010:14 0.000207756
32 *982:15 *6874:B2 3.56969e-06
33 *982:15 *1010:14 9.92328e-05
34 *982:15 *1010:21 1.75155e-06
35 *1006:7 *7572:A 0.000733862
36 *1006:29 *6874:B2 1.21461e-06
37 *1006:29 *1010:21 5.42227e-05
*RES
1 *7332:Q *1010:4 9.24915
2 *1010:4 *1010:14 44.6009
3 *1010:14 *7572:A 17.1824
4 *1010:4 *1010:21 7.37864
5 *1010:21 *6871:A1 20.0811
6 *1010:21 *6874:B2 23.277
*END
*D_NET *1011 0.0226605
*CONN
*I *7174:A1 I *D sky130_fd_sc_hd__a221o_2
*I *7451:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7174:A1 4.70001e-05
2 *7451:X 0.000599805
3 *1011:18 0.00235922
4 *1011:17 0.00231222
5 *1011:15 0.00102109
6 *1011:7 0.00162089
7 *1011:7 *1022:8 0.00149253
8 *1011:7 *1033:17 1.15389e-05
9 *1011:7 *1055:11 0.000370154
10 *1011:15 *1022:14 0.00164512
11 *1011:15 *1033:17 0.000317693
12 *1011:15 *1033:23 0.0002646
13 *1011:15 *1099:92 8.03485e-05
14 *1011:18 *6758:A 0.000304983
15 *1011:18 *6902:A1 0.00015887
16 *1011:18 *1033:23 3.31882e-05
17 *1011:18 *1099:89 0.000213997
18 sram_din0[16] *1011:15 0
19 *6741:C *1011:18 0
20 *6761:A *1011:18 0.000135844
21 *6861:A1 *1011:15 0.000200831
22 *6867:B *1011:15 5.56367e-05
23 *6897:A2 *1011:18 0.000548699
24 *6902:A2 *1011:18 2.01595e-05
25 *6902:B1 *1011:18 0.00035709
26 *6903:A2 *1011:18 0.000127164
27 *7169:A1 *1011:18 0.00132776
28 *7169:B1 *7174:A1 0.000169041
29 *7174:A2 *7174:A1 1.67329e-05
30 *7174:B2 *7174:A1 9.43308e-05
31 *7178:A2 *1011:18 7.08723e-06
32 *7183:B1 *1011:18 0
33 *7183:C1 *1011:18 0
34 *7329:D *1011:15 2.68066e-05
35 *7447:A *1011:7 0.00064281
36 *7448:A *1011:7 0.000277502
37 *7449:A *1011:7 0.000489932
38 *7451:A *1011:7 0.00011818
39 *7523:A *1011:15 0.000111722
40 *7532:A *1011:7 0.000536595
41 *411:10 *1011:18 8.12906e-05
42 *411:12 *1011:18 4.80597e-05
43 *411:21 *1011:18 6.08697e-06
44 *529:52 *1011:18 7.50872e-05
45 *598:10 *1011:18 0
46 *603:19 *1011:15 0.000364356
47 *613:19 *1011:18 0
48 *614:11 *1011:18 0.000207309
49 *615:6 *1011:18 5.04879e-05
50 *616:8 *1011:18 0.000134323
51 *616:10 *1011:18 0.000123582
52 *616:12 *1011:18 3.31733e-05
53 *648:8 *1011:15 0.000118485
54 *832:65 *1011:18 0
55 *978:7 *1011:7 0.00206382
56 *978:7 *1011:15 7.90527e-05
57 *989:5 *1011:7 1.41689e-05
58 *989:14 *1011:15 0.000278452
59 *989:14 *1011:18 0
60 *989:16 *1011:18 0.000865574
*RES
1 *7451:X *1011:7 47.7097
2 *1011:7 *1011:15 47.8623
3 *1011:15 *1011:17 4.5
4 *1011:17 *1011:18 79.8994
5 *1011:18 *7174:A1 16.1364
*END
*D_NET *1012 0.0106776
*CONN
*I *6874:A1 I *D sky130_fd_sc_hd__o221a_1
*I *6875:B2 I *D sky130_fd_sc_hd__a221o_1
*I *7573:A I *D sky130_fd_sc_hd__buf_2
*I *7333:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6874:A1 3.80195e-05
2 *6875:B2 8.85614e-05
3 *7573:A 0.000197983
4 *7333:Q 0.000382718
5 *1012:24 0.00195633
6 *1012:16 0.00206462
7 *1012:11 0.000638442
8 *6875:B2 *1013:25 4.5797e-05
9 *6875:B2 *1096:235 0
10 *1012:11 *7331:CLK 5.481e-05
11 *1012:11 *1014:21 0
12 *1012:11 *1070:8 3.60268e-05
13 *1012:16 *1013:25 2.19131e-05
14 *1012:24 *1062:50 1.9101e-05
15 *1012:24 *1068:10 0.000210977
16 *6865:B *1012:24 0.000166542
17 *6870:B2 *1012:24 9.97145e-05
18 *6874:A2 *6874:A1 7.76725e-05
19 *6874:A2 *1012:11 0.000105942
20 *6874:A2 *1012:16 7.06454e-05
21 *6874:B1 *1012:16 5.76947e-06
22 *6874:B2 *1012:16 4.64717e-05
23 *6874:C1 *1012:16 0.000262258
24 *6874:C1 *1012:24 2.16355e-05
25 *7470:A *1012:24 6.73186e-05
26 *7471:A *1012:11 8.01837e-05
27 *578:34 *1012:24 3.07997e-05
28 *583:34 *6875:B2 4.20662e-05
29 *583:34 *1012:16 1.97947e-05
30 *605:40 *1012:16 2.8711e-05
31 *692:17 *6875:B2 1.43779e-05
32 *694:13 *7573:A 0.000829242
33 *694:13 *1012:24 2.72058e-05
34 *976:9 *1012:24 0.000310558
35 *982:15 *7573:A 0.00135609
36 *982:15 *1012:11 0.000317679
37 *982:15 *1012:16 0.000464127
38 *994:26 *1012:11 0.000219712
39 *1009:10 *1012:11 9.9655e-05
40 *1009:24 *1012:24 1.68741e-05
41 *1010:14 *7573:A 0.000141291
*RES
1 *7333:Q *1012:11 29.2868
2 *1012:11 *1012:16 13.5685
3 *1012:16 *1012:24 43.6434
4 *1012:24 *7573:A 24.8263
5 *1012:16 *6875:B2 16.1712
6 *1012:11 *6874:A1 10.8949
*END
*D_NET *1013 0.00683757
*CONN
*I *6878:B2 I *D sky130_fd_sc_hd__o221a_1
*I *6875:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7574:A I *D sky130_fd_sc_hd__buf_2
*I *7334:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6878:B2 0
2 *6875:A1 0
3 *7574:A 0
4 *7334:Q 0.000103703
5 *1013:25 0.0013638
6 *1013:13 0.00154532
7 *1013:8 0.000285225
8 *1013:8 *1096:263 2.16355e-05
9 *1013:13 *1014:21 0.000406794
10 *1013:25 *7575:A 0
11 *1013:25 *7621:A 0.000122378
12 *1013:25 *1014:21 0
13 *1013:25 *1096:235 0.000193568
14 sram_addr0[8] *1013:25 0.000171288
15 sram_addr1[7] *1013:25 0.000131072
16 sram_din0[6] *1013:25 5.56461e-05
17 *6872:B *1013:25 0.00021569
18 *6874:C1 *1013:25 0.000172096
19 *6875:A2 *1013:13 1.90494e-05
20 *6875:B1 *1013:13 8.03867e-05
21 *6875:B1 *1013:25 2.16355e-05
22 *6875:B2 *1013:25 4.5797e-05
23 *7470:A *1013:25 0
24 *502:36 *1013:13 0.000602808
25 *502:36 *1013:25 0.000260388
26 *605:40 *1013:25 4.59164e-06
27 *688:56 *1013:8 3.40382e-05
28 *688:56 *1013:13 0.000204483
29 *692:17 *1013:8 5.01524e-05
30 *692:17 *1013:25 3.37402e-05
31 *692:22 *1013:25 6.50727e-05
32 *695:20 *1013:8 0.000127164
33 *695:27 *1013:8 2.49141e-05
34 *695:27 *1013:13 0.000204483
35 *976:19 *1013:25 0
36 *994:32 *1013:25 9.08675e-05
37 *1006:29 *1013:25 0.000157874
38 *1012:16 *1013:25 2.19131e-05
*RES
1 *7334:Q *1013:8 17.135
2 *1013:8 *1013:13 15.2323
3 *1013:13 *1013:25 47.9467
4 *1013:25 *7574:A 9.24915
5 *1013:13 *6875:A1 9.24915
6 *1013:8 *6878:B2 13.7491
*END
*D_NET *1014 0.0126268
*CONN
*I *6880:C_N I *D sky130_fd_sc_hd__or3b_1
*I *6878:A1 I *D sky130_fd_sc_hd__o221a_1
*I *7575:A I *D sky130_fd_sc_hd__buf_2
*I *7335:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6880:C_N 0.00042569
2 *6878:A1 0
3 *7575:A 5.41189e-05
4 *7335:Q 0
5 *1014:37 0.00146554
6 *1014:21 0.00134365
7 *1014:9 0.00151385
8 *1014:5 0.00126417
9 *7575:A *1096:235 0
10 *1014:9 *1071:8 0
11 *1014:21 *7331:CLK 0
12 *1014:21 *1063:47 0.000274756
13 *1014:21 *1070:8 0
14 *1014:21 *1142:30 5.58133e-05
15 *1014:37 *6932:A 8.96809e-05
16 *1014:37 *1071:8 0
17 *1014:37 *1096:1141 0
18 *1014:37 *1135:65 3.60268e-05
19 *1014:37 *1135:72 3.31736e-05
20 *1014:37 *1140:20 0.000124222
21 *1014:37 *1143:30 0
22 sram_din0[7] *1014:21 0.00011818
23 *6874:B1 *1014:21 3.86732e-05
24 *6875:A2 *1014:21 3.93117e-06
25 *6875:B1 *1014:21 0.000553213
26 *6936:B *1014:37 0.000191541
27 *7333:D *1014:21 2.22923e-05
28 *7470:A *7575:A 3.34802e-05
29 *500:20 *6880:C_N 0.000430366
30 *502:30 *1014:9 0.000216088
31 *502:30 *1014:37 0.000546718
32 *502:36 *1014:21 6.49003e-05
33 *571:29 *1014:21 0
34 *605:29 *1014:9 0.000373718
35 *605:40 *1014:21 0
36 *634:19 *6880:C_N 0.00189161
37 *688:51 *1014:9 0.000844584
38 *688:56 *1014:21 1.55462e-05
39 *692:17 *1014:21 0.000166548
40 *976:19 *1014:21 2.7961e-05
41 *1012:11 *1014:21 0
42 *1013:13 *1014:21 0.000406794
43 *1013:25 *7575:A 0
44 *1013:25 *1014:21 0
*RES
1 *7335:Q *1014:5 13.7491
2 *1014:5 *1014:9 17.4507
3 *1014:9 *1014:21 47.6331
4 *1014:21 *7575:A 19.6659
5 *1014:9 *6878:A1 9.24915
6 *1014:5 *1014:37 34.1539
7 *1014:37 *6880:C_N 29.3837
*END
*D_NET *1015 0.0195334
*CONN
*I *6833:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6837:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7576:A I *D sky130_fd_sc_hd__buf_2
*I *7320:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6833:A0 0
2 *6837:A1 0.000242583
3 *7576:A 0
4 *7320:Q 0.000116789
5 *1015:31 0.0020444
6 *1015:24 0.00361324
7 *1015:21 0.00334147
8 *1015:9 0.00164684
9 *6837:A1 *6837:A0 0.00011818
10 *6837:A1 *1122:20 0
11 *1015:21 *6834:A1 8.26812e-05
12 *1015:24 *1100:14 0
13 *1015:24 *1111:14 0
14 *1015:24 *1122:14 0
15 *1015:24 *1122:20 2.96516e-05
16 *1015:31 *7623:A 0.000589689
17 *1015:31 *1060:9 0.00121044
18 *1015:31 *1122:14 0.000217951
19 sram_din0[13] *1015:24 6.54973e-05
20 sram_din0[8] *1015:31 4.81015e-05
21 sram_din0[9] *1015:31 0
22 *6756:A *1015:24 6.37169e-05
23 *6761:A *1015:21 0.000663654
24 *6784:A *1015:21 6.50727e-05
25 *6791:A *1015:24 0.000143017
26 *6833:A1 *1015:9 7.50872e-05
27 *6843:A2 *1015:24 0.000380284
28 *6849:A3 *1015:24 1.75625e-05
29 *6863:B *1015:24 8.32828e-05
30 *7325:D *1015:24 0.00017419
31 *7471:A *1015:31 0
32 *7472:A *1015:31 0
33 *7490:A *1015:24 4.59797e-05
34 *7550:A *1015:24 0
35 *523:26 *1015:21 1.5714e-05
36 *524:33 *6837:A1 2.41483e-05
37 *586:63 *1015:9 0.000217937
38 *586:63 *1015:21 0.000397653
39 *589:68 *1015:9 0.000126821
40 *589:68 *1015:21 0.00172114
41 *619:34 *1015:21 1.91391e-05
42 *622:18 *6837:A1 0
43 *622:18 *1015:24 0
44 *645:26 *1015:21 0.0018537
45 *655:11 *1015:24 3.6666e-05
46 *664:42 *1015:9 4.10998e-05
*RES
1 *7320:Q *1015:9 22.8836
2 *1015:9 *1015:21 34.4062
3 *1015:21 *1015:24 43.0818
4 *1015:24 *1015:31 48.7433
5 *1015:31 *7576:A 9.24915
6 *1015:21 *6837:A1 18.4519
7 *1015:9 *6833:A0 9.24915
*END
*D_NET *1016 0.00945117
*CONN
*I *6837:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6841:B2 I *D sky130_fd_sc_hd__a22o_1
*I *7577:A I *D sky130_fd_sc_hd__buf_2
*I *7321:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6837:A0 0.000207604
2 *6841:B2 1.47608e-05
3 *7577:A 0.000923556
4 *7321:Q 0
5 *1016:8 0.00236515
6 *1016:4 0.00163443
7 *7577:A *7623:A 0.000163362
8 *7577:A *1067:7 6.92705e-05
9 *7577:A *1078:7 0.000118166
10 *1016:8 *6846:A1 0.000100907
11 *1016:8 *1078:8 0
12 *1016:8 *1096:313 4.28602e-05
13 *1016:8 *1112:52 0
14 sram_din0[12] *7577:A 1.21461e-06
15 *6837:A1 *6837:A0 0.00011818
16 *6840:A *1016:8 1.32509e-05
17 *6841:A1 *6841:B2 6.08467e-05
18 *6843:B2 *7577:A 0
19 *6843:B2 *1016:8 0
20 *6845:A2 *1016:8 0
21 *6846:A2 *1016:8 0
22 *6846:B1 *1016:8 0.000216411
23 *6848:A1 *1016:8 0.000180355
24 *7321:D *1016:8 0.000135919
25 *7322:D *6841:B2 6.08467e-05
26 *7479:A *7577:A 2.65831e-05
27 *7547:A *7577:A 0.00127364
28 *7549:A *7577:A 0.000162888
29 *7549:A *1016:8 0.000491283
30 *524:33 *6837:A0 0.000377849
31 *524:33 *1016:8 8.78837e-05
32 *529:8 *1016:8 0
33 *529:17 *1016:8 0
34 *610:34 *1016:8 0
35 *622:24 *1016:8 0.000174205
36 *985:6 *1016:8 0.000429747
*RES
1 *7321:Q *1016:4 9.24915
2 *1016:4 *1016:8 43.4638
3 *1016:8 *7577:A 41.2777
4 *1016:8 *6841:B2 14.4725
5 *1016:4 *6837:A0 15.1569
*END
*D_NET *1017 0.00860349
*CONN
*I *7578:A I *D sky130_fd_sc_hd__buf_2
*I *7202:A I *D sky130_fd_sc_hd__inv_2
*I *7405:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7578:A 0.00207031
2 *7202:A 0
3 *7405:Q 0.000524944
4 *1017:20 0.00274478
5 *1017:8 0.00119942
6 *7578:A *1096:71 8.09995e-05
7 *7578:A *1173:17 0.000231743
8 *7578:A *1173:44 4.00959e-05
9 *1017:8 *1125:18 0
10 *1017:8 *1174:43 0.000520031
11 *1017:20 *6588:A 3.99086e-06
12 *1017:20 *1125:18 0
13 *1017:20 *1173:44 0.000115615
14 wb_ack_o *7578:A 9.72898e-06
15 *7203:A1 *1017:8 0.000138663
16 *7261:A *1017:8 2.82537e-05
17 *7261:A *1017:20 0.000421978
18 *7405:D *1017:8 3.54844e-05
19 *7409:D *7578:A 2.52775e-05
20 *438:66 *1017:8 0.000201699
21 *438:66 *1017:20 0.000210475
*RES
1 *7405:Q *1017:8 25.4485
2 *1017:8 *7202:A 13.7491
3 *1017:8 *1017:20 23.0209
4 *1017:20 *7578:A 40.2105
*END
*D_NET *1018 0.014584
*CONN
*I *7053:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7579:A I *D sky130_fd_sc_hd__buf_2
*I *7373:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7053:A1 0
2 *7579:A 0
3 *7373:Q 0.000717236
4 *1018:22 0.000947376
5 *1018:13 0.000810027
6 *1018:8 0.00247464
7 *1018:8 *7373:CLK 9.75356e-05
8 *1018:8 *1054:14 2.82537e-05
9 *1018:8 *1125:18 0.000169093
10 *1018:13 *7055:A1 0.000978073
11 *1018:13 *1110:5 0.00159095
12 *1018:22 *6702:B 0.000530733
13 *1018:22 *7193:B2 0.000231289
14 *1018:22 *1054:14 0.00018508
15 *1018:22 *1057:21 0.000107496
16 *1018:22 *1125:18 0.000402336
17 *1018:22 *1125:20 2.89251e-05
18 *1018:22 *1125:42 0.000388128
19 *1018:22 *1127:25 9.99242e-05
20 *7037:B *1018:22 0.00031669
21 *7059:B1 *1018:22 0.00155667
22 *7264:A *1018:22 2.79471e-05
23 *7373:D *1018:8 3.18826e-06
24 *233:21 *1018:13 0.000317721
25 *981:32 *1018:22 9.75356e-05
26 *981:38 *1018:13 0.00247713
*RES
1 *7373:Q *1018:8 25.8693
2 *1018:8 *1018:13 49.6158
3 *1018:13 *7579:A 9.24915
4 *1018:8 *1018:22 45.6823
5 *1018:22 *7053:A1 9.24915
*END
*D_NET *1019 0.00507502
*CONN
*I *7580:A I *D sky130_fd_sc_hd__buf_2
*I *7119:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7383:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7580:A 0.00121477
2 *7119:A1 0.000389933
3 *7383:Q 0
4 *1019:5 0.0016047
5 *7119:A1 *1099:19 4.89898e-06
6 *7119:A1 *1105:26 0
7 *7580:A *7227:A0 2.63143e-05
8 *7580:A *1105:26 0
9 *7119:A2 *7119:A1 0.000164829
10 *7220:A *7580:A 0.000174699
11 *7227:S *7580:A 0.000118166
12 *7228:A *7580:A 0.000629908
13 *7383:D *7119:A1 0.000277502
14 *452:9 *7580:A 0.00046929
*RES
1 *7383:Q *1019:5 13.7491
2 *1019:5 *7119:A1 21.1566
3 *1019:5 *7580:A 45.578
*END
*D_NET *1020 0.0087494
*CONN
*I *7581:A I *D sky130_fd_sc_hd__buf_2
*I *7123:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7384:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7581:A 3.8144e-05
2 *7123:A1 0.000259109
3 *7384:Q 0
4 *1020:34 0.00212456
5 *1020:33 0.00228075
6 *1020:5 0.000453436
7 *7123:A1 *1054:26 0.000268195
8 *1020:33 *1054:26 0.000675376
9 *1020:34 *7232:A0 3.73813e-05
10 *1020:34 *1157:30 7.34244e-05
11 *6629:A *1020:34 0.000223897
12 *6629:D *1020:34 4.58003e-05
13 *7123:A2 *7123:A1 3.11775e-05
14 *7230:A *1020:34 0.000115615
15 *7233:A *1020:34 2.72636e-05
16 *511:17 *7123:A1 0
17 *514:22 *7123:A1 7.75267e-05
18 *519:7 *1020:34 0.000842337
19 *519:11 *7123:A1 0.00028732
20 *519:11 *1020:33 0.000638591
21 *894:17 *7123:A1 3.71128e-05
22 *897:29 *7123:A1 0.000212379
*RES
1 *7384:Q *1020:5 13.7491
2 *1020:5 *7123:A1 38.2586
3 *1020:5 *1020:33 16.298
4 *1020:33 *1020:34 50.0831
5 *1020:34 *7581:A 10.2378
*END
*D_NET *1021 0.00951029
*CONN
*I *7132:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7582:A I *D sky130_fd_sc_hd__buf_2
*I *7385:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7132:A1 0
2 *7582:A 2.3034e-05
3 *7385:Q 0.000387088
4 *1021:11 0.00349771
5 *1021:9 0.00386176
6 *1021:11 *6626:A 3.56872e-05
7 *1021:11 *6626:B 0.000251669
8 *1021:11 *6626:C 0.000167076
9 *1021:11 *6778:A 6.24819e-05
10 *1021:11 *1105:15 0.000160617
11 *1021:11 *1165:27 0.000111722
12 *6778:B *1021:11 0.000277502
13 *6779:D *1021:11 2.59533e-05
14 *7132:B1 *1021:9 4.19472e-05
15 *7132:B1 *1021:11 2.32594e-05
16 *7170:A *1021:9 0.000167076
17 *7235:A *1021:11 0.000116764
18 *7418:D *1021:11 6.50727e-05
19 *406:27 *1021:9 8.62625e-06
20 *422:8 *1021:9 6.47268e-05
21 *481:14 *1021:11 0.000111722
22 *855:39 *1021:9 2.51446e-05
23 *909:8 *1021:9 2.36494e-05
*RES
1 *7385:Q *1021:9 28.0144
2 *1021:9 *1021:11 77.2587
3 *1021:11 *7582:A 9.82786
4 *1021:9 *7132:A1 9.24915
*END
*D_NET *1022 0.0240605
*CONN
*I *7178:A1 I *D sky130_fd_sc_hd__a221o_2
*I *7452:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7178:A1 0.000168184
2 *7452:X 0.00095138
3 *1022:18 0.00144677
4 *1022:14 0.00317938
5 *1022:13 0.00329907
6 *1022:8 0.00234966
7 *1022:8 *1055:11 0.00012309
8 *1022:13 *1033:9 0.00199138
9 *1022:13 *1060:9 4.99897e-05
10 *1022:13 *1122:14 0.000224381
11 *1022:14 *1033:26 0.000597651
12 *1022:14 *1044:12 0
13 *1022:14 *1099:92 2.53624e-06
14 *1022:14 *1122:8 0
15 *1022:18 *7183:A1 0.000396383
16 *1022:18 *1033:26 0.000356261
17 *1022:18 *1044:18 0
18 *1022:18 *1096:631 0.000192556
19 *1022:18 *1112:78 0.000592097
20 sram_din0[16] *1022:14 0
21 sram_din0[19] *1022:8 5.30033e-05
22 *6749:B *1022:14 0.000155272
23 *6793:B2 *1022:8 6.08467e-05
24 *6889:A3 *1022:18 0.000310124
25 *6889:B1 *1022:18 5.19081e-05
26 *7014:A1 *1022:18 0
27 *7014:B1 *1022:18 4.80148e-05
28 *7015:B1 *1022:18 0.000315176
29 *7169:A1 *1022:18 0
30 *7178:A2 *7178:A1 1.90494e-05
31 *7178:B2 *7178:A1 0.000224368
32 *7187:B1 *1022:18 0.000165495
33 *7187:C1 *1022:18 0.000169108
34 *7309:D *1022:8 3.14978e-05
35 *7368:D *1022:18 2.47808e-05
36 *7449:A *1022:8 0
37 *7452:A *1022:8 0.000213704
38 *7453:A *1022:8 5.04829e-06
39 *428:11 *7178:A1 0.000167076
40 *602:8 *1022:14 7.25247e-05
41 *602:8 *1022:18 2.56635e-05
42 *602:12 *1022:14 0.00180451
43 *609:8 *1022:14 0
44 *637:13 *1022:14 3.70636e-05
45 *695:16 *1022:14 3.32986e-05
46 *803:10 *1022:18 0
47 *803:12 *1022:18 0
48 *989:5 *1022:8 0.00101456
49 *1011:7 *1022:8 0.00149253
50 *1011:15 *1022:14 0.00164512
*RES
1 *7452:X *1022:8 49.3021
2 *1022:8 *1022:13 34.126
3 *1022:13 *1022:14 63.3504
4 *1022:14 *1022:18 45.69
5 *1022:18 *7178:A1 19.464
*END
*D_NET *1023 0.0109229
*CONN
*I *7137:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7583:A I *D sky130_fd_sc_hd__buf_2
*I *7386:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7137:A1 0.000237856
2 *7583:A 9.83646e-05
3 *7386:Q 0
4 *1023:5 0.00429615
5 *1023:4 0.00443565
6 *7583:A *1096:1465 9.34919e-05
7 *7583:A *1108:22 0
8 *1023:5 *6623:B1 5.4225e-05
9 *1023:5 *7234:A0 8.81193e-05
10 *1023:5 *7386:CLK 8.94795e-05
11 *1023:5 *1096:1627 0.00011971
12 *1023:5 *1103:8 9.18559e-06
13 *6623:A2 *1023:5 8.39059e-05
14 *6778:B *1023:5 2.32702e-05
15 *7137:B1 *7137:A1 2.71187e-05
16 *7234:S *1023:5 0.000991561
17 *7386:D *7137:A1 0.000120546
18 *7386:D *1023:5 0.000116022
19 *480:23 *1023:5 3.82761e-05
*RES
1 *7386:Q *1023:4 9.24915
2 *1023:4 *1023:5 63.9482
3 *1023:5 *7583:A 20.9116
4 *1023:4 *7137:A1 15.9767
*END
*D_NET *1024 0.0185674
*CONN
*I *7142:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7584:A I *D sky130_fd_sc_hd__buf_2
*I *7387:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7142:A1 0
2 *7584:A 0.000575288
3 *7387:Q 0.000138879
4 *1024:15 0.0049664
5 *1024:13 0.00474795
6 *1024:9 0.000495725
7 *7584:A *7236:A1 6.85742e-05
8 *7584:A *7419:CLK 6.08467e-05
9 *7584:A *1025:23 0.000158357
10 *7584:A *1105:15 1.31872e-05
11 *7584:A *1109:12 0
12 *7584:A *1112:16 0.000269967
13 *1024:15 *1104:9 0.00577491
14 *7043:A *1024:15 0.000436167
15 *7419:D *7584:A 0.000265284
16 *420:10 *1024:9 0
17 *430:10 *1024:9 0
18 *898:32 *1024:9 1.67988e-05
19 *898:32 *1024:13 0.000348422
20 *898:32 *1024:15 0.000108315
21 *898:45 *1024:9 0.000122378
*RES
1 *7387:Q *1024:9 21.9137
2 *1024:9 *1024:13 9.62117
3 *1024:13 *1024:15 77.8133
4 *1024:15 *7584:A 34.0719
5 *1024:9 *7142:A1 9.24915
*END
*D_NET *1025 0.0187456
*CONN
*I *7146:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7585:A I *D sky130_fd_sc_hd__buf_2
*I *7388:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7146:A1 0
2 *7585:A 0.000487985
3 *7388:Q 0.000282997
4 *1025:23 0.00434693
5 *1025:21 0.00530744
6 *1025:11 0.00173149
7 *7585:A *1096:1484 0.00033614
8 *7585:A *1106:9 2.44829e-05
9 *7585:A *1112:16 3.89332e-06
10 *7585:A *1114:14 6.92004e-05
11 *1025:21 *7198:B2 0.00065613
12 *1025:21 *7385:CLK 2.20702e-05
13 *1025:21 *1039:12 0.000100913
14 *1025:21 *1040:9 5.61125e-05
15 *1025:21 *1040:24 0.00019488
16 *1025:21 *1096:1714 0.000213725
17 *1025:23 *1096:1627 1.05251e-05
18 *1025:23 *1159:18 0.000310495
19 *1025:23 *1159:29 5.73392e-05
20 *1025:23 *1162:31 0.000319954
21 *6604:C1 *1025:23 6.44964e-06
22 *7146:A2 *1025:11 2.08256e-05
23 *7146:A2 *1025:21 0.00050099
24 *7146:B1 *1025:11 0.000641449
25 *7146:B1 *1025:21 2.49938e-05
26 *7198:B1 *1025:21 0.000170544
27 *7239:A *7585:A 8.33404e-05
28 *7399:D *1025:23 0.000242713
29 *7400:D *1025:21 0.000274007
30 *7419:D *1025:23 1.65872e-05
31 *7420:D *7585:A 0.000127131
32 *7584:A *1025:23 0.000158357
33 *401:8 *1025:11 2.95757e-05
34 *431:7 *1025:23 0.00115451
35 *440:12 *1025:21 0.000277488
36 *458:19 *7585:A 0.000163997
37 *855:39 *1025:21 0.000319954
*RES
1 *7388:Q *1025:11 28.0385
2 *1025:11 *1025:21 45.7307
3 *1025:21 *1025:23 60.8979
4 *1025:23 *7585:A 32.8553
5 *1025:11 *7146:A1 9.24915
*END
*D_NET *1026 0.0178261
*CONN
*I *7154:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7586:A I *D sky130_fd_sc_hd__buf_2
*I *7389:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7154:A1 9.12693e-05
2 *7586:A 0.00135853
3 *7389:Q 0.000664229
4 *1026:15 0.00231448
5 *1026:12 0.00297325
6 *1026:5 0.0027728
7 *7154:A1 *7388:CLK 3.58185e-05
8 *7586:A *7245:A0 0.000377259
9 *7586:A *7422:CLK 5.26629e-05
10 *7586:A *1041:11 0.000116593
11 *7586:A *1096:1648 2.11196e-05
12 *1026:5 *1096:1754 6.99486e-05
13 *1026:12 *7201:A1 0
14 *1026:12 *1096:1754 0.000765701
15 *1026:15 *1041:11 0.00406234
16 *7154:A2 *7154:A1 3.67708e-05
17 *7186:A2 *1026:15 0.000326398
18 *7190:A2 *1026:15 0.00048572
19 *7200:C1 *1026:12 0.000339738
20 *7201:A2 *1026:12 0
21 *7201:B1 *1026:12 0
22 *7245:S *7586:A 7.48797e-05
23 *7389:D *1026:5 3.71723e-05
24 *7422:D *7586:A 0.000383121
25 *416:8 *7154:A1 7.58595e-05
26 *424:11 *1026:12 0.000195339
27 *439:20 *1026:12 7.88559e-05
28 *439:41 *1026:12 0.000116256
29 *440:21 *1026:12 0
30 *440:28 *1026:12 0
*RES
1 *7389:Q *1026:5 19.4008
2 *1026:5 *1026:12 48.2818
3 *1026:12 *1026:15 44.1392
4 *1026:15 *7586:A 43.1644
5 *1026:5 *7154:A1 21.3269
*END
*D_NET *1027 0.0159402
*CONN
*I *7163:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7587:A I *D sky130_fd_sc_hd__buf_2
*I *7390:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7163:A1 7.81288e-05
2 *7587:A 0.00133586
3 *7390:Q 0.000279446
4 *1027:13 0.00278471
5 *1027:7 0.00454976
6 *1027:5 0.00345848
7 *7587:A *1038:35 0.00033601
8 *7587:A *1096:1496 6.24083e-05
9 *7587:A *1096:1503 2.8182e-06
10 *7587:A *1164:18 6.84622e-05
11 *1027:7 *7390:CLK 8.47748e-05
12 *1027:7 *7404:CLK 0.000137112
13 *1027:7 *1096:1816 0.000298734
14 *1027:13 *7256:A0 6.50727e-05
15 *1027:13 *7256:A1 0.000164829
16 *1027:13 *7395:CLK 0.000178411
17 *1027:13 *1034:7 6.08467e-05
18 *1027:13 *1164:18 0.000260614
19 *7163:A2 *7163:A1 0.00015321
20 *7190:B1 *1027:7 0.000167385
21 *7190:B1 *1027:13 3.75603e-05
22 *7256:S *1027:13 2.65831e-05
23 *7257:A *1027:13 0.000587456
24 *7390:D *1027:7 3.18826e-06
25 *7395:D *1027:13 0.000335791
26 *7404:D *1027:7 0.000158811
27 *7423:D *7587:A 0.000258479
28 *416:8 *7163:A1 5.22654e-06
29 *420:10 *7163:A1 0
*RES
1 *7390:Q *1027:5 13.3002
2 *1027:5 *1027:7 48.4193
3 *1027:7 *1027:13 41.3783
4 *1027:13 *7587:A 41.0406
5 *1027:5 *7163:A1 20.9116
*END
*D_NET *1028 0.012678
*CONN
*I *7168:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7588:A I *D sky130_fd_sc_hd__buf_2
*I *7391:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7168:A1 0
2 *7588:A 0
3 *7391:Q 0.00014677
4 *1028:21 0.00210198
5 *1028:11 0.00523824
6 *1028:9 0.00328303
7 *1028:21 *7427:CLK 0.000300565
8 *1028:21 *1036:19 0.00010051
9 *1028:21 *1096:1521 0.000154145
10 *1028:21 *1165:18 0.000288051
11 *7163:A2 *1028:9 5.0715e-05
12 *7248:A *1028:21 1.55462e-05
13 *7391:D *1028:9 0
14 *7424:D *1028:21 0.000304308
15 *409:33 *1028:9 2.41483e-05
16 *409:33 *1028:11 0.000330336
17 *464:19 *1028:21 0.000153225
18 *464:31 *1028:21 0.000186445
*RES
1 *7391:Q *1028:9 21.9137
2 *1028:9 *1028:11 69.7715
3 *1028:11 *1028:21 48.8997
4 *1028:21 *7588:A 9.24915
5 *1028:9 *7168:A1 9.24915
*END
*D_NET *1029 0.0076833
*CONN
*I *7589:A I *D sky130_fd_sc_hd__buf_2
*I *7173:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7392:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7589:A 2.18332e-05
2 *7173:A1 0.000246437
3 *7392:Q 0
4 *1029:18 0.00305498
5 *1029:17 0.00340322
6 *1029:5 0.000616506
7 *7173:A1 *1037:19 0
8 *7173:A1 *1039:19 0
9 *7173:A1 *1096:1838 0
10 *1029:17 *1037:19 0
11 *1029:17 *1039:19 0
12 *1029:18 *7249:A1 2.85274e-05
13 *7425:D *1029:18 1.03403e-05
14 *409:10 *7173:A1 9.35753e-06
15 *409:19 *7173:A1 6.99486e-05
16 *424:11 *7173:A1 0.000222149
*RES
1 *7392:Q *1029:5 13.7491
2 *1029:5 *7173:A1 21.2904
3 *1029:5 *1029:17 13.8065
4 *1029:17 *1029:18 69.4942
5 *1029:18 *7589:A 9.82786
*END
*D_NET *1030 0.0107004
*CONN
*I *7058:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7590:A I *D sky130_fd_sc_hd__buf_2
*I *7374:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7058:A1 0.000960394
2 *7590:A 2.06324e-05
3 *7374:Q 4.34038e-05
4 *1030:9 0.00232686
5 *1030:7 0.00331003
6 *7058:A1 *1057:14 8.29816e-05
7 *7058:A1 *1057:21 0.00102007
8 *7058:A1 *1178:6 0.000167594
9 *7058:A1 *1178:17 8.52968e-05
10 *1030:7 *1061:11 5.31074e-05
11 *1030:9 *7210:A0 0.00115441
12 *1030:9 *1061:11 0.000164829
13 *1030:9 *1126:5 0.000430307
14 *1030:9 *1136:27 0.00013529
15 *7058:B2 *7058:A1 1.64865e-05
16 *7210:S *1030:9 3.83336e-05
17 *7374:D *1030:9 1.87611e-05
18 *7527:A *1030:9 0.000370801
19 *626:6 *7058:A1 0.000146794
20 *626:10 *7058:A1 6.4628e-05
21 *842:47 *7058:A1 4.10113e-05
22 *843:7 *7058:A1 4.83699e-05
23 *963:8 *7058:A1 0
24 *963:17 *7058:A1 0
*RES
1 *7374:Q *1030:7 10.5513
2 *1030:7 *1030:9 57.293
3 *1030:9 *7590:A 9.82786
4 *1030:7 *7058:A1 46.3068
*END
*D_NET *1031 0.0109635
*CONN
*I *7591:A I *D sky130_fd_sc_hd__buf_2
*I *7177:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7393:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7591:A 3.5247e-05
2 *7177:A1 0.000225877
3 *7393:Q 0
4 *1031:18 0.00358647
5 *1031:17 0.00419987
6 *1031:5 0.000874525
7 *1031:18 *1084:10 0.00161744
8 *7177:B1 *7177:A1 0.000228593
9 *7393:D *7177:A1 0
10 *409:19 *7177:A1 2.41483e-05
11 *409:30 *7177:A1 0.000171273
*RES
1 *7393:Q *1031:5 13.7491
2 *1031:5 *7177:A1 21.2904
3 *1031:5 *1031:17 20.8658
4 *1031:17 *1031:18 85.0231
5 *1031:18 *7591:A 10.2378
*END
*D_NET *1032 0.0111991
*CONN
*I *7592:A I *D sky130_fd_sc_hd__buf_2
*I *7181:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7394:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7592:A 0
2 *7181:A1 0
3 *7394:Q 0.000259172
4 *1032:18 0.00405056
5 *1032:17 0.005175
6 *1032:8 0.00138362
7 *1032:8 *7391:CLK 4.10825e-05
8 *1032:8 *1107:25 3.42853e-05
9 *7394:D *1032:8 2.41274e-06
10 *409:30 *1032:8 5.77352e-05
11 *409:30 *1032:17 0.000127179
12 *427:10 *1032:8 0
13 *427:10 *1032:17 0
14 *430:10 *1032:8 6.80864e-05
*RES
1 *7394:Q *1032:8 19.6294
2 *1032:8 *7181:A1 13.7491
3 *1032:8 *1032:17 33.3234
4 *1032:17 *1032:18 94.4514
5 *1032:18 *7592:A 9.24915
*END
*D_NET *1033 0.0257078
*CONN
*I *7183:A1 I *D sky130_fd_sc_hd__a221o_2
*I *7453:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7183:A1 0.00104945
2 *7453:X 4.35326e-05
3 *1033:26 0.00195923
4 *1033:23 0.00237695
5 *1033:17 0.00200394
6 *1033:9 0.00198154
7 *1033:8 0.0014883
8 *7183:A1 *6745:A 7.0954e-05
9 *7183:A1 *1112:78 0.000588499
10 *7183:A1 *1152:10 0.000113362
11 *1033:8 *1054:10 0.000101148
12 *1033:9 *1060:9 0.00586109
13 *1033:23 *1063:24 3.82185e-05
14 *1033:26 *1099:92 0.00192243
15 sram_din0[16] *1033:23 6.85639e-05
16 *6749:B *1033:26 0.00015887
17 *6858:A2 *1033:23 7.48982e-05
18 *6861:A2 *1033:23 0.000163982
19 *6861:B2 *1033:23 0.000122083
20 *6861:C1 *1033:23 0
21 *6889:A3 *7183:A1 0.000310124
22 *7169:A1 *7183:A1 0
23 *7183:A2 *7183:A1 8.83972e-05
24 *7183:B2 *7183:A1 1.89852e-05
25 *7187:A2 *7183:A1 6.75138e-05
26 *7187:B1 *7183:A1 8.62625e-06
27 *7454:A *1033:8 4.27148e-05
28 *7523:A *1033:17 0.00013243
29 *7523:A *1033:23 1.33074e-05
30 *7532:A *1033:17 0.000156868
31 *432:14 *7183:A1 1.37274e-05
32 *523:16 *7183:A1 2.01503e-05
33 *523:16 *1033:26 1.23804e-05
34 *523:26 *1033:23 0
35 *599:38 *1033:23 0
36 *606:30 *1033:23 0
37 *613:11 *7183:A1 7.77309e-06
38 *616:12 *1033:23 0.000153022
39 *650:53 *1033:23 6.60052e-05
40 *688:16 *1033:23 0
41 *967:10 *1033:23 0
42 *982:8 *1033:23 0
43 *982:10 *1033:23 0
44 *989:14 *1033:23 0.000256147
45 *989:16 *7183:A1 0.000183897
46 *1011:7 *1033:17 1.15389e-05
47 *1011:15 *1033:17 0.000317693
48 *1011:15 *1033:23 0.0002646
49 *1011:18 *1033:23 3.31882e-05
50 *1022:13 *1033:9 0.00199138
51 *1022:14 *1033:26 0.000597651
52 *1022:18 *7183:A1 0.000396383
53 *1022:18 *1033:26 0.000356261
*RES
1 *7453:X *1033:8 20.0811
2 *1033:8 *1033:9 62.2844
3 *1033:9 *1033:17 23.2652
4 *1033:17 *1033:23 44.0872
5 *1033:23 *1033:26 36.8231
6 *1033:26 *7183:A1 49.5986
*END
*D_NET *1034 0.00843636
*CONN
*I *7593:A I *D sky130_fd_sc_hd__buf_2
*I *7186:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7395:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7593:A 0
2 *7186:A1 0.000145463
3 *7395:Q 4.51842e-05
4 *1034:18 0.00211322
5 *1034:17 0.00379936
6 *1034:7 0.00187679
7 *7186:A1 *7396:CLK 0.00026818
8 *1034:17 *7396:CLK 0.000122098
9 *7395:D *7186:A1 0
10 *434:10 *7186:A1 5.22654e-06
11 *1027:13 *1034:7 6.08467e-05
*RES
1 *7395:Q *1034:7 14.4725
2 *1034:7 *7186:A1 18.4879
3 *1034:7 *1034:17 46.6115
4 *1034:17 *1034:18 48.9739
5 *1034:18 *7593:A 9.24915
*END
*D_NET *1035 0.0110781
*CONN
*I *7190:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7594:A I *D sky130_fd_sc_hd__buf_2
*I *7396:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7190:A1 6.83134e-05
2 *7594:A 0.00105699
3 *7396:Q 0.000220583
4 *1035:16 0.00282632
5 *1035:13 0.0027351
6 *1035:5 0.00125467
7 *7594:A *1086:7 0.000591104
8 *1035:5 *1107:23 0.000171473
9 *1035:13 *7396:CLK 0.00033061
10 *1035:13 *1107:11 0.000397382
11 *1035:13 *1107:23 0.0008693
12 *1035:13 *1169:8 0.000317721
13 *1035:16 *6601:D 4.09467e-05
14 *1035:16 *7254:A0 0.000120294
15 *1035:16 *1041:23 7.08723e-06
16 *1035:16 *1086:8 0
17 *1035:16 *1167:8 0
18 *7255:A *1035:16 0
19 *7396:D *7190:A1 0
20 *7396:D *1035:13 7.02539e-05
*RES
1 *7396:Q *1035:5 13.3002
2 *1035:5 *1035:13 33.7011
3 *1035:13 *1035:16 48.2725
4 *1035:16 *7594:A 38.836
5 *1035:5 *7190:A1 19.6659
*END
*D_NET *1036 0.0159333
*CONN
*I *7192:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7595:A I *D sky130_fd_sc_hd__buf_2
*I *7397:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7192:A1 0
2 *7595:A 0.000195598
3 *7397:Q 0.000162794
4 *1036:26 0.00115316
5 *1036:19 0.00173745
6 *1036:11 0.00291818
7 *1036:10 0.00230109
8 *1036:10 *1096:1700 2.15348e-05
9 *1036:11 *7192:B2 1.47046e-05
10 *1036:11 *7240:A0 0.000373467
11 *1036:11 *7421:CLK 1.92336e-05
12 *1036:11 *1038:32 5.04829e-06
13 *1036:11 *1079:11 6.92705e-05
14 *1036:11 *1096:1484 6.08467e-05
15 *1036:11 *1096:1496 4.34402e-05
16 *1036:11 *1161:18 0.0002333
17 *1036:11 *1162:5 0.000107704
18 *1036:19 *1038:35 0.000519847
19 *1036:19 *1096:1521 3.49272e-05
20 *1036:19 *1108:10 0.00122486
21 *1036:19 *1115:6 6.74412e-05
22 *1036:26 *7599:A 2.76294e-05
23 *1036:26 *7600:A 2.90548e-05
24 *1036:26 *1040:28 0.00206152
25 *1036:26 *1041:32 0
26 *1036:26 *1114:8 0.00144714
27 wb_data_o[15] *1036:19 6.11074e-05
28 wb_data_o[16] *1036:19 1.91391e-05
29 wb_data_o[17] *1036:19 0.00015866
30 wb_data_o[18] *1036:19 8.71534e-05
31 *7192:B1 *1036:11 3.14978e-05
32 *7192:C1 *1036:11 3.70451e-05
33 *7240:S *1036:11 6.75302e-05
34 *7397:D *1036:10 0.000107496
35 *7420:D *1036:11 1.87611e-05
36 *7421:D *1036:11 0.000210042
37 *7487:A *1036:26 5.68437e-05
38 *7509:A *1036:19 1.15099e-05
39 *431:49 *1036:10 9.75356e-05
40 *470:30 *1036:10 3.92275e-05
41 *1028:21 *1036:19 0.00010051
*RES
1 *7397:Q *1036:10 21.9137
2 *1036:10 *1036:11 50.6377
3 *1036:11 *1036:19 43.6042
4 *1036:19 *1036:26 47.5152
5 *1036:26 *7595:A 13.3002
6 *1036:10 *7192:A1 9.24915
*END
*D_NET *1037 0.013403
*CONN
*I *7596:A I *D sky130_fd_sc_hd__buf_2
*I *7193:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7398:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7596:A 0
2 *7193:A1 0.000312989
3 *7398:Q 0
4 *1037:22 0.00271854
5 *1037:21 0.00271854
6 *1037:19 0.00247087
7 *1037:17 0.00272414
8 *1037:5 0.00056626
9 *7193:A1 *1040:25 0.000865882
10 *1037:19 *1096:1789 5.12387e-05
11 *1037:19 *1096:1798 5.29763e-05
12 *1037:19 *1096:1838 0.000138269
13 *7155:A *7193:A1 0.000167607
14 *7155:A *1037:17 0.000175039
15 *7155:A *1037:19 8.13812e-06
16 *7173:A1 *1037:19 0
17 *7193:A2 *7193:A1 7.21568e-05
18 *7392:D *1037:19 0.000153225
19 *438:15 *7193:A1 0.000207125
20 *1029:17 *1037:19 0
*RES
1 *7398:Q *1037:5 13.7491
2 *1037:5 *7193:A1 29.1914
3 *1037:5 *1037:17 7.58446
4 *1037:17 *1037:19 64.4984
5 *1037:19 *1037:21 4.5
6 *1037:21 *1037:22 64.5028
7 *1037:22 *7596:A 9.24915
*END
*D_NET *1038 0.0180217
*CONN
*I *7597:A I *D sky130_fd_sc_hd__buf_2
*I *7194:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7399:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7597:A 0
2 *7194:A1 0.000124432
3 *7399:Q 0
4 *1038:40 0.00127236
5 *1038:35 0.00240432
6 *1038:32 0.00148529
7 *1038:22 0.00127203
8 *1038:5 0.00104313
9 *7194:A1 *1057:28 3.20069e-06
10 *1038:22 *1040:25 0.00152181
11 *1038:22 *1096:1636 0.000385248
12 *1038:32 *7238:A0 0.000487686
13 *1038:32 *7238:A1 0.000200245
14 *1038:32 *1040:25 0.000876056
15 *1038:32 *1096:1496 0.000111708
16 *1038:32 *1108:10 0.000207128
17 *1038:32 *1108:22 3.88655e-06
18 *1038:35 *1096:1496 0.000751273
19 *1038:35 *1096:1521 0
20 *1038:35 *1108:10 5.2504e-06
21 *1038:35 *1115:6 0
22 *1038:40 *7599:A 0.00097489
23 *1038:40 *1040:28 0
24 *1038:40 *1115:6 0.000325047
25 wb_data_o[15] *1038:35 6.11074e-05
26 wb_data_o[16] *1038:35 1.91391e-05
27 wb_data_o[17] *1038:35 0.00015866
28 wb_data_o[18] *1038:35 8.71534e-05
29 wb_data_o[19] *1038:35 0.000198615
30 wb_data_o[20] *1038:40 0.00015866
31 wb_data_o[21] *1038:40 0.000113077
32 wb_data_o[22] *1038:40 1.91391e-05
33 wb_data_o[23] *1038:40 0.000113066
34 wb_data_o[24] *1038:40 6.11074e-05
35 wb_data_o[25] *1038:40 2.02035e-05
36 wb_data_o[26] *1038:40 1.91391e-05
37 *7193:A2 *1038:22 6.08467e-05
38 *7194:A2 *7194:A1 0.000133195
39 *7194:A2 *1038:22 6.82657e-05
40 *7194:C1 *7194:A1 8.08997e-05
41 *7194:C1 *1038:22 0.000210977
42 *7231:A *1038:22 0.000224395
43 *7478:A *1038:32 0.000203486
44 *7478:A *1038:35 3.31882e-05
45 *7483:A *1038:35 0.00036448
46 *7510:A *1038:35 7.86825e-06
47 *7513:A *1038:35 2.24085e-05
48 *7513:A *1038:40 0.000100851
49 *7514:A *1038:40 3.84194e-05
50 *7515:A *1038:40 1.26032e-05
51 *7516:A *1038:40 6.03248e-05
52 *7587:A *1038:35 0.00033601
53 *431:25 *1038:22 0.000139435
54 *458:5 *1038:22 0.000893081
55 *458:5 *1038:32 1.67988e-05
56 *470:30 *7194:A1 5.2504e-06
57 *829:40 *7194:A1 0
58 *1036:11 *1038:32 5.04829e-06
59 *1036:19 *1038:35 0.000519847
*RES
1 *7399:Q *1038:5 13.7491
2 *1038:5 *7194:A1 17.7307
3 *1038:5 *1038:22 49.0872
4 *1038:22 *1038:32 30.5017
5 *1038:32 *1038:35 40.2917
6 *1038:35 *1038:40 47.8212
7 *1038:40 *7597:A 9.24915
*END
*D_NET *1039 0.0152952
*CONN
*I *7598:A I *D sky130_fd_sc_hd__buf_2
*I *7197:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7400:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *7598:A 0
2 *7197:A1 0
3 *7400:Q 0.000478414
4 *1039:22 0.00302125
5 *1039:21 0.00302125
6 *1039:19 0.00344708
7 *1039:12 0.00392549
8 *1039:12 *1040:24 7.50722e-05
9 *7173:A1 *1039:19 0
10 *7400:D *1039:12 5.68225e-06
11 *409:10 *1039:19 0
12 *424:11 *1039:19 0
13 *437:14 *1039:12 0.000181098
14 *437:14 *1039:19 0.000998716
15 *439:8 *1039:12 4.02608e-05
16 *439:8 *1039:19 0
17 *439:20 *1039:19 0
18 *1025:21 *1039:12 0.000100913
19 *1029:17 *1039:19 0
*RES
1 *7400:Q *1039:12 24.1439
2 *1039:12 *7197:A1 13.7491
3 *1039:12 *1039:19 90.2807
4 *1039:19 *1039:21 4.5
5 *1039:21 *1039:22 71.7127
6 *1039:22 *7598:A 9.24915
*END
*D_NET *1040 0.0285543
*CONN
*I *7599:A I *D sky130_fd_sc_hd__buf_2
*I *7198:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7401:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *7599:A 0.000989833
2 *7198:A1 7.18966e-06
3 *7401:Q 0.000355539
4 *1040:28 0.00212328
5 *1040:27 0.00113345
6 *1040:25 0.00398353
7 *1040:24 0.00486352
8 *1040:9 0.00124272
9 *7198:A1 *7198:B2 2.41483e-05
10 *7599:A *7600:A 0
11 *1040:9 *7198:B2 0.00020353
12 *1040:24 *7198:B2 7.68538e-06
13 *1040:25 *7193:B2 1.18677e-05
14 *1040:25 *7197:B2 0.000118443
15 *1040:25 *1096:1739 2.16475e-05
16 *1040:28 *1096:1503 0.000442876
17 *1040:28 *1096:1514 0.000392782
18 *1040:28 *1106:8 7.86847e-05
19 *1040:28 *1114:8 0.000200805
20 *1040:28 *1114:14 0.000464573
21 *1040:28 *1115:6 0.00380379
22 wb_data_o[27] *7599:A 4.27119e-05
23 wb_data_o[28] *7599:A 7.13655e-06
24 *7193:A1 *1040:25 0.000865882
25 *7193:A2 *1040:25 3.98262e-05
26 *7197:B1 *1040:25 0.000107496
27 *7197:C1 *1040:25 1.15389e-05
28 *7198:A2 *7198:A1 1.41976e-05
29 *7198:A2 *1040:9 2.53528e-06
30 *7198:B1 *1040:24 0.000360145
31 *7231:A *1040:25 0.000224395
32 *7400:D *1040:24 0.000138228
33 *7516:A *1040:28 1.2693e-05
34 *430:10 *1040:9 0.000101148
35 *438:15 *1040:25 9.52303e-05
36 *440:12 *1040:24 0.00027329
37 *1025:21 *1040:9 5.61125e-05
38 *1025:21 *1040:24 0.00019488
39 *1036:26 *7599:A 2.76294e-05
40 *1036:26 *1040:28 0.00206152
41 *1038:22 *1040:25 0.00152181
42 *1038:32 *1040:25 0.000876056
43 *1038:40 *7599:A 0.00097489
44 *1038:40 *1040:28 0
45 *1039:12 *1040:24 7.50722e-05
*RES
1 *7401:Q *1040:9 28.0144
2 *1040:9 *7198:A1 9.97254
3 *1040:9 *1040:24 25.0293
4 *1040:24 *1040:25 68.385
5 *1040:25 *1040:27 4.5
6 *1040:27 *1040:28 68.0647
7 *1040:28 *7599:A 44.117
*END
*D_NET *1041 0.0234424
*CONN
*I *7199:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7600:A I *D sky130_fd_sc_hd__buf_2
*I *7402:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *7199:A1 0.000286916
2 *7600:A 0.000934306
3 *7402:Q 0.000321474
4 *1041:32 0.00233519
5 *1041:29 0.00180596
6 *1041:23 0.00139808
7 *1041:11 0.00291159
8 *1041:9 0.00222355
9 *1041:5 0.000913356
10 *7199:A1 *7199:B2 0.000133814
11 *7600:A *7602:A 0
12 *1041:11 *5648:DIODE 1.19856e-05
13 *1041:11 *7201:B2 0.000371719
14 *1041:11 *7402:CLK 0.000489932
15 *1041:11 *1096:1648 0.000113968
16 *1041:23 *7395:CLK 2.68066e-05
17 *1041:23 *7428:CLK 6.78436e-05
18 *1041:23 *1086:8 0
19 *1041:23 *1096:1645 1.09738e-05
20 *1041:23 *1107:11 0.00198049
21 *1041:23 *1164:18 0.000122083
22 *1041:23 *1169:8 0
23 *1041:23 *1169:10 0
24 *1041:29 *1112:6 0.000348322
25 *1041:29 *1114:8 0.000903465
26 *1041:32 *7249:A1 0.000152447
27 *1041:32 *7602:A 1.77537e-06
28 *1041:32 *1043:8 0
29 *1041:32 *1112:6 0.000397647
30 *1041:32 *1114:8 0
31 wb_data_o[29] *7600:A 2.41274e-06
32 *7199:A2 *7199:A1 1.67329e-05
33 *7250:A *1041:29 0.000104372
34 *7395:D *1041:11 6.92705e-05
35 *7402:D *7199:A1 6.92705e-05
36 *7402:D *1041:9 1.58914e-05
37 *7484:A *1041:29 7.37897e-05
38 *7485:A *1041:32 2.09735e-05
39 *7486:A *1041:32 7.7318e-05
40 *7487:A *1041:32 3.64364e-05
41 *7586:A *1041:11 0.000116593
42 *7599:A *7600:A 0
43 *409:10 *1041:11 0.00011818
44 *427:10 *7199:A1 0.000102707
45 *430:10 *7199:A1 0.00022778
46 *855:58 *1041:11 2.84782e-05
47 *1026:15 *1041:11 0.00406234
48 *1035:16 *1041:23 7.08723e-06
49 *1036:26 *7600:A 2.90548e-05
50 *1036:26 *1041:32 0
*RES
1 *7402:Q *1041:5 13.8548
2 *1041:5 *1041:9 4.62973
3 *1041:9 *1041:11 61.1752
4 *1041:11 *1041:23 43.0243
5 *1041:23 *1041:29 22.7599
6 *1041:29 *1041:32 37.1773
7 *1041:32 *7600:A 36.7302
8 *1041:5 *7199:A1 27.8722
*END
*D_NET *1042 0.00553846
*CONN
*I *7073:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7601:A I *D sky130_fd_sc_hd__buf_2
*I *7375:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7073:A1 6.64942e-05
2 *7601:A 0.000954305
3 *7375:Q 0.000162756
4 *1042:7 0.00118355
5 *7073:A1 *1182:22 2.22923e-05
6 *7601:A *7210:A1 0.000151228
7 *7601:A *1096:86 1.93033e-05
8 *7601:A *1096:103 4.34156e-05
9 *7601:A *1116:5 0.00234361
10 *1042:7 *1096:103 6.85813e-05
11 *1042:7 *1116:5 0.000466373
12 *7375:D *7601:A 1.87611e-05
13 *834:18 *7073:A1 3.77804e-05
*RES
1 *7375:Q *1042:7 15.8079
2 *1042:7 *7601:A 43.2729
3 *1042:7 *7073:A1 20.0811
*END
*D_NET *1043 0.0192564
*CONN
*I *7200:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7602:A I *D sky130_fd_sc_hd__buf_2
*I *7403:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *7200:A1 0.000242045
2 *7602:A 0.000844632
3 *7403:Q 0
4 *1043:8 0.00320184
5 *1043:7 0.00235721
6 *1043:5 0.00438184
7 *1043:4 0.00462388
8 *1043:5 *7243:A0 2.41916e-05
9 *1043:5 *7403:CLK 2.85274e-05
10 *1043:5 *1079:11 0.0014093
11 *1043:5 *1163:9 5.63674e-05
12 *1043:8 *7245:A0 0
13 *1043:8 *7245:A1 0.000130582
14 *1043:8 *7247:A1 0.000546615
15 *1043:8 *7249:A1 7.54776e-05
16 *1043:8 *1109:10 0
17 *1043:8 *1109:12 0
18 *1043:8 *1112:6 0
19 wb_data_o[31] *7602:A 0.000320287
20 *7201:A2 *7200:A1 0.000137389
21 *7248:A *1043:8 0.000144531
22 *7403:D *7200:A1 5.44672e-05
23 *7403:D *1043:5 0.00015261
24 *7424:D *1043:8 8.96665e-05
25 *7480:A *1043:8 8.37812e-05
26 *7484:A *1043:8 0.000113199
27 *7486:A *1043:8 7.7318e-05
28 *7600:A *7602:A 0
29 *439:23 *7200:A1 1.07248e-05
30 *440:28 *7200:A1 0.000148114
31 *464:8 *1043:8 0
32 *464:19 *1043:8 0
33 *464:31 *1043:8 0
34 *1041:32 *7602:A 1.77537e-06
35 *1041:32 *1043:8 0
*RES
1 *7403:Q *1043:4 9.24915
2 *1043:4 *1043:5 66.7212
3 *1043:5 *1043:7 4.5
4 *1043:7 *1043:8 62.5199
5 *1043:8 *7602:A 36.185
6 *1043:4 *7200:A1 23.8535
*END
*D_NET *1044 0.0225259
*CONN
*I *7187:A1 I *D sky130_fd_sc_hd__a221o_2
*I *7454:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7187:A1 0
2 *7454:X 0.000212399
3 *1044:18 0.00147311
4 *1044:12 0.00360099
5 *1044:11 0.00212788
6 *1044:9 0.00146498
7 *1044:8 0.00167738
8 *1044:9 *1057:9 0.00637729
9 *1044:9 *1133:14 0.000636352
10 *1044:12 *1096:334 4.75721e-06
11 *1044:12 *1096:343 8.98072e-05
12 *1044:12 *1122:8 0.000131426
13 *1044:18 *6888:B1 0.000101133
14 *1044:18 *7368:CLK 7.2401e-05
15 *1044:18 *1096:631 0.000119447
16 sram_din0[16] *1044:12 0
17 sram_din0[17] *1044:12 0
18 sram_din0[24] *1044:8 0
19 *6860:B *1044:12 0.00026964
20 *6888:A2 *1044:18 0
21 *6889:A1 *1044:18 8.84036e-05
22 *6889:B1 *1044:18 8.61791e-05
23 *6902:C1 *1044:12 0
24 *6907:A1 *1044:12 0.000113374
25 *7014:A1 *1044:18 0.000144531
26 *7014:A2 *1044:18 0
27 *7014:B1 *1044:18 3.17266e-05
28 *7015:B1 *1044:18 0
29 *7187:B2 *1044:18 4.70005e-05
30 *7187:C1 *1044:18 0
31 *7338:D *1044:18 0.000153225
32 *7368:D *1044:18 5.66868e-06
33 *7455:A *1044:8 0
34 *7532:A *1044:12 0.000262524
35 *435:8 *1044:18 1.79807e-05
36 *602:8 *1044:12 0
37 *602:8 *1044:18 1.40761e-05
38 *602:12 *1044:12 0
39 *603:6 *1044:12 0.000247101
40 *603:10 *1044:12 0.000321683
41 *604:8 *1044:12 0.00171837
42 *608:10 *1044:12 0
43 *609:8 *1044:12 0.00072778
44 *609:18 *1044:12 4.90621e-05
45 *637:13 *1044:12 2.33193e-05
46 *660:11 *1044:12 4.40272e-05
47 *663:30 *1044:12 6.51637e-05
48 *695:16 *1044:12 5.66868e-06
49 *706:23 *1044:12 0
50 *706:23 *1044:18 0
51 *803:12 *1044:18 0
52 *1022:14 *1044:12 0
53 *1022:18 *1044:18 0
*RES
1 *7454:X *1044:8 22.9879
2 *1044:8 *1044:9 67.8304
3 *1044:9 *1044:11 4.5
4 *1044:11 *1044:12 66.6724
5 *1044:12 *1044:18 43.5459
6 *1044:18 *7187:A1 9.24915
*END
*D_NET *1045 0.0129212
*CONN
*I *7603:A I *D sky130_fd_sc_hd__buf_2
*I *7201:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7404:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *7603:A 0
2 *7201:A1 0.00019068
3 *7404:Q 0.000111122
4 *1045:18 0.0032325
5 *1045:17 0.0032325
6 *1045:15 0.00274465
7 *1045:7 0.00304646
8 *7201:A1 *7402:CLK 0
9 *7201:A1 *1096:1804 0
10 *1045:15 *1096:1804 0
11 wb_data_o[31] *1045:18 0.000197673
12 *7201:A2 *7201:A1 1.07248e-05
13 *7393:D *1045:15 4.47578e-05
14 *7404:D *7201:A1 4.01437e-05
15 *7404:D *1045:7 6.99486e-05
16 *424:11 *7201:A1 0
17 *424:11 *1045:15 0
18 *1026:12 *7201:A1 0
*RES
1 *7404:Q *1045:7 15.5817
2 *1045:7 *7201:A1 18.4879
3 *1045:7 *1045:15 68.6876
4 *1045:15 *1045:17 4.5
5 *1045:17 *1045:18 78.9225
6 *1045:18 *7603:A 9.24915
*END
*D_NET *1046 0.00253087
*CONN
*I *7604:A I *D sky130_fd_sc_hd__buf_2
*I *7078:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7376:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7604:A 0.000930651
2 *7078:A1 0.000233203
3 *7376:Q 0
4 *1046:4 0.00116385
5 *7604:A *7212:A1 0
6 *7604:A *7214:A1 0
7 *7078:B1 *7078:A1 5.8465e-05
8 *7376:D *7078:A1 0.000144695
9 *7489:A *7604:A 0
10 *446:12 *7604:A 0
*RES
1 *7376:Q *1046:4 9.24915
2 *1046:4 *7078:A1 16.5313
3 *1046:4 *7604:A 38.8165
*END
*D_NET *1047 0.00399102
*CONN
*I *7605:A I *D sky130_fd_sc_hd__buf_2
*I *7083:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7377:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7605:A 0.00110203
2 *7083:A1 4.27376e-05
3 *7377:Q 0.000156057
4 *1047:8 0.00130082
5 *7605:A *1048:19 0.000836795
6 *7605:A *1117:10 1.26939e-05
7 *7605:A *1118:9 0.000286151
8 *1047:8 *7214:A1 0
9 *1047:8 *1048:19 9.60366e-05
10 *1047:8 *1120:23 2.65667e-05
11 *7083:A2 *7605:A 2.01179e-05
12 *7083:A2 *1047:8 3.70205e-05
13 *7408:D *7605:A 1.03403e-05
14 *864:13 *7083:A1 6.3657e-05
*RES
1 *7377:Q *1047:8 17.5503
2 *1047:8 *7083:A1 14.4725
3 *1047:8 *7605:A 45.285
*END
*D_NET *1048 0.0088989
*CONN
*I *7087:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7606:A I *D sky130_fd_sc_hd__buf_2
*I *7378:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7087:A1 3.10903e-05
2 *7606:A 0
3 *7378:Q 0.000149442
4 *1048:19 0.00131598
5 *1048:13 0.00243426
6 *1048:5 0.00129881
7 *1048:5 *1120:23 2.77625e-06
8 *1048:5 *1120:30 0.000113968
9 *1048:13 *1117:10 0.000207911
10 *1048:13 *1120:23 0.000124062
11 *1048:19 *7214:A1 0
12 *1048:19 *7379:CLK 0.000266508
13 *1048:19 *1096:1402 0.000141587
14 *1048:19 *1119:9 0.000470585
15 *1048:19 *1131:24 0.000148129
16 *1048:19 *1177:22 0
17 *7083:A2 *1048:13 0.00015634
18 *7377:D *1048:19 3.77804e-05
19 *7378:D *1048:13 0.000223132
20 *7411:D *1048:19 9.14669e-05
21 *7605:A *1048:19 0.000836795
22 *445:14 *1048:19 0
23 *515:6 *7087:A1 7.50872e-05
24 *844:10 *7087:A1 7.50872e-05
25 *844:22 *1048:5 1.92172e-05
26 *844:22 *1048:13 0.000582839
27 *1047:8 *1048:19 9.60366e-05
*RES
1 *7378:Q *1048:5 11.6364
2 *1048:5 *1048:13 25.9366
3 *1048:13 *1048:19 46.693
4 *1048:19 *7606:A 9.24915
5 *1048:5 *7087:A1 19.6659
*END
*D_NET *1049 0.00707536
*CONN
*I *7607:A I *D sky130_fd_sc_hd__buf_2
*I *7091:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7379:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7607:A 0.000909873
2 *7091:A1 0.000412799
3 *7379:Q 4.85009e-05
4 *1049:19 0.00181061
5 *1049:7 0.00136204
6 *7607:A *7221:A0 0.00113724
7 *7607:A *7221:A1 0.000640564
8 *7607:A *1123:9 0.000308563
9 *1049:7 *6598:B1 1.43983e-05
10 *1049:19 *6579:A 0
11 *1049:19 *1171:45 0
12 *6621:D_N *1049:19 9.80738e-05
13 *7091:B1 *7091:A1 2.85139e-05
14 *7100:B1 *1049:19 0
15 *7208:C *1049:19 0
16 *7221:S *7607:A 1.92336e-05
17 *442:15 *7091:A1 0
18 *442:15 *1049:19 0
19 *526:18 *1049:19 7.58739e-05
20 *834:18 *1049:19 0
21 *968:17 *7091:A1 8.01741e-05
22 *968:17 *1049:19 0.000128894
*RES
1 *7379:Q *1049:7 14.4725
2 *1049:7 *7091:A1 21.2932
3 *1049:7 *1049:19 27.5099
4 *1049:19 *7607:A 38.2814
*END
*D_NET *1050 0.00398751
*CONN
*I *7608:A I *D sky130_fd_sc_hd__buf_2
*I *7100:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7380:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7608:A 0.000993413
2 *7100:A1 0.000273872
3 *7380:Q 0
4 *1050:5 0.00126729
5 *7100:A1 *1121:9 7.97098e-06
6 *7100:A1 *1177:22 0
7 *7608:A *7223:A0 0.000525939
8 *7608:A *7223:A1 0.000634119
9 *7608:A *1101:13 0.000203975
10 *7608:A *1177:22 0
11 *7100:A2 *7100:A1 5.04829e-06
12 *7100:B1 *7100:A1 2.15266e-05
13 *7224:A *7608:A 5.43595e-05
14 *7380:D *7100:A1 0
*RES
1 *7380:Q *1050:5 13.7491
2 *1050:5 *7100:A1 20.0418
3 *1050:5 *7608:A 41.8404
*END
*D_NET *1051 0.00873815
*CONN
*I *7609:A I *D sky130_fd_sc_hd__buf_2
*I *7110:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7381:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7609:A 0
2 *7110:A1 0.000149516
3 *7381:Q 0.000390917
4 *1051:20 0.00060852
5 *1051:7 0.00114895
6 *1051:7 *1103:11 0.000619237
7 *1051:20 *7610:A 0.000149641
8 *1051:20 *1108:29 0.00280023
9 *1051:20 *1115:15 0.00210411
10 wb_data_o[8] *1051:20 4.31703e-05
11 *7100:A2 *7110:A1 1.07248e-05
12 *7119:A2 *1051:20 0.000225058
13 *7226:A *1051:20 0.000160617
14 *7381:D *7110:A1 0
15 *871:8 *7110:A1 0.000252359
16 *871:8 *1051:20 7.50872e-05
*RES
1 *7381:Q *1051:7 20.5732
2 *1051:7 *7110:A1 18.4879
3 *1051:7 *1051:20 42.4292
4 *1051:20 *7609:A 9.24915
*END
*D_NET *1052 0.00720912
*CONN
*I *7610:A I *D sky130_fd_sc_hd__buf_2
*I *7115:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7382:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7610:A 0.00247974
2 *7115:A1 0.000189643
3 *7382:Q 0
4 *1052:5 0.00266939
5 *7610:A *1108:29 0.000149641
6 *7610:A *1112:20 0.000197972
7 *7610:A *1114:25 0.000107179
8 *7610:A *1115:15 0.000144814
9 *7610:A *1124:10 0.000137573
10 wb_data_o[9] *7610:A 9.17959e-05
11 *7115:B1 *7115:A1 0.00011818
12 *7123:A2 *7115:A1 0.000122098
13 *7123:A2 *7610:A 4.01315e-05
14 *7382:D *7115:A1 3.34802e-05
15 *7382:D *7610:A 1.06441e-05
16 *7496:A *7610:A 7.63392e-05
17 *7525:A *7610:A 0.000433804
18 *452:18 *7610:A 0
19 *511:17 *7115:A1 3.54138e-05
20 *514:22 *7115:A1 1.75625e-05
21 *897:29 *7610:A 4.07914e-06
22 *1051:20 *7610:A 0.000149641
*RES
1 *7382:Q *1052:5 13.7491
2 *1052:5 *7115:A1 18.5201
3 *1052:5 *7610:A 30.3854
*END
*D_NET *1053 0.0051138
*CONN
*I *7611:A I *D sky130_fd_sc_hd__buf_2
*I *7207:A1 I *D sky130_fd_sc_hd__o21a_1
*I *7406:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7611:A 0.000500845
2 *7207:A1 9.10322e-05
3 *7406:Q 0.000186652
4 *1053:8 0.000778529
5 *7207:A1 *1125:7 4.79157e-05
6 *7611:A *7204:A 2.64238e-05
7 *7611:A *7445:A 6.08467e-05
8 *7611:A *1096:30 8.61737e-06
9 *7611:A *1096:35 0.000205637
10 *7611:A *1096:71 8.61737e-06
11 *7611:A *1098:11 0.000832362
12 sram_wmask0[0] *7611:A 0
13 sram_wmask0[0] *1053:8 0
14 wb_stall_o *7611:A 6.50727e-05
15 *7207:A2 *7207:A1 2.65831e-05
16 *7406:D *7207:A1 0.000532369
17 *7457:A *7207:A1 0.000795674
18 *7457:A *1053:8 0.000224395
19 *7543:A *7611:A 9.84669e-05
20 *233:21 *7611:A 0.00047481
21 *442:10 *7611:A 0.000148951
22 *968:11 *7611:A 0
*RES
1 *7406:Q *1053:8 17.1378
2 *1053:8 *7207:A1 22.237
3 *1053:8 *7611:A 32.2938
*END
*D_NET *1054 0.0662193
*CONN
*I *7192:B2 I *D sky130_fd_sc_hd__a221o_1
*I *7455:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *7192:B2 3.60998e-06
2 *7455:X 0.000606788
3 *1054:26 0.00163112
4 *1054:25 0.0026066
5 *1054:14 0.00251661
6 *1054:13 0.00153752
7 *1054:11 0.00203182
8 *1054:10 0.00263861
9 *1054:11 *1057:9 0.0212931
10 *1054:11 *1058:9 0.0201505
11 *1054:14 *7193:B2 0.000339559
12 *1054:14 *7373:CLK 0.000148129
13 *1054:14 *1061:11 0
14 *1054:14 *1125:18 6.57953e-05
15 *1054:14 *1127:25 0
16 *1054:14 *1128:23 0
17 *1054:14 *1156:55 0.000325312
18 *1054:25 *6704:B 5.69095e-05
19 *1054:25 *7193:B2 8.81386e-05
20 *1054:26 *1057:28 0.00083167
21 *1054:26 *1096:1627 0.000499148
22 sram_din0[24] *1054:10 9.88026e-05
23 sram_din0[2] *1054:14 0
24 *6629:A *1054:26 0
25 *7024:B *1054:14 0.000153886
26 *7045:D *1054:26 8.78801e-06
27 *7055:A2 *1054:14 0.000141533
28 *7059:B1 *1054:14 0.00155308
29 *7123:A1 *1054:26 0.000268195
30 *7192:C1 *7192:B2 1.43779e-05
31 *7373:D *1054:14 6.66393e-05
32 *7454:A *1054:10 0.000354781
33 *7455:A *1054:10 0.000432613
34 *7462:A *1054:14 0.000345238
35 *7535:A *1054:14 0
36 *434:10 *1054:26 0
37 *470:30 *1054:26 0.00156836
38 *519:11 *1054:26 0.000443456
39 *829:8 *1054:14 0.00222711
40 *838:10 *1054:26 0
41 *840:14 *1054:14 8.92568e-06
42 *975:15 *1054:11 0
43 *994:37 *1054:11 6.44576e-05
44 *1007:14 *1054:10 9.35153e-05
45 *1018:8 *1054:14 2.82537e-05
46 *1018:22 *1054:14 0.00018508
47 *1020:33 *1054:26 0.000675376
48 *1033:8 *1054:10 0.000101148
49 *1036:11 *7192:B2 1.47046e-05
*RES
1 *7455:X *1054:10 36.0519
2 *1054:10 *1054:11 229.22
3 *1054:11 *1054:13 4.5
4 *1054:13 *1054:14 64.3275
5 *1054:14 *1054:25 9.96666
6 *1054:25 *1054:26 63.0817
7 *1054:26 *7192:B2 13.924
*END
*D_NET *1055 0.0509643
*CONN
*I *7193:B2 I *D sky130_fd_sc_hd__a221o_1
*I *7456:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *7193:B2 0.00676722
2 *7456:X 2.56265e-05
3 *1055:11 0.021467
4 *1055:8 0.0147255
5 *7193:B2 *7265:A1 0.000110421
6 *7193:B2 *1057:14 0.000101275
7 *7193:B2 *1057:21 0.000168133
8 *7193:B2 *1057:27 0.000110421
9 *7193:B2 *1061:11 0.000143922
10 *7193:B2 *1096:1614 0.00011672
11 *7193:B2 *1128:24 0.000245389
12 *1055:11 *7622:A 0
13 *1055:11 *1062:28 0
14 *1055:11 *1063:35 0
15 *1055:11 *1096:334 0.000293042
16 *1055:11 *1135:36 0
17 sram_din0[26] *1055:8 4.51176e-05
18 *6792:B2 *1055:11 0
19 *7059:B1 *7193:B2 0.000231289
20 *7192:B1 *7193:B2 2.24484e-05
21 *7193:A2 *7193:B2 3.27324e-05
22 *7194:C1 *7193:B2 6.28189e-05
23 *7399:D *7193:B2 9.72539e-05
24 *7539:A *1055:11 0.00253312
25 *7567:A *1055:11 0.000262547
26 *438:16 *7193:B2 5.22654e-06
27 *470:33 *7193:B2 0.00019411
28 *470:41 *7193:B2 0.000321045
29 *587:53 *1055:11 0.000159234
30 *610:12 *1055:11 0
31 *641:41 *1055:11 0
32 *641:58 *1055:11 0
33 *829:8 *7193:B2 0.000681546
34 *829:40 *7193:B2 0.000226953
35 *838:10 *7193:B2 0.000308642
36 *978:7 *1055:11 0.000217213
37 *982:27 *1055:11 0
38 *989:5 *1055:11 0.00012426
39 *1004:32 *1055:11 0
40 *1011:7 *1055:11 0.000370154
41 *1018:22 *7193:B2 0.000231289
42 *1022:8 *1055:11 0.00012309
43 *1040:25 *7193:B2 1.18677e-05
44 *1054:14 *7193:B2 0.000339559
45 *1054:25 *7193:B2 8.81386e-05
*RES
1 *7456:X *1055:8 17.9118
2 *1055:8 *1055:11 34.2734
3 *1055:11 *7193:B2 40.2672
*END
*D_NET *1056 0.00578169
*CONN
*I *7055:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7457:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7055:A1 0.000852809
2 *7457:X 0.000770719
3 *1056:10 0.00162353
4 *7055:A1 *1110:5 2.97556e-05
5 *1056:10 *1125:18 0.000587442
6 *1056:10 *1156:40 0.000214289
7 *1056:10 *1174:28 0
8 *1056:10 *1174:43 8.62625e-06
9 *7055:A2 *7055:A1 0.000207266
10 *7260:A2 *1056:10 2.23919e-05
11 *7498:A *1056:10 5.51094e-05
12 *444:8 *1056:10 0.000431675
13 *1018:13 *7055:A1 0.000978073
*RES
1 *7457:X *1056:10 37.9776
2 *1056:10 *7055:A1 23.8376
*END
*D_NET *1057 0.0583971
*CONN
*I *7194:B2 I *D sky130_fd_sc_hd__a221o_1
*I *7458:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *7194:B2 1.80027e-05
2 *7458:X 0.000164894
3 *1057:28 0.00135721
4 *1057:27 0.00241465
5 *1057:21 0.00179241
6 *1057:14 0.00173341
7 *1057:9 0.00473623
8 *1057:8 0.00388468
9 *1057:9 *1058:9 0.00119042
10 *1057:9 *1133:14 0.00104404
11 *1057:14 *1061:11 0.000797461
12 *1057:14 *1173:44 1.91391e-05
13 *1057:21 *1125:43 0.000165495
14 *1057:21 *1127:25 4.91225e-06
15 *1057:21 *1178:17 9.28327e-05
16 *1057:27 *6713:B 0.000555245
17 *1057:27 *7265:A1 0.00118736
18 *1057:28 *1096:1603 0.000180818
19 *1057:28 *1096:1614 0.000222463
20 *1057:28 *1124:20 0.000349837
21 sram_din0[27] *1057:8 0.000116835
22 sram_wmask0[2] *1057:14 0.000259441
23 *7053:A2 *1057:21 2.65667e-05
24 *7058:A1 *1057:14 8.29816e-05
25 *7058:A1 *1057:21 0.00102007
26 *7193:B2 *1057:14 0.000101275
27 *7193:B2 *1057:21 0.000168133
28 *7193:B2 *1057:27 0.000110421
29 *7194:A1 *1057:28 3.20069e-06
30 *7194:C1 *7194:B2 1.15389e-05
31 *7459:A *1057:8 0
32 *7462:A *1057:14 0.000202278
33 *470:30 *1057:28 0.000983395
34 *470:41 *1057:21 0.00087982
35 *502:45 *1057:14 0.000205769
36 *524:8 *1057:21 7.69735e-05
37 *626:10 *1057:14 0
38 *634:14 *1057:27 4.09467e-05
39 *823:17 *1057:14 0
40 *829:8 *1057:28 0
41 *829:30 *1057:28 0
42 *829:40 *1057:28 0
43 *831:10 *1057:27 4.33819e-05
44 *838:10 *1057:28 0.000109543
45 *840:14 *1057:14 9.42275e-05
46 *840:14 *1057:21 0
47 *963:17 *1057:27 0.000339438
48 *994:37 *1057:9 0.00299983
49 *1018:22 *1057:21 0.000107496
50 *1044:9 *1057:9 0.00637729
51 *1054:11 *1057:9 0.0212931
52 *1054:26 *1057:28 0.00083167
*RES
1 *7458:X *1057:8 22.9879
2 *1057:8 *1057:9 255.286
3 *1057:9 *1057:14 37.7812
4 *1057:14 *1057:21 36.4855
5 *1057:21 *1057:27 39.8037
6 *1057:27 *1057:28 50.8318
7 *1057:28 *7194:B2 14.135
*END
*D_NET *1058 0.0654026
*CONN
*I *7197:B2 I *D sky130_fd_sc_hd__a221o_1
*I *7459:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *7197:B2 3.43061e-05
2 *7459:X 0.000117402
3 *1058:16 0.00348959
4 *1058:14 0.00377703
5 *1058:9 0.00265154
6 *1058:8 0.0024472
7 *7197:B2 *1096:1739 3.35392e-05
8 *1058:9 *1059:9 0.021446
9 *1058:9 *1060:9 1.41291e-05
10 *1058:16 *6694:B 0.000332543
11 *1058:16 *6724:B 0.000132761
12 *1058:16 *7385:CLK 6.91859e-05
13 *1058:16 *1098:44 0.000300989
14 *1058:16 *1098:72 0.000320857
15 *1058:16 *1135:36 0
16 *1058:16 *1137:21 0
17 sram_din0[28] *1058:8 0.0002212
18 sram_din0[3] *1058:14 0
19 *6592:B *1058:16 8.01741e-05
20 *6594:B *1058:16 7.20535e-05
21 *6724:A *1058:16 0.000170645
22 *6733:A *1058:16 0.000228344
23 *7041:B *1058:16 0.000202339
24 *7054:B2 *1058:16 0.000277091
25 *7147:B *1058:16 0
26 *7197:C1 *7197:B2 6.50727e-05
27 *7459:A *1058:8 0
28 *7465:A *1058:14 0
29 *7465:A *1058:16 0
30 *7536:A *1058:14 0
31 *7571:A *1058:14 3.44066e-05
32 *437:14 *1058:16 0
33 *438:8 *1058:16 0.00191323
34 *438:15 *7197:B2 0
35 *438:58 *1058:16 0.00440576
36 *563:27 *1058:16 0.000247246
37 *569:26 *1058:16 7.01068e-05
38 *577:8 *1058:16 0
39 *818:20 *1058:16 0
40 *818:27 *1058:16 0
41 *894:17 *1058:16 9.51082e-05
42 *898:10 *1058:16 0.00035709
43 *975:15 *1058:9 9.43847e-06
44 *1009:29 *1058:9 0.000326836
45 *1040:25 *7197:B2 0.000118443
46 *1054:11 *1058:9 0.0201505
47 *1057:9 *1058:9 0.00119042
*RES
1 *7459:X *1058:8 22.1574
2 *1058:8 *1058:9 250.295
3 *1058:9 *1058:14 11.6692
4 *1058:14 *1058:16 130.524
5 *1058:16 *7197:B2 15.7807
*END
*D_NET *1059 0.0629891
*CONN
*I *7198:B2 I *D sky130_fd_sc_hd__a221o_1
*I *7460:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *7198:B2 0.000100033
2 *7460:X 0.000117456
3 *1059:16 0.00315617
4 *1059:14 0.00332042
5 *1059:9 0.00246515
6 *1059:8 0.00231833
7 *1059:9 *1060:9 0.003463
8 *1059:14 *1060:12 0.00026193
9 *1059:16 *1060:12 0.000352401
10 *1059:16 *1060:16 0.00375901
11 *1059:16 *1117:26 9.22013e-06
12 sram_addr0[5] *1059:14 0
13 sram_addr0[5] *1059:16 8.9771e-06
14 sram_din0[29] *1059:8 8.85814e-05
15 *6738:B1 *1059:16 0.000143032
16 *7062:A *1059:16 0.00030355
17 *7085:A1 *1059:16 0.00150882
18 *7085:A3 *1059:16 2.46773e-05
19 *7085:B1 *1059:16 0
20 *7160:A *1059:16 0
21 *7198:A1 *7198:B2 2.41483e-05
22 *7198:A2 *7198:B2 1.90494e-05
23 *7198:B1 *7198:B2 5.61615e-05
24 *7460:A *1059:8 0
25 *7466:A *1059:14 2.5386e-05
26 *410:11 *1059:16 0.000383166
27 *427:10 *1059:16 0.000696311
28 *430:10 *1059:16 0
29 *567:20 *1059:16 0.00161859
30 *567:24 *1059:16 0.000358589
31 *578:35 *1059:9 0.0143565
32 *821:16 *1059:16 0
33 *832:30 *1059:16 0.000458255
34 *842:34 *1059:16 0
35 *842:47 *1059:16 0.000195154
36 *845:54 *1059:16 0.000788913
37 *846:8 *1059:16 0
38 *913:10 *1059:16 0
39 *934:8 *1059:16 0.00029479
40 *1010:14 *1059:16 0
41 *1025:21 *7198:B2 0.00065613
42 *1040:9 *7198:B2 0.00020353
43 *1040:24 *7198:B2 7.68538e-06
44 *1058:9 *1059:9 0.021446
*RES
1 *7460:X *1059:8 21.7421
2 *1059:8 *1059:9 236.43
3 *1059:9 *1059:14 11.254
4 *1059:14 *1059:16 127.617
5 *1059:16 *7198:B2 21.5377
*END
*D_NET *1060 0.0589692
*CONN
*I *7199:B2 I *D sky130_fd_sc_hd__a221o_1
*I *7461:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *7199:B2 0.000168024
2 *7461:X 9.27998e-05
3 *1060:16 0.00251512
4 *1060:14 0.00238413
5 *1060:12 0.00168956
6 *1060:11 0.00165252
7 *1060:9 0.00386031
8 *1060:8 0.00395311
9 *1060:9 *1122:14 0.00335704
10 *1060:12 *1096:140 0
11 *1060:12 *1110:12 0
12 *1060:16 *7387:CLK 0
13 *1060:16 *1099:30 2.7961e-05
14 *1060:16 *1121:21 0.000447513
15 sram_din0[30] *1060:8 5.54078e-05
16 *6722:B *1060:16 2.73085e-05
17 *6722:C *1060:16 0.000330359
18 *7079:B2 *1060:12 0.000216103
19 *7079:C1 *1060:12 9.22013e-06
20 *7198:A2 *1060:16 0
21 *7199:A1 *7199:B2 0.000133814
22 *7199:A2 *7199:B2 1.67329e-05
23 *7199:A2 *1060:16 0
24 *7199:B1 *7199:B2 7.20477e-05
25 *7285:D *1060:12 0
26 *7401:D *1060:16 0
27 *7461:A *1060:8 0
28 *7466:A *1060:12 0.000728596
29 *427:10 *1060:16 0.000342319
30 *567:20 *1060:12 0.00161866
31 *567:24 *1060:12 0.000358589
32 *578:35 *1060:9 0.014348
33 *832:30 *1060:12 0.000456771
34 *850:36 *1060:12 3.42931e-05
35 *855:24 *1060:12 0.000842596
36 *855:24 *1060:16 2.67486e-05
37 *855:39 *1060:16 0
38 *865:15 *1060:12 0.000228344
39 *905:8 *1060:16 0.000478215
40 *927:19 *1060:16 0
41 *975:15 *1060:9 0.000291278
42 *1009:29 *1060:9 0.00323371
43 *1015:31 *1060:9 0.00121044
44 *1022:13 *1060:9 4.99897e-05
45 *1033:9 *1060:9 0.00586109
46 *1058:9 *1060:9 1.41291e-05
47 *1059:9 *1060:9 0.003463
48 *1059:14 *1060:12 0.00026193
49 *1059:16 *1060:12 0.000352401
50 *1059:16 *1060:16 0.00375901
*RES
1 *7461:X *1060:8 20.9116
2 *1060:8 *1060:9 245.858
3 *1060:9 *1060:11 4.5
4 *1060:11 *1060:12 64.1809
5 *1060:12 *1060:14 0.732798
6 *1060:14 *1060:16 81.9146
7 *1060:16 *7199:B2 19.3193
*END
*D_NET *1061 0.00462493
*CONN
*I *7067:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7462:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7067:A1 8.56812e-05
2 *7462:X 0.000815716
3 *1061:11 0.000901397
4 *7067:A1 *7067:B2 6.81008e-05
5 *1061:11 *6594:A 6.36477e-05
6 *1061:11 *1136:27 0.000173042
7 *1061:11 *1137:15 0.000782786
8 *7193:B2 *1061:11 0.000143922
9 *7373:D *1061:11 5.96936e-05
10 *7462:A *1061:11 2.22198e-05
11 *577:8 *7067:A1 4.01573e-05
12 *823:17 *1061:11 6.64392e-05
13 *840:14 *1061:11 0.000386733
14 *1030:7 *1061:11 5.31074e-05
15 *1030:9 *1061:11 0.000164829
16 *1054:14 *1061:11 0
17 *1057:14 *1061:11 0.000797461
*RES
1 *7462:X *1061:11 49.5144
2 *1061:11 *7067:A1 20.9116
*END
*D_NET *1062 0.0573363
*CONN
*I *5641:DIODE I *D sky130_fd_sc_hd__diode_2
*I *7200:B2 I *D sky130_fd_sc_hd__a221o_1
*I *7463:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *5641:DIODE 0
2 *7200:B2 0.000369221
3 *7463:X 0
4 *1062:76 0.000369221
5 *1062:74 0.0015906
6 *1062:72 0.00287246
7 *1062:68 0.00192245
8 *1062:65 0.00141862
9 *1062:50 0.00323105
10 *1062:33 0.00369912
11 *1062:31 0.00180648
12 *1062:28 0.00236348
13 *1062:10 0.00292461
14 *1062:4 0.00112151
15 *7200:B2 *1106:9 3.02474e-05
16 *1062:10 *1063:9 0.00155205
17 *1062:28 *7315:CLK 2.33103e-06
18 *1062:28 *1063:9 8.41339e-05
19 *1062:28 *1063:20 0.002691
20 *1062:28 *1096:420 1.5714e-05
21 *1062:31 *1063:24 0.00303791
22 *1062:33 *1111:13 4.87301e-05
23 *1062:50 *7622:A 0.00213211
24 *1062:50 *1066:8 2.652e-05
25 *1062:50 *1071:8 1.35431e-05
26 *1062:68 *7074:A1 0.000916044
27 *1062:68 *7619:A 0.000212034
28 *1062:68 *1063:62 0.00016838
29 *1062:68 *1110:12 0
30 *1062:72 *1063:62 0.000548213
31 *1062:72 *1063:70 0.00143842
32 *1062:72 *1110:12 0
33 *1062:72 *1110:27 0
34 *1062:74 *6723:A2 0
35 *1062:74 *7387:CLK 0
36 *1062:74 *1063:70 0.000153104
37 *1062:74 *1063:72 0.00270288
38 *1062:74 *1103:34 0
39 *1062:74 *1105:40 7.50872e-05
40 sram_din0[18] *1062:31 3.59437e-05
41 *6689:A3 *1062:72 5.3381e-05
42 *6723:A3 *1062:72 4.83622e-05
43 *6789:A2 *1062:28 2.02035e-05
44 *6789:B2 *1062:28 4.32866e-05
45 *6792:B2 *1062:28 0.00085475
46 *6810:A1 *1062:31 0.000318056
47 *6810:A2 *1062:31 0.000171288
48 *6811:A1 *1062:50 0.000551061
49 *6815:B2 *1062:31 0.000171273
50 *6817:A2 *1062:31 9.33812e-05
51 *6817:B1 *1062:31 2.65831e-05
52 *6819:B1 *1062:28 0.000440113
53 *6841:B1 *1062:33 8.5824e-05
54 *6842:A1 *1062:33 6.50727e-05
55 *6842:A2 *1062:33 4.31539e-05
56 *6842:B2 *1062:33 2.65667e-05
57 *6861:A1 *1062:31 0.000157014
58 *6861:A2 *1062:31 3.75221e-05
59 *6861:B1 *1062:33 0.000107157
60 *6861:C1 *1062:33 2.65831e-05
61 *7047:A *1062:72 0.000101133
62 *7066:A *1062:68 9.73734e-05
63 *7066:A *1062:72 0.000167443
64 *7200:A2 *7200:B2 5.94977e-06
65 *7280:D *1062:72 3.77659e-05
66 *7281:D *1062:72 9.60366e-05
67 *7293:D *1062:74 0.000148129
68 *7300:D *1062:72 0.000148144
69 *7303:D *1062:74 5.66868e-06
70 *7331:D *1062:50 0
71 *7353:D *1062:50 1.07248e-05
72 *7463:A *1062:10 0.000175485
73 *7466:A *1062:65 0.000357898
74 *7512:A *1062:33 2.57986e-05
75 *7562:A *1062:28 0.000317707
76 *7567:A *1062:28 4.28383e-06
77 *502:37 *1062:50 0.000661482
78 *559:46 *1062:72 0
79 *559:46 *1062:74 2.72532e-06
80 *559:50 *1062:72 0
81 *562:28 *1062:72 0.000213418
82 *562:44 *1062:72 1.78514e-05
83 *577:46 *1062:50 0
84 *599:12 *1062:74 0
85 *610:12 *1062:50 0.000401253
86 *623:41 *1062:28 7.22715e-05
87 *641:31 *1062:31 0.000299363
88 *641:31 *1062:33 0.000655187
89 *641:58 *1062:28 7.98526e-05
90 *694:13 *1062:50 1.5714e-05
91 *827:14 *1062:74 0
92 *828:14 *1062:74 0.000197171
93 *832:36 *1062:72 6.54792e-05
94 *855:39 *1062:74 3.98327e-05
95 *855:46 *1062:74 0.000207572
96 *909:8 *1062:74 0.000440166
97 *976:5 *1062:65 1.03434e-05
98 *976:9 *1062:65 0.0018266
99 *982:15 *1062:33 0.00495047
100 *992:13 *1062:31 0.000224395
101 *998:39 *1062:28 5.39635e-06
102 *1004:32 *1062:28 0.00213899
103 *1009:10 *1062:33 2.9373e-05
104 *1009:24 *1062:50 0.000148921
105 *1012:24 *1062:50 1.9101e-05
106 *1055:11 *1062:28 0
*RES
1 *7463:X *1062:4 9.24915
2 *1062:4 *1062:10 49.4569
3 *1062:10 *1062:28 37.2879
4 *1062:28 *1062:31 34.5783
5 *1062:31 *1062:33 57.8476
6 *1062:33 *1062:50 41.1696
7 *1062:50 *1062:65 31.3139
8 *1062:65 *1062:68 23.9503
9 *1062:68 *1062:72 47.412
10 *1062:72 *1062:74 58.5139
11 *1062:74 *1062:76 4.5
12 *1062:76 *7200:B2 15.2292
13 *1062:76 *5641:DIODE 9.24915
*END
*D_NET *1063 0.0612725
*CONN
*I *5648:DIODE I *D sky130_fd_sc_hd__diode_2
*I *7201:B2 I *D sky130_fd_sc_hd__a221o_1
*I *7464:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *5648:DIODE 1.36747e-05
2 *7201:B2 0.000111617
3 *7464:X 0.000169566
4 *1063:74 0.000125291
5 *1063:72 0.00148923
6 *1063:70 0.00273794
7 *1063:62 0.0024772
8 *1063:57 0.0029823
9 *1063:47 0.00227439
10 *1063:35 0.00409258
11 *1063:24 0.0047116
12 *1063:20 0.00187992
13 *1063:9 0.00412412
14 *1063:8 0.00355335
15 *1063:9 *7308:CLK 8.09995e-05
16 *1063:35 *1096:334 0.000401051
17 *1063:47 *7331:CLK 0.000193027
18 *1063:47 *1070:7 0.000753223
19 *1063:47 *1071:7 0.000228593
20 *1063:47 *1096:235 0.000430366
21 *1063:57 *1068:10 1.62073e-05
22 *1063:57 *1096:164 0.000133109
23 *1063:57 *1096:180 0.000392855
24 *1063:62 *7074:A1 0.00109535
25 *1063:62 *7619:A 0
26 *1063:62 *1176:31 0
27 sram_addr0[5] *1063:57 0.000171288
28 sram_addr0[7] *1063:57 0.000220183
29 sram_addr1[5] *1063:57 0.000317707
30 sram_din0[7] *1063:47 0.000736122
31 *6585:A *1063:72 0
32 *6586:A *1063:70 0
33 *6599:B *1063:62 0
34 *6600:A *1063:57 2.93863e-05
35 *6600:A *1063:62 4.4037e-05
36 *6773:A2 *1063:20 0.000149882
37 *6789:A2 *1063:20 1.5714e-05
38 *6792:B1 *1063:24 4.91225e-06
39 *6811:A1 *1063:35 0
40 *6811:B1 *1063:24 0.000228593
41 *6818:A1 *1063:24 0.000128946
42 *6818:A2 *1063:24 2.65831e-05
43 *6818:B1 *1063:24 1.43828e-05
44 *6819:A1 *1063:24 0.00114163
45 *6841:B1 *1063:35 1.5714e-05
46 *6861:A2 *1063:24 5.41377e-05
47 *6871:A2 *1063:47 0.000202039
48 *6871:B2 *1063:47 6.03713e-05
49 *6871:B2 *1063:57 7.68538e-06
50 *6873:A *1063:57 0.000683001
51 *7047:A *1063:70 0.000101133
52 *7070:A1 *1063:62 0
53 *7070:B1 *1063:62 5.372e-05
54 *7077:A2 *1063:62 0.000634011
55 *7196:A *1063:72 0.000170592
56 *7201:A2 *7201:B2 1.67329e-05
57 *7201:A2 *1063:72 0
58 *7201:C1 *7201:B2 3.49072e-06
59 *7282:D *1063:62 0
60 *7293:D *1063:70 0.000148129
61 *7308:D *1063:9 4.2372e-05
62 *7322:D *1063:35 0.000938613
63 *7333:D *1063:47 0.000175851
64 *7403:D *1063:72 7.58595e-05
65 *7469:A *1063:57 0.000115615
66 *7471:A *1063:47 0.000702362
67 *7472:A *1063:35 2.41483e-05
68 *7472:A *1063:47 0.000171288
69 *7537:A *1063:57 0.000171273
70 *7538:A *1063:57 0.000992969
71 *7549:A *1063:35 1.9101e-05
72 *7562:A *1063:9 0.000606321
73 *273:17 *1063:9 4.28035e-05
74 *439:23 *1063:72 0
75 *440:8 *1063:72 0.000174175
76 *440:12 *1063:72 0
77 *440:21 *1063:72 0
78 *485:8 *1063:70 0.00136491
79 *486:11 *1063:62 5.76123e-05
80 *587:53 *1063:35 0.000549372
81 *623:41 *1063:20 0.000368999
82 *828:14 *1063:72 0.000807654
83 *853:18 *1063:62 1.59383e-05
84 *853:18 *1063:70 8.10016e-06
85 *855:46 *1063:72 8.30103e-05
86 *855:58 *5648:DIODE 6.50727e-05
87 *855:58 *7201:B2 0.00010024
88 *855:58 *1063:72 2.75427e-05
89 *897:12 *1063:70 0.000153806
90 *897:12 *1063:72 3.49789e-05
91 *931:8 *1063:62 0
92 *976:19 *1063:47 0.000139279
93 *989:14 *1063:24 0.000145456
94 *994:26 *1063:35 5.57686e-05
95 *994:26 *1063:47 6.12066e-05
96 *995:9 *1063:24 5.56461e-05
97 *998:39 *1063:20 4.69495e-06
98 *1014:21 *1063:47 0.000274756
99 *1033:23 *1063:24 3.82185e-05
100 *1041:11 *5648:DIODE 1.19856e-05
101 *1041:11 *7201:B2 0.000371719
102 *1055:11 *1063:35 0
103 *1062:10 *1063:9 0.00155205
104 *1062:28 *1063:9 8.41339e-05
105 *1062:28 *1063:20 0.002691
106 *1062:31 *1063:24 0.00303791
107 *1062:68 *1063:62 0.00016838
108 *1062:72 *1063:62 0.000548213
109 *1062:72 *1063:70 0.00143842
110 *1062:74 *1063:70 0.000153104
111 *1062:74 *1063:72 0.00270288
*RES
1 *7464:X *1063:8 22.1574
2 *1063:8 *1063:9 57.8476
3 *1063:9 *1063:20 20.402
4 *1063:20 *1063:24 48.025
5 *1063:24 *1063:35 37.1217
6 *1063:35 *1063:47 33.3003
7 *1063:47 *1063:57 48.2505
8 *1063:57 *1063:62 46.3061
9 *1063:62 *1063:70 48.3158
10 *1063:70 *1063:72 55.6072
11 *1063:72 *1063:74 4.5
12 *1063:74 *7201:B2 15.2533
13 *1063:74 *5648:DIODE 9.97254
*END
*D_NET *1064 0.00634685
*CONN
*I *7074:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7465:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7074:A1 0.000545635
2 *7465:X 0.000205153
3 *1064:7 0.000750788
4 *7074:A1 *7619:A 0
5 *6587:A *1064:7 0.000221329
6 *6596:B *1064:7 0.000217937
7 *6597:A *1064:7 4.7451e-05
8 *6600:A *7074:A1 6.10997e-05
9 *7067:C1 *7074:A1 0
10 *7074:A2 *7074:A1 8.43052e-05
11 *487:9 *1064:7 0.000507622
12 *849:31 *7074:A1 7.45639e-05
13 *982:15 *1064:7 0.00161957
14 *1062:68 *7074:A1 0.000916044
15 *1063:62 *7074:A1 0.00109535
*RES
1 *7465:X *1064:7 31.1106
2 *1064:7 *7074:A1 36.9575
*END
*D_NET *1065 0.0024192
*CONN
*I *7079:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7466:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7079:A1 0.000637788
2 *7466:X 0.000637788
3 *7079:A1 *1139:40 0
4 *7079:A1 *1140:55 0
5 *7079:A2 *7079:A1 4.17481e-05
6 *7082:A2 *7079:A1 3.67528e-06
7 *7085:A1 *7079:A1 0.000722935
8 *7088:B1 *7079:A1 6.34544e-06
9 *7284:D *7079:A1 0.000148129
10 *849:39 *7079:A1 1.49082e-05
11 *934:8 *7079:A1 0.00020588
*RES
1 *7466:X *7079:A1 46.1361
*END
*D_NET *1066 0.00441383
*CONN
*I *7084:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7467:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7084:A1 0.000461186
2 *7467:X 0.000913317
3 *1066:8 0.0013745
4 *7084:A1 *7084:B2 0.000412003
5 *1066:8 *1096:197 0.000775042
6 *1066:8 *1138:29 0
7 *6949:A *1066:8 5.54078e-05
8 *7088:A2 *7084:A1 0.000317418
9 *7353:D *1066:8 3.91205e-05
10 *578:24 *1066:8 2.25812e-05
11 *847:52 *7084:A1 1.67329e-05
12 *1062:50 *1066:8 2.652e-05
*RES
1 *7467:X *1066:8 43.3353
2 *1066:8 *7084:A1 22.7284
*END
*D_NET *1067 0.00677839
*CONN
*I *7116:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7468:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7116:A1 0.000522281
2 *7468:X 3.91997e-05
3 *1067:8 0.00264574
4 *1067:7 0.00216266
5 *7116:A1 *1143:28 3.20069e-06
6 *7116:A1 *1143:30 0.000267198
7 *1067:8 *7322:CLK 0.000161539
8 *1067:8 *1096:278 7.34861e-05
9 *1067:8 *1096:280 0.000144389
10 *1067:8 *1096:305 0
11 *1067:8 *1143:30 0
12 *6608:A *1067:8 0
13 *6937:C *1067:8 0
14 *7094:A *7116:A1 4.01315e-05
15 *7548:A *1067:8 0
16 *7577:A *1067:7 6.92705e-05
17 *288:11 *1067:8 0.000209793
18 *502:20 *1067:8 2.45847e-05
19 *502:30 *1067:8 3.55432e-05
20 *587:38 *1067:8 0
21 *820:36 *7116:A1 0.00035735
22 *873:51 *7116:A1 5.68225e-06
23 *962:44 *7116:A1 1.63493e-05
*RES
1 *7468:X *1067:7 14.4725
2 *1067:7 *1067:8 51.247
3 *1067:8 *7116:A1 37.0738
*END
*D_NET *1068 0.00501548
*CONN
*I *7088:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7469:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7088:A1 0.000548993
2 *7469:X 0.000752827
3 *1068:10 0.00130182
4 *7088:A1 *7084:B2 0.000110297
5 *7088:A1 *1139:30 0.000495923
6 *1068:10 *1096:180 0.000101203
7 *1068:10 *1125:84 0.000112843
8 *1068:10 *1135:18 3.244e-05
9 *1068:10 *1138:29 0.000787765
10 *1068:10 *1138:36 0.000194749
11 *6945:B *1068:10 0
12 *6947:B *1068:10 6.96846e-05
13 *6948:B *1068:10 0.000158852
14 *7088:A2 *7088:A1 1.90494e-05
15 *533:8 *1068:10 9.75356e-05
16 *578:34 *1068:10 0
17 *694:13 *1068:10 4.3116e-06
18 *748:25 *1068:10 0
19 *1012:24 *1068:10 0.000210977
20 *1063:57 *1068:10 1.62073e-05
*RES
1 *7469:X *1068:10 42.4005
2 *1068:10 *7088:A1 24.3922
*END
*D_NET *1069 0.00488977
*CONN
*I *7096:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7470:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7096:A1 0
2 *7470:X 0
3 *1069:11 0.00153155
4 *1069:5 0.00153155
5 *1069:11 *1096:224 0.000166317
6 *1069:11 *1096:229 0.000216203
7 *1069:11 *1096:235 0
8 *6940:A1 *1069:11 0.000216088
9 *6940:A2 *1069:11 0.000191541
10 *6940:B1 *1069:11 2.5386e-05
11 *6959:B1 *1069:11 0.000666667
12 *7351:D *1069:11 0.000280005
13 *7470:A *1069:11 5.41227e-05
14 *538:46 *1069:11 0
15 *577:46 *1069:11 0
16 *691:25 *1069:11 0
17 *814:21 *1069:11 1.03403e-05
*RES
1 *7470:X *1069:5 13.7491
2 *1069:5 *1069:11 48.7441
3 *1069:11 *7096:A1 9.24915
*END
*D_NET *1070 0.00756192
*CONN
*I *7104:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7471:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7104:A1 0
2 *7471:X 0.00042903
3 *1070:8 0.00170373
4 *1070:7 0.00213276
5 *1070:8 *7331:CLK 0.000118485
6 *1070:8 *1142:26 7.08723e-06
7 *1070:8 *1142:30 0.000511944
8 *6874:A2 *1070:8 0.000214168
9 *6954:B *1070:8 0
10 *7096:A2 *1070:8 0.000163997
11 *7104:A2 *1070:8 6.52159e-05
12 *7355:D *1070:8 4.27148e-05
13 *622:30 *1070:8 0
14 *688:56 *1070:8 8.03119e-06
15 *695:20 *1070:8 0.00040261
16 *695:27 *1070:8 0.000313195
17 *697:8 *1070:8 0.00030752
18 *697:15 *1070:8 0.000352193
19 *962:17 *1070:8 0
20 *1012:11 *1070:8 3.60268e-05
21 *1014:21 *1070:8 0
22 *1063:47 *1070:7 0.000753223
*RES
1 *7471:X *1070:7 21.6824
2 *1070:7 *1070:8 52.4928
3 *1070:8 *7104:A1 13.7491
*END
*D_NET *1071 0.00687498
*CONN
*I *7111:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7472:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7111:A1 0.000191549
2 *7472:X 0.000127998
3 *1071:8 0.00235861
4 *1071:7 0.00229506
5 *1071:8 *6960:C 0.000326391
6 *1071:8 *1105:56 0
7 *1071:8 *1117:44 2.87136e-06
8 *1071:8 *1140:20 0.000478641
9 *6935:A1 *1071:8 0.000272499
10 *6935:A2 *1071:8 0.000143032
11 *6936:B *1071:8 5.33945e-05
12 *6955:A2 *1071:8 0
13 *7111:A2 *7111:A1 1.67329e-05
14 *7331:D *1071:8 0
15 *502:30 *1071:8 0
16 *547:37 *1071:8 4.81996e-05
17 *571:29 *1071:8 0
18 *610:12 *1071:8 0
19 *832:26 *7111:A1 0.00021037
20 *881:19 *7111:A1 0.000107496
21 *1014:9 *1071:8 0
22 *1014:37 *1071:8 0
23 *1062:50 *1071:8 1.35431e-05
24 *1063:47 *1071:7 0.000228593
*RES
1 *7472:X *1071:7 16.1364
2 *1071:7 *1071:8 54.9843
3 *1071:8 *7111:A1 19.464
*END
*D_NET *1072 0.00184204
*CONN
*I *7227:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7473:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7227:A1 0.000760481
2 *7473:X 0.000760481
3 *7227:A1 *7227:A0 0
4 *7227:A1 *7229:A1 1.47324e-05
5 *7227:A1 *1112:20 0.000284018
6 *7473:A *7227:A1 2.23259e-05
*RES
1 *7473:X *7227:A1 40.5855
*END
*D_NET *1073 0.00158707
*CONN
*I *7229:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7474:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7229:A1 0.000751533
2 *7474:X 0.000751533
3 *7229:A1 *7229:A0 6.92705e-05
4 *7227:A1 *7229:A1 1.47324e-05
*RES
1 *7474:X *7229:A1 29.2046
*END
*D_NET *1074 0.00041731
*CONN
*I *7232:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7475:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7232:A1 0.000112697
2 *7475:X 0.000112697
3 *7232:A1 *7416:CLK 2.65667e-05
4 *7232:A1 *1112:16 0.000115573
5 *7232:S *7232:A1 9.12416e-06
6 *7475:A *7232:A1 4.06514e-05
*RES
1 *7475:X *7232:A1 30.4689
*END
*D_NET *1075 0.00114129
*CONN
*I *7234:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7476:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7234:A1 0.000432202
2 *7476:X 0.000432202
3 *7234:A1 *7234:A0 6.64392e-05
4 *7234:S *7234:A1 0.000210449
*RES
1 *7476:X *7234:A1 29.2287
*END
*D_NET *1076 0.00129997
*CONN
*I *7236:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7477:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7236:A1 0.000494477
2 *7477:X 0.000494477
3 *7236:A1 *1112:16 2.7985e-05
4 *7236:A1 *1160:22 0.000171288
5 *7237:A *7236:A1 4.31703e-05
6 *7584:A *7236:A1 6.85742e-05
*RES
1 *7477:X *7236:A1 35.7391
*END
*D_NET *1077 0.00138428
*CONN
*I *7238:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7478:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7238:A1 0.000383161
2 *7478:X 0.000383161
3 *7238:A1 *7238:A0 6.92705e-05
4 *7239:A *7238:A1 1.65872e-05
5 *7478:A *7238:A1 4.31485e-06
6 *7506:A *7238:A1 0.000109605
7 *458:19 *7238:A1 0.000217937
8 *1038:32 *7238:A1 0.000200245
*RES
1 *7478:X *7238:A1 38.2334
*END
*D_NET *1078 0.00765069
*CONN
*I *7120:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7479:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7120:A1 0.000150897
2 *7479:X 7.20856e-05
3 *1078:8 0.00212877
4 *1078:7 0.00204996
5 *1078:8 *7326:CLK 0.000196653
6 *1078:8 *7336:CLK 0.000386733
7 *1078:8 *1096:667 0.000210445
8 *6640:A *1078:8 0.000165511
9 *6843:B2 *1078:8 0.000126455
10 *6845:A2 *1078:8 0
11 *6846:A2 *1078:8 0
12 *6846:B1 *1078:8 0
13 *6932:B *1078:8 0.000378084
14 *7120:A2 *7120:A1 7.21568e-05
15 *7547:A *1078:8 2.652e-05
16 *7548:A *1078:8 0
17 *7577:A *1078:7 0.000118166
18 *500:17 *1078:8 0.000428115
19 *529:8 *1078:8 8.93599e-05
20 *529:17 *1078:8 3.10924e-05
21 *538:43 *7120:A1 1.80887e-05
22 *587:38 *1078:8 0.000725063
23 *610:34 *1078:8 0.000213422
24 *695:16 *1078:8 1.5714e-05
25 *895:16 *7120:A1 2.34459e-05
26 *983:19 *1078:8 2.39535e-05
27 *1016:8 *1078:8 0
*RES
1 *7479:X *1078:7 15.0271
2 *1078:7 *1078:8 62.8741
3 *1078:8 *7120:A1 17.2456
*END
*D_NET *1079 0.00322407
*CONN
*I *7240:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7480:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7240:A1 0
2 *7480:X 0.000538067
3 *1079:11 0.000538067
4 *1079:11 *7243:A0 5.2472e-05
5 *1079:11 *1163:20 4.07664e-05
6 *7241:A *1079:11 4.55535e-05
7 *7242:A *1079:11 0.000183475
8 *7243:S *1079:11 0.000236278
9 *445:32 *1079:11 0
10 *464:8 *1079:11 0.000110814
11 *1036:11 *1079:11 6.92705e-05
12 *1043:5 *1079:11 0.0014093
*RES
1 *7480:X *1079:11 40.9335
2 *1079:11 *7240:A1 9.24915
*END
*D_NET *1080 0.00199051
*CONN
*I *7243:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7481:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7243:A1 0.000655958
2 *7481:X 0.000655958
3 *7243:A1 *1164:18 0.000678596
*RES
1 *7481:X *7243:A1 39.6157
*END
*D_NET *1081 0.00157174
*CONN
*I *7245:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7482:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7245:A1 0.000547528
2 *7482:X 0.000547528
3 *7245:A1 *1107:11 0.000128915
4 *7246:A *7245:A1 2.65831e-05
5 *7423:D *7245:A1 9.60366e-05
6 *464:8 *7245:A1 1.44467e-05
7 *464:19 *7245:A1 8.0123e-05
8 *1043:8 *7245:A1 0.000130582
*RES
1 *7482:X *7245:A1 39.337
*END
*D_NET *1082 0.00286355
*CONN
*I *7247:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7483:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7247:A1 0.00100325
2 *7483:X 0.00100325
3 *7247:A1 *7247:A0 6.50727e-05
4 *7247:A1 *7249:A0 0
5 *7247:A1 *7426:CLK 6.06295e-05
6 *7247:A1 *1096:1521 3.82228e-05
7 *7247:A1 *1109:10 0.000103416
8 *7247:S *7247:A1 2.16355e-05
9 *7425:D *7247:A1 3.18826e-06
10 *7483:A *7247:A1 1.82679e-05
11 *1043:8 *7247:A1 0.000546615
*RES
1 *7483:X *7247:A1 48.0951
*END
*D_NET *1083 0.000948464
*CONN
*I *7249:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7484:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7249:A1 0.000257828
2 *7484:X 0.000257828
3 *7249:A1 *7249:A0 3.14978e-05
4 *7249:A1 *1112:6 4.6613e-05
5 *464:31 *7249:A1 9.82459e-05
6 *1029:18 *7249:A1 2.85274e-05
7 *1041:32 *7249:A1 0.000152447
8 *1043:8 *7249:A1 7.54776e-05
*RES
1 *7484:X *7249:A1 35.069
*END
*D_NET *1084 0.00495692
*CONN
*I *7251:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7485:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7251:A1 0
2 *7485:X 0.00147207
3 *1084:10 0.00147207
4 *1084:10 *6601:B 7.50722e-05
5 *1084:10 *1167:8 0
6 *7252:A *1084:10 0.000320272
7 *1031:18 *1084:10 0.00161744
*RES
1 *7485:X *1084:10 49.9002
2 *1084:10 *7251:A1 9.24915
*END
*D_NET *1085 0.00716811
*CONN
*I *7254:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7486:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7254:A1 0.000712483
2 *7486:X 0.00182864
3 *1085:7 0.00254112
4 *7254:A1 *6601:A 0.000367268
5 *7254:A1 *1086:8 0.00168215
6 *7486:A *1085:7 3.6455e-05
*RES
1 *7486:X *1085:7 39.9843
2 *1085:7 *7254:A1 42.5725
*END
*D_NET *1086 0.00925717
*CONN
*I *7256:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7487:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7256:A1 0.000106718
2 *7487:X 0.00166894
3 *1086:8 0.00154223
4 *1086:7 0.00310445
5 *1086:8 *6601:A 2.79471e-05
6 *1086:8 *6601:D 4.27003e-05
7 *1086:8 *7254:A0 0.000118268
8 *1086:8 *1165:18 7.58739e-05
9 *1086:8 *1169:8 7.50872e-05
10 *7254:A1 *1086:8 0.00168215
11 *7254:S *1086:8 5.68696e-05
12 *7594:A *1086:7 0.000591104
13 *1027:13 *7256:A1 0.000164829
14 *1035:16 *1086:8 0
15 *1041:23 *1086:8 0
*RES
1 *7487:X *1086:7 40.5389
2 *1086:7 *1086:8 46.6792
3 *1086:8 *7256:A1 15.5817
*END
*D_NET *1087 0.00118279
*CONN
*I *7210:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7488:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7210:A1 0.000515781
2 *7488:X 0.000515781
3 *7210:A1 *7214:A1 0
4 *7211:A *7210:A1 0
5 *7601:A *7210:A1 0.000151228
6 *446:12 *7210:A1 0
*RES
1 *7488:X *7210:A1 39.4763
*END
*D_NET *1088 0.000546452
*CONN
*I *7212:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7489:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7212:A1 0.00013733
2 *7489:X 0.00013733
3 *7212:A1 *7214:A1 0
4 *7604:A *7212:A1 0
5 *446:12 *7212:A1 0.000271793
*RES
1 *7489:X *7212:A1 32.2371
*END
*D_NET *1089 0.0123242
*CONN
*I *7128:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7490:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7128:A1 0.000279425
2 *7490:X 0.000556005
3 *1089:16 0.00242807
4 *1089:14 0.00270465
5 *7128:A1 *1099:75 3.82228e-05
6 *1089:14 *1122:14 4.48332e-05
7 *1089:16 *1112:74 9.84343e-05
8 *1089:16 *1122:20 0.000785479
9 *1089:16 *1122:26 0.00145022
10 *6841:B1 *1089:14 1.37385e-05
11 *6842:A1 *1089:16 0.000203906
12 *6842:A2 *1089:16 0.00031321
13 *6842:B1 *1089:14 1.5714e-05
14 *6842:B1 *1089:16 1.69862e-05
15 *6842:B2 *1089:16 0
16 *6844:A2 *1089:16 3.7061e-05
17 *6844:B1 *1089:16 3.98321e-05
18 *6969:A *1089:16 0.000113374
19 *7128:B2 *7128:A1 8.75997e-05
20 *7322:D *1089:14 4.93057e-05
21 *7322:D *1089:16 6.01588e-05
22 *7490:A *1089:14 0.000164843
23 *7549:A *1089:14 0
24 *7549:A *1089:16 0
25 *560:29 *7128:A1 0.000367846
26 *560:32 *7128:A1 0.000111708
27 *594:44 *7128:A1 0.000597772
28 *594:44 *1089:16 8.19494e-05
29 *594:48 *1089:16 0.000888958
30 *696:48 *1089:16 0.000562454
31 *740:14 *1089:16 0.000212491
*RES
1 *7490:X *1089:14 26.7553
2 *1089:14 *1089:16 74.7088
3 *1089:16 *7128:A1 34.9947
*END
*D_NET *1090 0.00524266
*CONN
*I *7214:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7491:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7214:A1 0.00121926
2 *7491:X 0.000903292
3 *1090:11 0.00212255
4 *7214:A1 *7212:A0 6.46135e-05
5 *7214:A1 *7379:CLK 6.3152e-05
6 *7214:A1 *1096:1339 0.000147956
7 *7214:A1 *1096:1352 1.69932e-05
8 *1090:11 *1171:32 0.000113968
9 *1090:11 *1176:11 1.43848e-05
10 *7210:A1 *7214:A1 0
11 *7212:A1 *7214:A1 0
12 *7214:S *7214:A1 0.000371516
13 *7217:A *7214:A1 0.000161509
14 *7377:D *7214:A1 3.77804e-05
15 *7408:D *1090:11 5.68237e-06
16 *7517:A *7214:A1 0
17 *7527:A *7214:A1 0
18 *7604:A *7214:A1 0
19 *1047:8 *7214:A1 0
20 *1048:19 *7214:A1 0
*RES
1 *7491:X *1090:11 27.9759
2 *1090:11 *7214:A1 47.0388
*END
*D_NET *1091 0.00537112
*CONN
*I *7216:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7492:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7216:A1 0.000882194
2 *7492:X 0.000468203
3 *1091:7 0.0013504
4 *7216:A1 *1096:1352 0
5 *7216:A1 *1096:1363 0
6 *7216:A1 *1096:1372 0
7 *7216:A1 *1120:8 0.00021752
8 *1091:7 *1096:1382 6.06823e-05
9 *7213:A *7216:A1 0.000312509
10 *7488:A *7216:A1 6.99139e-05
11 *446:10 *7216:A1 0.000468832
12 *446:12 *7216:A1 0.00136308
13 *446:16 *7216:A1 0.000177787
*RES
1 *7492:X *1091:7 20.5732
2 *1091:7 *7216:A1 49.2166
*END
*D_NET *1092 0.000946394
*CONN
*I *7218:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7493:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7218:A1 0.000372563
2 *7493:X 0.000372563
3 *7219:A *7218:A1 2.81584e-05
4 *7493:A *7218:A1 0.000122378
5 *446:10 *7218:A1 5.07314e-05
*RES
1 *7493:X *7218:A1 27.5649
*END
*D_NET *1093 0.0013873
*CONN
*I *7221:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7494:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7221:A1 0.000373367
2 *7494:X 0.000373367
3 *7607:A *7221:A1 0.000640564
*RES
1 *7494:X *7221:A1 25.3223
*END
*D_NET *1094 0.00139272
*CONN
*I *7223:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7495:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7223:A1 0.000379301
2 *7495:X 0.000379301
3 *7608:A *7223:A1 0.000634119
*RES
1 *7495:X *7223:A1 25.3223
*END
*D_NET *1095 0.00103275
*CONN
*I *7225:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7496:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7225:A1 0.00023247
2 *7496:X 0.00023247
3 *7225:A1 *1103:11 0.000536581
4 *7225:A1 *1131:24 3.12316e-05
5 *452:18 *7225:A1 0
*RES
1 *7496:X *7225:A1 35.4604
*END
*D_NET *1096 0.320984
*CONN
*I *7406:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7380:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7383:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7381:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7386:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7399:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7393:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7392:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7394:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7390:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7391:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7402:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *7404:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *7403:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *7401:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *7389:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7388:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7387:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7400:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *7385:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7398:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7396:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7397:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7395:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7428:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7422:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7421:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7434:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7384:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7382:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7414:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7418:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7420:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7423:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7427:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7426:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7425:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7424:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7419:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7417:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7416:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7415:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *7413:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7412:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7379:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7411:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7408:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7377:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7376:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7407:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7409:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7431:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7432:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7374:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7282:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7284:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7285:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7352:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7289:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7293:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7303:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7433:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7300:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7280:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7281:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7287:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7286:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7355:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *7351:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *7334:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7341:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7321:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7326:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7350:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *7324:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7325:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7329:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *7320:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7314:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7312:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7309:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7274:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7429:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7275:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7276:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7277:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7278:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7279:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7270:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7344:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7347:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7349:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7370:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7369:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7371:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7348:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7368:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7338:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7361:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7337:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7359:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7360:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7367:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7366:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7362:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7365:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7364:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7302:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7297:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7296:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7298:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7299:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7301:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7294:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7295:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7291:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7292:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7290:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7357:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7356:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7288:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7363:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7358:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *7372:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *7336:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7343:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7340:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7339:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7317:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7346:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7273:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7345:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7272:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7271:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7269:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *7319:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7318:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7304:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7310:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7305:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7307:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7308:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7306:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7311:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7316:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7315:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7328:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *7313:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7327:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7323:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7322:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7335:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7331:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7333:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7283:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7354:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7332:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7353:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *7330:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7373:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7342:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7378:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7375:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7430:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7445:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7405:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7444:A I *D sky130_fd_sc_hd__clkbuf_1
*I *7410:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7497:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *7406:CLK 0.000124239
2 *7380:CLK 0
3 *7383:CLK 0.000332969
4 *7381:CLK 0
5 *7386:CLK 7.45044e-05
6 *7399:CLK 0
7 *7393:CLK 0.000517536
8 *7392:CLK 0.000231201
9 *7394:CLK 0
10 *7390:CLK 0.000108974
11 *7391:CLK 0.000366784
12 *7402:CLK 0.000277436
13 *7404:CLK 0.000136824
14 *7403:CLK 4.6873e-05
15 *7401:CLK 3.10033e-05
16 *7389:CLK 0
17 *7388:CLK 0.000198711
18 *7387:CLK 0.000387949
19 *7400:CLK 0
20 *7385:CLK 0.000500664
21 *7398:CLK 0
22 *7396:CLK 0.000475324
23 *7397:CLK 0
24 *7395:CLK 0.000882022
25 *7428:CLK 0.000232496
26 *7422:CLK 0.000318756
27 *7421:CLK 2.71914e-05
28 *7434:CLK 5.96339e-05
29 *7384:CLK 0
30 *7382:CLK 2.3451e-05
31 *7414:CLK 0
32 *7418:CLK 0.000313298
33 *7420:CLK 0
34 *7423:CLK 0
35 *7427:CLK 0.00102106
36 *7426:CLK 0.000721212
37 *7425:CLK 0
38 *7424:CLK 0.000128571
39 *7419:CLK 2.4672e-05
40 *7417:CLK 6.46078e-05
41 *7416:CLK 0.000582572
42 *7415:CLK 9.7296e-05
43 *7413:CLK 3.5247e-05
44 *7412:CLK 0
45 *7379:CLK 0.000569694
46 *7411:CLK 0
47 *7408:CLK 0
48 *7377:CLK 0.000114
49 *7376:CLK 5.79787e-05
50 *7407:CLK 0
51 *7409:CLK 0
52 *7431:CLK 0
53 *7432:CLK 0.000343698
54 *7374:CLK 0
55 *7282:CLK 0
56 *7284:CLK 0.000566755
57 *7285:CLK 0
58 *7352:CLK 0
59 *7289:CLK 0.000148439
60 *7293:CLK 0
61 *7303:CLK 0.000320586
62 *7433:CLK 0.00102764
63 *7300:CLK 0
64 *7280:CLK 2.68903e-05
65 *7281:CLK 0.000398356
66 *7287:CLK 8.76919e-05
67 *7286:CLK 1.59894e-05
68 *7355:CLK 0.000312295
69 *7351:CLK 3.20119e-05
70 *7334:CLK 0
71 *7341:CLK 0
72 *7321:CLK 0
73 *7326:CLK 0.000414817
74 *7350:CLK 2.78838e-05
75 *7324:CLK 0
76 *7325:CLK 0
77 *7329:CLK 0
78 *7320:CLK 0.000792244
79 *7314:CLK 0
80 *7312:CLK 4.14392e-05
81 *7309:CLK 0.000941393
82 *7274:CLK 2.00359e-05
83 *7429:CLK 0.000354624
84 *7275:CLK 0
85 *7276:CLK 0.000262592
86 *7277:CLK 0.000104517
87 *7278:CLK 0.000870125
88 *7279:CLK 0.000125895
89 *7270:CLK 0
90 *7344:CLK 0
91 *7347:CLK 0.00116372
92 *7349:CLK 0
93 *7370:CLK 0.000643556
94 *7369:CLK 0
95 *7371:CLK 0
96 *7348:CLK 0
97 *7368:CLK 9.38605e-05
98 *7338:CLK 4.17034e-05
99 *7361:CLK 0.000284178
100 *7337:CLK 0
101 *7359:CLK 0
102 *7360:CLK 0.000596671
103 *7367:CLK 0.000969351
104 *7366:CLK 0
105 *7362:CLK 0.00059914
106 *7365:CLK 0
107 *7364:CLK 1.26312e-05
108 *7302:CLK 0
109 *7297:CLK 0.000545721
110 *7296:CLK 0
111 *7298:CLK 0.000140808
112 *7299:CLK 8.27292e-05
113 *7301:CLK 2.9804e-05
114 *7294:CLK 0.00018253
115 *7295:CLK 0
116 *7291:CLK 2.06324e-05
117 *7292:CLK 0.000386942
118 *7290:CLK 0
119 *7357:CLK 0.00010764
120 *7356:CLK 0.000478358
121 *7288:CLK 2.72009e-05
122 *7363:CLK 0
123 *7358:CLK 0
124 *7372:CLK 0.000752725
125 *7336:CLK 0.000377753
126 *7343:CLK 0.000197272
127 *7340:CLK 0
128 *7339:CLK 0
129 *7317:CLK 0.000544384
130 *7346:CLK 0.0003504
131 *7273:CLK 0.000453
132 *7345:CLK 0.000466907
133 *7272:CLK 0.000113567
134 *7271:CLK 1.5243e-05
135 *7269:CLK 3.37551e-05
136 *7319:CLK 0
137 *7318:CLK 0
138 *7304:CLK 0
139 *7310:CLK 0.00123777
140 *7305:CLK 3.5247e-05
141 *7307:CLK 0.00049849
142 *7308:CLK 0.0002593
143 *7306:CLK 0
144 *7311:CLK 4.31364e-05
145 *7316:CLK 0
146 *7315:CLK 0.000277642
147 *7328:CLK 0.000549646
148 *7313:CLK 4.94475e-05
149 *7327:CLK 0
150 *7323:CLK 0.0002183
151 *7322:CLK 0.000262481
152 *7335:CLK 0
153 *7331:CLK 0.000393068
154 *7333:CLK 0
155 *7283:CLK 4.44263e-05
156 *7354:CLK 8.24537e-05
157 *7332:CLK 0
158 *7353:CLK 0
159 *7330:CLK 0.000188294
160 *7373:CLK 5.00122e-05
161 *7342:CLK 3.5247e-05
162 *7378:CLK 0.000128035
163 *7375:CLK 0
164 *7430:CLK 0.000122637
165 *7445:A 3.67037e-05
166 *7405:CLK 0.000668025
167 *7444:A 0
168 *7410:CLK 0.000326165
169 *7497:X 0.000126185
170 *1096:1838 0.00108009
171 *1096:1816 0.000890226
172 *1096:1804 0.000848252
173 *1096:1801 0.000691985
174 *1096:1798 0.000982401
175 *1096:1789 0.000508099
176 *1096:1776 0.000420378
177 *1096:1754 0.000784007
178 *1096:1741 0.000973245
179 *1096:1739 0.000735784
180 *1096:1714 0.000674672
181 *1096:1709 0.0010481
182 *1096:1708 0.000626594
183 *1096:1700 0.00050133
184 *1096:1688 0.000703354
185 *1096:1682 0.000332043
186 *1096:1648 0.000735562
187 *1096:1645 0.00145074
188 *1096:1636 0.00149467
189 *1096:1630 0.00155901
190 *1096:1627 0.00098341
191 *1096:1614 0.00114385
192 *1096:1603 0.00115352
193 *1096:1588 0.00106998
194 *1096:1576 0.00108394
195 *1096:1569 0.00106536
196 *1096:1566 0.000929961
197 *1096:1521 0.0010379
198 *1096:1514 0.00142754
199 *1096:1503 0.000469975
200 *1096:1496 0.00108492
201 *1096:1484 0.00100754
202 *1096:1470 0.000371838
203 *1096:1465 0.00108102
204 *1096:1453 0.000924935
205 *1096:1444 0.00106432
206 *1096:1435 0.000810521
207 *1096:1430 0.000807372
208 *1096:1418 0.0011523
209 *1096:1417 0.00117499
210 *1096:1412 0.000424388
211 *1096:1402 0.000651513
212 *1096:1392 0.00105361
213 *1096:1382 0.00058394
214 *1096:1372 0.000829611
215 *1096:1363 0.000710277
216 *1096:1352 0.000844888
217 *1096:1339 0.00116307
218 *1096:1332 0.00060156
219 *1096:1260 0.00154308
220 *1096:1256 0.000556903
221 *1096:1247 0.000788288
222 *1096:1231 0.000581128
223 *1096:1226 0.0014694
224 *1096:1217 0.00156251
225 *1096:1199 0.00110694
226 *1096:1181 0.000541498
227 *1096:1141 0.000843564
228 *1096:1135 0.0012004
229 *1096:1123 0.00101219
230 *1096:1063 0.000701895
231 *1096:1046 0.00151166
232 *1096:1040 0.00219561
233 *1096:1031 0.000929953
234 *1096:1010 0.00135808
235 *1096:1002 0.00122639
236 *1096:992 0.00167621
237 *1096:972 0.00140444
238 *1096:956 0.00172614
239 *1096:943 0.00130927
240 *1096:939 0.000841071
241 *1096:873 0.00108056
242 *1096:863 0.00137801
243 *1096:853 0.00135164
244 *1096:841 0.00142878
245 *1096:822 0.00104797
246 *1096:797 0.000579877
247 *1096:788 0.000640299
248 *1096:778 0.000609957
249 *1096:775 0.000173271
250 *1096:773 0.000836127
251 *1096:751 0.000683589
252 *1096:744 0.000276015
253 *1096:718 0.00082815
254 *1096:717 0.000952796
255 *1096:713 0.00110622
256 *1096:709 0.000841565
257 *1096:706 0.00151611
258 *1096:703 0.00174719
259 *1096:694 0.00111307
260 *1096:683 0.00117341
261 *1096:670 0.00107946
262 *1096:668 0.000846461
263 *1096:667 0.000356417
264 *1096:655 0.00139613
265 *1096:637 0.00117798
266 *1096:635 0.000463333
267 *1096:634 0.00107332
268 *1096:631 0.000898816
269 *1096:624 0.000970616
270 *1096:614 0.000717349
271 *1096:611 0.000894434
272 *1096:600 0.00100338
273 *1096:572 0.00066727
274 *1096:570 0.00115432
275 *1096:565 0.000761522
276 *1096:538 0.000919907
277 *1096:536 0.00067967
278 *1096:527 0.000779176
279 *1096:512 0.00087714
280 *1096:509 0.00103106
281 *1096:500 0.000656505
282 *1096:491 0.00133023
283 *1096:485 0.000590065
284 *1096:475 0.000666965
285 *1096:447 0.00134796
286 *1096:420 0.000730072
287 *1096:415 0.00120973
288 *1096:412 0.00124152
289 *1096:404 0.00111237
290 *1096:397 0.000363501
291 *1096:388 0.000314889
292 *1096:385 0.00172356
293 *1096:382 0.000768732
294 *1096:368 0.000624241
295 *1096:364 0.00145663
296 *1096:354 0.00117801
297 *1096:345 0.000749679
298 *1096:343 0.00122431
299 *1096:334 0.00128609
300 *1096:325 0.00101212
301 *1096:316 0.00110369
302 *1096:313 0.00120588
303 *1096:305 0.000659268
304 *1096:299 0.000391015
305 *1096:280 0.000829554
306 *1096:278 0.000721316
307 *1096:272 0.000738368
308 *1096:263 0.000596043
309 *1096:259 0.000445473
310 *1096:235 0.00112392
311 *1096:229 0.00127593
312 *1096:224 0.000974941
313 *1096:223 0.00123357
314 *1096:209 0.00150157
315 *1096:206 0.00145239
316 *1096:197 0.00109787
317 *1096:192 0.00111343
318 *1096:180 0.00091903
319 *1096:164 0.000630909
320 *1096:148 0.00128513
321 *1096:140 0.00114498
322 *1096:137 0.001902
323 *1096:133 0.00159996
324 *1096:119 0.00100125
325 *1096:114 0.000609302
326 *1096:113 0.000646195
327 *1096:103 0.00138187
328 *1096:89 0.000144261
329 *1096:86 0.00173205
330 *1096:74 0.00082502
331 *1096:71 0.00041837
332 *1096:35 0.000883295
333 *1096:30 0.000267659
334 *1096:29 0.000915028
335 *1096:24 0.00106224
336 *1096:21 0.000440399
337 *1096:10 0.000523435
338 *7280:CLK *1131:17 2.85274e-05
339 *7287:CLK *1125:84 0
340 *7292:CLK *1113:12 7.66152e-05
341 *7294:CLK *1113:12 6.74667e-05
342 *7297:CLK *1107:28 0
343 *7298:CLK *1113:8 1.45944e-05
344 *7303:CLK *1099:30 0.000426154
345 *7330:CLK *1138:13 1.03403e-05
346 *7330:CLK *1140:55 1.09738e-05
347 *7338:CLK *1152:10 6.08467e-05
348 *7343:CLK *1133:20 0
349 *7346:CLK *6893:C 0
350 *7346:CLK *1144:15 0
351 *7361:CLK *1103:58 7.6824e-05
352 *7372:CLK *1105:56 0
353 *7372:CLK *1142:14 3.6706e-05
354 *7372:CLK *1142:16 5.8093e-05
355 *7372:CLK *1142:26 6.07091e-05
356 *7373:CLK *1125:18 2.5386e-05
357 *7377:CLK *1117:10 2.42273e-05
358 *7377:CLK *1120:23 0.000217923
359 *7379:CLK *1176:10 0.000112356
360 *7382:CLK *6743:B 6.08467e-05
361 *7382:CLK *1108:29 1.03403e-05
362 *7383:CLK *1177:22 0.000170607
363 *7385:CLK *6724:B 0.000222684
364 *7395:CLK *7256:A0 1.43983e-05
365 *7396:CLK *1107:23 0.00033061
366 *7405:CLK *1125:18 0.000304691
367 *7405:CLK *1174:43 0.000262457
368 *7410:CLK *1125:7 9.08284e-05
369 *7410:CLK *1135:49 0.000321919
370 *7415:CLK *1105:26 5.04829e-06
371 *7416:CLK *1101:10 2.16355e-05
372 *7416:CLK *1109:21 9.63981e-05
373 *7418:CLK *7236:A0 0.000185642
374 *7418:CLK *1104:9 3.83564e-05
375 *7421:CLK *7240:A0 4.58003e-05
376 *7421:CLK *1162:5 5.04829e-06
377 *7426:CLK *6601:B 0.000102797
378 *7428:CLK *1107:11 6.08467e-05
379 *7428:CLK *1164:18 3.92275e-05
380 *7432:CLK *1127:25 0.000222841
381 *7434:CLK *6735:B 3.82228e-05
382 *7445:A *1098:11 0.000107496
383 *1096:21 *1125:7 1.00981e-05
384 *1096:24 *1135:49 0
385 *1096:24 *1173:17 2.01653e-05
386 *1096:71 *1173:17 0.00033061
387 *1096:103 *1116:5 5.1222e-05
388 *1096:113 *1116:5 6.91078e-06
389 *1096:114 *1125:18 0
390 *1096:114 *1125:20 3.39055e-05
391 *1096:114 *1127:18 1.9101e-05
392 *1096:114 *1127:25 9.92046e-06
393 *1096:119 *1110:5 0.000313481
394 *1096:119 *1125:18 0
395 *1096:119 *1136:33 0
396 *1096:133 *1110:5 0.00057462
397 *1096:133 *1110:11 0.000592471
398 *1096:137 *1110:11 0.000331928
399 *1096:140 *7619:A 0.000199165
400 *1096:148 *7619:A 3.66465e-05
401 *1096:148 *1140:55 3.92275e-05
402 *1096:164 *7620:A 0.000113968
403 *1096:180 *1138:13 9.58376e-05
404 *1096:180 *1138:29 6.88361e-05
405 *1096:192 *1141:26 0
406 *1096:197 *7084:B2 0
407 *1096:197 *1125:84 0
408 *1096:197 *1138:29 0
409 *1096:206 *1125:84 0
410 *1096:229 *1120:55 0.000158997
411 *1096:229 *1123:22 0
412 *1096:259 *1120:55 7.48797e-05
413 *1096:263 *1120:55 0.000239212
414 *1096:272 *1120:55 8.50305e-05
415 *1096:272 *1123:32 0
416 *1096:299 *1117:51 0.000123176
417 *1096:299 *1120:55 0.000118245
418 *1096:305 *1110:50 0.000831695
419 *1096:313 *6846:A1 0.000107063
420 *1096:313 *1123:39 0.000159613
421 *1096:313 *1123:53 0.000954804
422 *1096:316 *1100:14 0
423 *1096:316 *1133:18 0
424 *1096:404 *6795:A1 0.000439142
425 *1096:536 *6912:S 0
426 *1096:536 *1144:15 0
427 *1096:565 *1149:7 6.64943e-06
428 *1096:570 *1149:7 0.00025296
429 *1096:600 *1103:65 0.000448444
430 *1096:611 *1103:65 0.000943272
431 *1096:614 *1103:58 8.24948e-05
432 *1096:624 *1103:58 2.16355e-05
433 *1096:634 *1112:78 0.000220331
434 *1096:634 *1152:10 0.000307037
435 *1096:635 *1133:20 0
436 *1096:637 *1133:20 0
437 *1096:655 *1131:7 0.000629908
438 *1096:655 *1131:9 0.000455751
439 *1096:683 *6965:A1 2.71542e-05
440 *1096:683 *1105:56 0
441 *1096:694 *6965:A1 7.11139e-05
442 *1096:694 *1105:56 0
443 *1096:713 *1099:47 0
444 *1096:713 *1105:41 0.000118245
445 *1096:788 *1113:12 0.000109527
446 *1096:797 *1113:12 0.000146411
447 *1096:853 *1106:15 0
448 *1096:939 *1103:65 5.56367e-05
449 *1096:1135 *6954:A 0
450 *1096:1135 *1140:20 0.000307037
451 *1096:1141 *1143:30 0.000191541
452 *1096:1181 *1125:84 0
453 *1096:1199 *1125:84 0
454 *1096:1217 *1125:84 0
455 *1096:1217 *1131:15 0.0012501
456 *1096:1226 *1121:21 0.00051722
457 *1096:1226 *1125:65 5.85083e-05
458 *1096:1332 *7216:A0 0
459 *1096:1332 *1173:17 0.000129477
460 *1096:1339 *7216:A0 0
461 *1096:1352 *1127:11 0.000995201
462 *1096:1372 *1120:8 0.000342663
463 *1096:1382 *1120:8 0.000168446
464 *1096:1392 *7218:A0 0.000636352
465 *1096:1402 *1131:24 6.51725e-05
466 *1096:1402 *1176:10 0.00015326
467 *1096:1412 *7223:A0 2.72089e-05
468 *1096:1412 *1112:21 0.00026468
469 *1096:1412 *1131:24 0.000168575
470 *1096:1412 *1176:10 0.000138109
471 *1096:1417 *1131:24 1.64983e-05
472 *1096:1418 *1112:21 0.000935744
473 *1096:1430 *1101:10 0.000141171
474 *1096:1430 *1112:20 0
475 *1096:1430 *1123:8 0.000264152
476 *1096:1435 *1101:10 0
477 *1096:1435 *1108:22 0.000236048
478 *1096:1435 *1114:18 0
479 *1096:1444 *1108:22 0.000170833
480 *1096:1453 *1108:22 0.000110599
481 *1096:1465 *1104:9 7.43669e-05
482 *1096:1465 *1108:22 0.000132407
483 *1096:1470 *1112:16 9.2346e-06
484 *1096:1470 *1114:14 0.000138951
485 *1096:1484 *1114:14 0.000374472
486 *1096:1503 *1114:8 0.000434197
487 *1096:1514 *1114:8 0.000399924
488 *1096:1521 *1115:6 0.000379538
489 *1096:1566 *1112:21 0.000303461
490 *1096:1566 *1177:22 0.000311593
491 *1096:1569 *1103:11 0.000371267
492 *1096:1576 *1103:11 9.55085e-05
493 *1096:1576 *1156:39 0.000449943
494 *1096:1588 *1156:39 0.000369345
495 *1096:1636 *1162:20 2.652e-05
496 *1096:1636 *1164:18 3.90891e-05
497 *1096:1636 *1169:10 0
498 *1096:1645 *1163:9 4.27148e-05
499 *1096:1645 *1164:18 0.000163877
500 *1096:1645 *1169:10 0
501 *1096:1682 *1106:9 1.43983e-05
502 *1096:1688 *1106:9 9.29715e-05
503 *1096:1700 *1106:9 0.00017776
504 *1096:1708 *1106:9 0.00024219
505 *1096:1801 *1107:23 3.96379e-06
506 *1096:1801 *1107:25 0.000731777
507 sram_addr1[0] *1096:10 1.84293e-05
508 sram_addr1[5] *7330:CLK 0.00013408
509 sram_clk1 *7410:CLK 0.00078923
510 sram_clk1 *1096:21 0.000152878
511 sram_csb0 *1096:10 6.50586e-05
512 sram_csb1 *7410:CLK 0
513 sram_din0[0] *1096:10 3.57522e-05
514 sram_din0[0] *1096:24 4.80833e-05
515 sram_din0[17] *7313:CLK 5.04829e-06
516 sram_din0[22] *7309:CLK 5.53789e-05
517 sram_din0[24] *1096:420 5.04734e-05
518 sram_din0[5] *7330:CLK 0
519 sram_web0 *7410:CLK 0.000146799
520 wb_ack_o *7410:CLK 0
521 wb_data_o[10] *1096:1435 6.1096e-05
522 wb_data_o[11] *1096:1453 0.000112013
523 wb_data_o[12] *1096:1465 6.11074e-05
524 wb_data_o[13] *1096:1465 1.91391e-05
525 wb_data_o[19] *1096:1521 1.83279e-05
526 wb_data_o[2] *1096:1352 0
527 wb_data_o[4] *1096:1382 0
528 wb_data_o[8] *1096:1430 0
529 wb_data_o[8] *1096:1435 0.000144156
530 wb_stall_o *1096:24 0.00038197
531 wb_stall_o *1096:1332 1.91391e-05
532 *5655:DIODE *1096:1226 0.000110825
533 *6597:A *1096:148 8.62625e-06
534 *6611:A *7405:CLK 4.88955e-05
535 *6611:A *1096:35 0.000627633
536 *6612:B *1096:655 0.000527891
537 *6617:B *1096:1040 0
538 *6636:B1 *1096:500 2.01595e-05
539 *6636:B1 *1096:509 0.000349931
540 *6636:B1 *1096:565 0.00034635
541 *6640:A *1096:667 4.62843e-05
542 *6648:B *1096:527 0.000380987
543 *6648:B *1096:536 2.19131e-05
544 *6648:C *1096:527 0.000116821
545 *6649:A *7271:CLK 0
546 *6649:A *1096:512 5.35406e-05
547 *6649:A *1096:527 9.18559e-06
548 *6660:A *7429:CLK 0
549 *6662:A1 *7276:CLK 0
550 *6662:A1 *1096:1046 0
551 *6665:C *1096:1046 1.98996e-05
552 *6667:C *1096:1040 0
553 *6671:A1 *1096:1031 0
554 *6671:A1 *1096:1040 0
555 *6671:C1 *7278:CLK 0
556 *6671:C1 *1096:1010 8.84036e-05
557 *6671:C1 *1096:1031 4.47578e-05
558 *6673:B *7278:CLK 0.00011818
559 *6674:A *7278:CLK 9.75356e-05
560 *6675:A *7278:CLK 6.05604e-05
561 *6677:A *7279:CLK 7.15348e-05
562 *6677:A *1096:1010 2.36494e-05
563 *6678:A *1096:670 6.50586e-05
564 *6678:A *1096:683 0
565 *6679:A *1096:611 0
566 *6679:A *1096:624 0.000148144
567 *6683:A *1096:634 0.000818647
568 *6701:B1 *1096:224 2.69064e-05
569 *6709:A *7288:CLK 2.41274e-06
570 *6710:B1 *1096:1199 9.20398e-05
571 *6718:C *7292:CLK 0
572 *6729:A2 *1096:773 0
573 *6734:A1 *1096:797 0.000193616
574 *6739:A *7356:CLK 7.90714e-05
575 *6740:B1 *1096:778 0.000164829
576 *6754:B *7320:CLK 0
577 *6757:A1 *1096:412 2.50362e-05
578 *6757:B2 *7311:CLK 0.000158371
579 *6765:A1 *1096:412 0.000116971
580 *6768:A2 *7311:CLK 5.97576e-05
581 *6768:A2 *1096:412 0.000619251
582 *6771:A2 *1096:420 0
583 *6773:A1 *1096:368 0.00012316
584 *6786:B2 *1096:420 0.000139532
585 *6787:B1 *1096:415 5.96936e-05
586 *6787:B1 *1096:420 6.80864e-05
587 *6789:B2 *1096:420 9.24772e-05
588 *6793:A2 *7309:CLK 0.0001576
589 *6793:B2 *7309:CLK 6.07544e-05
590 *6794:B2 *1096:420 1.70077e-05
591 *6795:B1 *7310:CLK 0.000205332
592 *6796:B *7310:CLK 0.000113302
593 *6804:A0 *1096:388 0
594 *6804:A0 *1096:397 0
595 *6808:A *7312:CLK 0
596 *6811:A1 *1096:334 0
597 *6813:B1 *7320:CLK 0
598 *6817:A1 *7312:CLK 0
599 *6817:A1 *7315:CLK 0
600 *6817:A1 *1096:368 0
601 *6817:A1 *1096:382 0
602 *6818:A1 *7315:CLK 4.40272e-05
603 *6818:A2 *7315:CLK 7.17625e-05
604 *6818:B2 *7315:CLK 5.09396e-05
605 *6820:A *1096:655 0.000313495
606 *6823:A1 *1096:388 0.000221769
607 *6823:A1 *1096:397 0.000157788
608 *6823:A1 *1096:475 0.000242134
609 *6823:A1 *1096:485 0.000352694
610 *6826:A *7317:CLK 0
611 *6832:A *1096:500 0
612 *6834:B1 *7320:CLK 3.99658e-05
613 *6834:B2 *7320:CLK 0.000181821
614 *6842:A1 *1096:313 1.4789e-05
615 *6843:B1 *1096:316 0
616 *6845:B2 *7322:CLK 0
617 *6845:B2 *1096:280 0
618 *6848:A1 *1096:313 0.000377546
619 *6855:B1 *1096:316 0
620 *6855:B2 *7328:CLK 6.78596e-05
621 *6856:B1 *1096:316 0.000143912
622 *6856:B2 *1096:316 2.02035e-05
623 *6860:B *7320:CLK 0.000660451
624 *6860:B *1096:343 0.000344148
625 *6863:B *1096:313 0.000672373
626 *6874:A2 *7331:CLK 0.000107496
627 *6875:B2 *1096:235 0
628 *6876:B *1096:235 0.000144085
629 *6889:B1 *1096:631 0.000195139
630 *6895:B *1096:570 0.000328363
631 *6899:C1 *7317:CLK 0
632 *6899:C1 *1096:572 0
633 *6906:C *7343:CLK 0.000160617
634 *6907:A2 *7343:CLK 3.6455e-05
635 *6910:A *1096:565 0.000165481
636 *6925:A2 *1096:611 6.31665e-05
637 *6927:A *1096:611 0
638 *6927:A *1096:624 0
639 *6927:A *1096:939 0
640 *6932:B *7326:CLK 4.31539e-05
641 *6935:A1 *1096:1135 0.000119338
642 *6935:B1 *1096:1135 1.10793e-05
643 *6940:A1 *1096:224 0.000219701
644 *6945:B *1096:197 0.000238635
645 *6948:B *1096:192 6.36773e-05
646 *6954:B *7372:CLK 1.18802e-05
647 *6955:A2 *1096:1135 0
648 *6958:B *7356:CLK 3.67481e-05
649 *6959:B1 *7355:CLK 1.66626e-05
650 *6959:B1 *1096:224 0.000122212
651 *6963:A1 *7372:CLK 4.00638e-05
652 *6965:B1 *1096:694 4.46283e-05
653 *6965:C1 *1096:694 0
654 *6965:C1 *1096:703 0
655 *6965:C1 *1096:841 6.42088e-05
656 *6966:B *1096:694 9.60366e-05
657 *6975:B *7360:CLK 3.4475e-05
658 *6990:A2 *1096:694 5.04879e-05
659 *6994:A *1096:841 0
660 *6994:A *1096:853 0
661 *6996:A *1096:853 2.61857e-05
662 *6999:A2 *1096:853 0
663 *7000:B *1096:853 3.58321e-05
664 *7003:B1 *7362:CLK 1.66771e-05
665 *7004:B *7362:CLK 0.000417408
666 *7004:B *1096:873 8.62625e-06
667 *7018:B1 *1096:956 6.92705e-05
668 *7019:B *7370:CLK 0.000110567
669 *7019:B *1096:956 3.90891e-05
670 *7021:B *1096:956 0
671 *7022:B *1096:943 8.62625e-06
672 *7022:B *1096:956 0.000139517
673 *7023:A1 *7370:CLK 0
674 *7023:A1 *1096:956 0
675 *7023:A2 *1096:939 0
676 *7023:A2 *1096:943 5.53789e-05
677 *7054:A1 *7280:CLK 5.07314e-05
678 *7065:A *7281:CLK 1.44467e-05
679 *7081:B1 *1096:206 0
680 *7081:B1 *1096:1181 0
681 *7087:B1 *7432:CLK 0.000169108
682 *7089:A1 *7286:CLK 1.43848e-05
683 *7093:A *1096:1217 0.000387915
684 *7098:A1 *1096:209 0
685 *7098:A1 *1096:1199 3.20923e-05
686 *7106:A *1096:1260 0.00031994
687 *7111:A2 *7372:CLK 0.000219456
688 *7117:A1 *7292:CLK 0.000107496
689 *7117:A1 *1096:751 0.00120989
690 *7152:A1 *1096:778 0.000516157
691 *7154:A1 *7388:CLK 3.58185e-05
692 *7154:B1 *7388:CLK 2.61012e-05
693 *7155:A *1096:1709 0.000349681
694 *7155:A *1096:1714 0.000236741
695 *7155:A *1096:1776 0.000212559
696 *7155:A *1096:1789 0.000146098
697 *7165:A1 *7299:CLK 6.08467e-05
698 *7171:B1 *1096:797 0
699 *7173:A1 *1096:1838 0
700 *7186:A1 *7396:CLK 0.00026818
701 *7190:B1 *7404:CLK 0.000479276
702 *7193:B2 *1096:1614 0.00011672
703 *7197:A2 *1096:1739 0.00014472
704 *7197:B1 *1096:1739 0.000225976
705 *7197:B2 *1096:1739 3.35392e-05
706 *7197:C1 *1096:1739 0.00011818
707 *7198:A2 *7387:CLK 8.36326e-05
708 *7198:A2 *1096:1754 7.2401e-05
709 *7199:A2 *1096:1754 0.00015709
710 *7201:A1 *7402:CLK 0
711 *7201:A1 *1096:1804 0
712 *7207:A2 *7405:CLK 0.000139435
713 *7207:B1 *7405:CLK 7.09395e-05
714 *7214:A1 *7379:CLK 6.3152e-05
715 *7214:A1 *1096:1339 0.000147956
716 *7214:A1 *1096:1352 1.69932e-05
717 *7215:A *1096:1339 4.5539e-05
718 *7216:A1 *1096:1352 0
719 *7216:A1 *1096:1363 0
720 *7216:A1 *1096:1372 0
721 *7217:A *1096:1339 0
722 *7232:A1 *7416:CLK 2.65667e-05
723 *7236:S *7418:CLK 5.08751e-05
724 *7237:A *1096:1470 0.000120681
725 *7247:A1 *7426:CLK 6.06295e-05
726 *7247:A1 *1096:1521 3.82228e-05
727 *7248:A *7427:CLK 6.50586e-05
728 *7256:S *7395:CLK 2.65831e-05
729 *7257:A *7428:CLK 0
730 *7259:A *7429:CLK 0.000377476
731 *7269:D *7269:CLK 2.16355e-05
732 *7269:D *1096:500 0.000196638
733 *7270:D *1096:1002 0.000335791
734 *7271:D *7271:CLK 1.64789e-05
735 *7271:D *1096:512 0.000381471
736 *7271:D *1096:527 5.31074e-05
737 *7278:D *7278:CLK 0.000386497
738 *7285:D *1096:140 7.82095e-05
739 *7285:D *1096:148 2.23128e-05
740 *7287:D *7287:CLK 3.90252e-06
741 *7287:D *1096:1199 7.48886e-05
742 *7288:D *7288:CLK 6.08467e-05
743 *7288:D *1096:718 9.82494e-05
744 *7290:D *1096:713 0
745 *7290:D *1096:717 0
746 *7291:D *1096:751 0.000103827
747 *7295:D *1096:713 0
748 *7296:D *1096:773 2.55493e-05
749 *7302:D *7297:CLK 0
750 *7302:D *1096:822 0
751 *7304:D *1096:404 0.000224395
752 *7305:D *7310:CLK 2.38624e-05
753 *7305:D *1096:412 5.84636e-05
754 *7305:D *1096:447 1.92926e-05
755 *7306:D *7308:CLK 0.00015759
756 *7306:D *1096:420 0.000317721
757 *7308:D *7308:CLK 0.000326398
758 *7310:D *7310:CLK 0.000882829
759 *7312:D *1096:385 0.000153393
760 *7314:D *1096:364 1.41531e-05
761 *7315:D *7315:CLK 0
762 *7316:D *1096:368 0.00027329
763 *7317:D *7317:CLK 0.000127164
764 *7319:D *1096:485 0.0002817
765 *7319:D *1096:491 6.50586e-05
766 *7319:D *1096:500 0
767 *7319:D *1096:992 0.000100285
768 *7320:D *7320:CLK 9.12416e-06
769 *7324:D *1096:280 0
770 *7324:D *1096:305 0.000118485
771 *7327:D *1096:316 0
772 *7327:D *1096:325 0.000127573
773 *7328:D *7328:CLK 0.000109275
774 *7333:D *7331:CLK 0.000201646
775 *7334:D *1096:263 0.000118532
776 *7335:D *1096:272 0.000100285
777 *7339:D *1096:572 2.02035e-05
778 *7342:D *7432:CLK 8.52802e-05
779 *7342:D *1096:114 7.50722e-05
780 *7344:D *1096:565 6.67095e-06
781 *7345:D *7345:CLK 0.000151146
782 *7346:D *7346:CLK 6.50586e-05
783 *7347:D *7347:CLK 1.92926e-05
784 *7348:D *1096:614 0.000228958
785 *7348:D *1096:939 9.60366e-05
786 *7350:D *1096:1135 9.60366e-05
787 *7352:D *1096:192 0.000223132
788 *7353:D *1096:180 0.000227344
789 *7357:D *7357:CLK 1.41976e-05
790 *7361:D *7361:CLK 3.70724e-05
791 *7363:D *1096:694 0.000151726
792 *7363:D *1096:703 1.07248e-05
793 *7364:D *1096:853 9.96342e-05
794 *7365:D *1096:863 0.00015759
795 *7366:D *7367:CLK 1.87611e-05
796 *7366:D *1096:873 4.2996e-05
797 *7367:D *7367:CLK 1.07248e-05
798 *7368:D *7368:CLK 0.000275934
799 *7368:D *1096:631 7.50872e-05
800 *7370:D *7370:CLK 7.02539e-05
801 *7373:D *1096:133 6.50586e-05
802 *7374:D *1096:114 1.2601e-05
803 *7374:D *1096:119 3.4475e-05
804 *7375:D *1096:103 5.68237e-06
805 *7381:D *1096:1569 6.50727e-05
806 *7381:D *1096:1576 3.66195e-05
807 *7384:D *1096:1603 0.000216674
808 *7388:D *7388:CLK 5.07314e-05
809 *7389:D *1096:1754 0.00031994
810 *7391:D *7391:CLK 0
811 *7392:D *7392:CLK 0.000223118
812 *7392:D *1096:1838 6.80864e-05
813 *7396:D *7396:CLK 0
814 *7397:D *1096:1688 0.000227344
815 *7397:D *1096:1700 0.000361082
816 *7398:D *1096:1708 1.87611e-05
817 *7399:D *1096:1627 6.60955e-05
818 *7404:D *7402:CLK 0
819 *7409:D *1096:1332 1.07248e-05
820 *7409:D *1096:1339 0.000148114
821 *7411:D *1096:1392 1.87611e-05
822 *7413:D *1096:1418 9.89555e-05
823 *7416:D *7416:CLK 0.00011818
824 *7421:D *7421:CLK 6.92705e-05
825 *7421:D *1096:1645 0.000122083
826 *7422:D *7422:CLK 4.4108e-05
827 *7424:D *7427:CLK 0.000107496
828 *7425:D *7426:CLK 1.87611e-05
829 *7427:D *7427:CLK 0.000180515
830 *7428:D *7428:CLK 6.50727e-05
831 *7429:D *7429:CLK 0.000272421
832 *7432:D *7432:CLK 1.07248e-05
833 *7450:A *7405:CLK 8.11463e-06
834 *7457:A *7405:CLK 4.58003e-05
835 *7470:A *1096:235 0
836 *7473:A *1096:1435 0.00034298
837 *7473:A *1096:1444 0.000208138
838 *7475:A *7416:CLK 6.50586e-05
839 *7488:A *1096:1352 4.50581e-05
840 *7491:A *1096:1372 0
841 *7492:A *1096:1382 0
842 *7499:A *7410:CLK 0.000139234
843 *7510:A *1096:1521 7.86825e-06
844 *7518:A *1096:1372 3.64738e-05
845 *7524:A *1096:1430 0
846 *7526:A *7410:CLK 3.40268e-05
847 *7527:A *1096:1339 0
848 *7529:A *1096:1363 0
849 *7529:A *1096:1372 0
850 *7535:A *7405:CLK 0.000153737
851 *7538:A *1096:164 2.41483e-05
852 *7542:A *1096:29 9.95204e-05
853 *7543:A *7406:CLK 0.000158316
854 *7543:A *1096:21 9.14669e-05
855 *7548:A *7322:CLK 0
856 *7553:A *7313:CLK 0.00011818
857 *7553:A *1096:343 6.60341e-05
858 *7560:A *7309:CLK 0
859 *7562:A *1096:415 0
860 *7562:A *1096:420 0
861 *7563:A *7308:CLK 0
862 *7575:A *1096:235 0
863 *7578:A *1096:71 8.09995e-05
864 *7583:A *1096:1465 9.34919e-05
865 *7584:A *7419:CLK 6.08467e-05
866 *7585:A *1096:1484 0.00033614
867 *7586:A *7422:CLK 5.26629e-05
868 *7586:A *1096:1648 2.11196e-05
869 *7587:A *1096:1496 6.24083e-05
870 *7587:A *1096:1503 2.8182e-06
871 *7601:A *1096:86 1.93033e-05
872 *7601:A *1096:103 4.34156e-05
873 *7611:A *7445:A 6.08467e-05
874 *7611:A *1096:30 8.61737e-06
875 *7611:A *1096:35 0.000205637
876 *7611:A *1096:71 8.61737e-06
877 *225:8 *1096:10 6.42637e-05
878 *225:8 *1096:24 0
879 *288:11 *7322:CLK 2.43314e-05
880 *288:11 *7323:CLK 0.000276225
881 *288:11 *1096:280 0.000181849
882 *402:29 *7364:CLK 6.50727e-05
883 *404:14 *1096:841 3.20069e-06
884 *404:14 *1096:853 2.82537e-05
885 *409:10 *1096:1789 4.01437e-05
886 *409:10 *1096:1798 5.01375e-05
887 *409:10 *1096:1838 0.000106687
888 *409:30 *7391:CLK 7.58739e-05
889 *413:14 *7301:CLK 1.43848e-05
890 *416:8 *7388:CLK 0
891 *417:26 *1096:853 0
892 *420:10 *7391:CLK 0
893 *421:13 *1096:822 7.48797e-05
894 *425:11 *7364:CLK 2.65831e-05
895 *425:26 *1096:788 0.000101133
896 *428:24 *1096:822 2.33103e-06
897 *430:10 *7391:CLK 0
898 *431:49 *7396:CLK 0
899 *434:10 *7396:CLK 0.00011191
900 *434:10 *1096:1627 3.93117e-06
901 *434:10 *1096:1630 0
902 *434:10 *1096:1682 0
903 *437:14 *7385:CLK 0.000117906
904 *437:14 *1096:1709 0
905 *437:14 *1096:1714 0
906 *437:14 *1096:1739 0
907 *437:14 *1096:1776 0
908 *437:14 *1096:1789 0
909 *438:8 *1096:1714 4.55235e-05
910 *438:15 *1096:1739 0.000118166
911 *438:66 *1096:119 0.000184931
912 *439:41 *1096:1754 0.000113968
913 *442:10 *1096:71 5.42963e-05
914 *442:10 *1096:74 0
915 *442:10 *1096:86 0
916 *442:15 *1096:86 0
917 *445:14 *7383:CLK 0.000235535
918 *445:14 *1096:1566 0.000137287
919 *458:19 *7418:CLK 0.000164829
920 *458:19 *1096:1470 0.000415346
921 *458:19 *1096:1484 1.3807e-05
922 *470:26 *1096:1682 2.65831e-05
923 *470:26 *1096:1688 6.27331e-05
924 *473:5 *7274:CLK 2.65831e-05
925 *473:5 *1096:1063 0.000118245
926 *484:11 *1096:655 0.000680647
927 *484:50 *1096:570 0.0014094
928 *484:50 *1096:600 0.000288261
929 *485:8 *7281:CLK 0
930 *485:8 *1096:1231 2.30154e-05
931 *485:8 *1096:1247 0.000163329
932 *485:8 *1096:1256 0.000150408
933 *491:10 *1096:148 0
934 *498:28 *1096:1576 0.000444831
935 *498:28 *1096:1588 0.000364316
936 *507:9 *1096:1010 0
937 *507:9 *1096:1031 0
938 *507:9 *1096:1040 0
939 *508:8 *1096:527 0
940 *508:11 *1096:512 0.000227281
941 *522:49 *1096:668 0.000525925
942 *522:49 *1096:670 0.000319954
943 *523:8 *1096:635 5.66868e-06
944 *523:8 *1096:637 1.82832e-05
945 *523:15 *1096:637 2.36494e-05
946 *523:53 *1096:668 0.000221446
947 *523:53 *1096:670 6.98314e-05
948 *523:69 *7289:CLK 2.65831e-05
949 *523:82 *1096:1226 7.75688e-05
950 *524:17 *7283:CLK 2.16355e-05
951 *524:17 *7286:CLK 6.50586e-05
952 *524:17 *7355:CLK 0.000351035
953 *524:27 *1096:1135 0.000229925
954 *524:27 *1096:1141 0.00104411
955 *527:29 *7289:CLK 6.50727e-05
956 *528:24 *1096:667 0.000118485
957 *529:8 *7336:CLK 0.000118485
958 *529:43 *7326:CLK 0.00196928
959 *533:24 *1096:694 5.21887e-05
960 *533:24 *1096:703 2.75292e-05
961 *534:34 *7347:CLK 0.000219878
962 *534:34 *1096:943 0.000147308
963 *534:34 *1096:972 0.000807669
964 *534:40 *7347:CLK 4.5271e-05
965 *534:46 *7346:CLK 0
966 *534:46 *1096:536 0
967 *538:9 *1096:956 4.49011e-05
968 *538:77 *7346:CLK 0.000286145
969 *538:77 *1096:527 7.26907e-05
970 *538:77 *1096:536 0.000263641
971 *541:35 *1096:536 0
972 *546:8 *1096:841 0
973 *547:11 *1096:706 0.00052463
974 *547:18 *1096:706 6.50727e-05
975 *547:18 *1096:709 0
976 *547:18 *1096:773 0
977 *547:29 *7372:CLK 0.000165891
978 *552:7 *7278:CLK 1.00981e-05
979 *552:15 *7278:CLK 0.000271044
980 *557:6 *1096:683 0
981 *557:6 *1096:694 0
982 *557:22 *1096:841 0.000252098
983 *557:52 *1096:670 0.00016789
984 *558:17 *1096:624 0.00145918
985 *563:22 *7303:CLK 0
986 *563:22 *1096:1260 0
987 *567:16 *7287:CLK 2.32531e-05
988 *567:16 *1096:1199 5.79172e-05
989 *567:16 *1096:1217 8.53269e-05
990 *568:11 *7281:CLK 0
991 *568:11 *1096:1231 0
992 *568:11 *1096:1247 0
993 *568:27 *1096:1247 0
994 *568:27 *1096:1256 0
995 *568:27 *1096:1260 8.19494e-05
996 *568:45 *7303:CLK 0.000108401
997 *568:45 *1096:1260 0.000132388
998 *571:29 *7323:CLK 3.14405e-05
999 *571:29 *1096:272 0.000207258
1000 *571:29 *1096:1123 0.000341847
1001 *571:29 *1096:1135 0.000167342
1002 *571:36 *1096:316 0
1003 *572:23 *7372:CLK 0.000523693
1004 *572:23 *1096:670 0.000966122
1005 *572:33 *1096:718 0.000229353
1006 *573:29 *7356:CLK 6.56722e-05
1007 *574:10 *7356:CLK 7.38509e-05
1008 *574:14 *7283:CLK 4.75721e-06
1009 *574:14 *7356:CLK 0.000543135
1010 *574:47 *7292:CLK 0.000302731
1011 *576:20 *7283:CLK 1.66626e-05
1012 *576:20 *7356:CLK 2.0439e-05
1013 *577:46 *7309:CLK 0.000331382
1014 *578:34 *1096:180 6.74811e-05
1015 *578:34 *1096:192 0.000341007
1016 *580:11 *7288:CLK 1.03403e-05
1017 *580:11 *7357:CLK 6.04131e-05
1018 *580:11 *1096:718 0.000283932
1019 *583:34 *1096:235 0
1020 *586:10 *7292:CLK 1.31764e-05
1021 *586:10 *1096:751 2.77625e-06
1022 *587:38 *7326:CLK 8.8567e-05
1023 *587:38 *7360:CLK 0
1024 *587:38 *1096:280 0
1025 *587:38 *1096:305 3.62303e-05
1026 *587:53 *1096:325 0.000367577
1027 *589:14 *1096:778 0.00041971
1028 *589:32 *1096:841 0
1029 *589:58 *7326:CLK 1.41689e-05
1030 *589:58 *7336:CLK 0
1031 *589:68 *7326:CLK 1.41976e-05
1032 *594:31 *1096:822 6.99486e-05
1033 *594:39 *7362:CLK 0
1034 *594:44 *7362:CLK 0.00020552
1035 *595:17 *1096:778 0.00013243
1036 *595:37 *7361:CLK 8.81154e-05
1037 *595:37 *1096:635 5.77197e-05
1038 *595:52 *1096:316 2.01249e-05
1039 *597:31 *1096:778 0.00110881
1040 *597:50 *1096:717 0
1041 *597:61 *7351:CLK 6.73351e-05
1042 *597:61 *1096:224 0
1043 *597:61 *1096:229 0
1044 *597:69 *7350:CLK 4.31703e-05
1045 *598:20 *1096:853 2.47663e-05
1046 *599:13 *7368:CLK 4.58003e-05
1047 *599:18 *1096:624 8.36326e-05
1048 *599:38 *7328:CLK 0.000432523
1049 *599:38 *1096:316 3.799e-05
1050 *599:38 *1096:354 0.000126772
1051 *599:38 *1096:364 8.05459e-05
1052 *606:30 *7328:CLK 6.98337e-06
1053 *608:10 *7320:CLK 0
1054 *608:12 *7320:CLK 0
1055 *611:31 *7309:CLK 0.000883993
1056 *611:31 *1096:385 0.00038453
1057 *618:20 *1096:500 0
1058 *619:8 *7309:CLK 0
1059 *622:30 *1096:305 0.0007828
1060 *622:30 *1096:1123 0
1061 *625:34 *7309:CLK 5.47736e-05
1062 *625:36 *7309:CLK 2.02201e-05
1063 *626:33 *1096:278 0.000609048
1064 *626:48 *1096:305 1.83477e-05
1065 *633:18 *7433:CLK 1.1573e-05
1066 *636:68 *1096:404 0.00134189
1067 *637:51 *1096:420 9.98029e-06
1068 *646:14 *1096:316 5.33011e-05
1069 *650:14 *1096:316 7.17656e-05
1070 *650:56 *1096:364 0.00012123
1071 *652:15 *7320:CLK 0.000415177
1072 *655:45 *7313:CLK 2.41483e-05
1073 *655:45 *1096:325 0.000408772
1074 *688:48 *1096:316 0
1075 *691:20 *7372:CLK 0.000118938
1076 *691:20 *1096:670 8.84292e-05
1077 *691:25 *1096:235 0
1078 *692:7 *1096:263 5.07314e-05
1079 *692:7 *1096:272 9.47507e-05
1080 *694:13 *1096:180 6.50727e-05
1081 *695:20 *1096:278 0.000555911
1082 *696:39 *1096:1123 0
1083 *702:14 *7317:CLK 0
1084 *702:14 *1096:570 0
1085 *702:14 *1096:572 0
1086 *705:28 *1096:611 1.32509e-05
1087 *705:37 *1096:611 8.65382e-05
1088 *708:30 *1096:939 3.94997e-05
1089 *710:8 *1096:611 0.000122068
1090 *710:12 *1096:600 0.000452027
1091 *710:12 *1096:611 0.000188012
1092 *715:9 *7317:CLK 0.000296719
1093 *745:15 *7350:CLK 0.000111722
1094 *745:15 *7351:CLK 0.000164843
1095 *748:25 *1096:197 0.000237038
1096 *762:8 *7336:CLK 3.68867e-05
1097 *762:8 *1096:667 0.000142537
1098 *787:41 *1096:853 0
1099 *819:34 *1096:706 0.000407104
1100 *819:34 *1096:841 0.000207294
1101 *820:22 *1096:1217 0.000292954
1102 *822:26 *7289:CLK 0
1103 *822:31 *7289:CLK 0
1104 *829:8 *1096:1603 0.000436203
1105 *829:8 *1096:1614 0.000765755
1106 *832:17 *1096:655 0.000200479
1107 *832:26 *7356:CLK 1.47102e-05
1108 *838:10 *1096:1603 0.000101148
1109 *842:38 *7289:CLK 0.000233523
1110 *842:38 *1096:1217 0.000122068
1111 *848:35 *7281:CLK 0.000122083
1112 *853:54 *1096:1181 0
1113 *853:54 *1096:1199 0
1114 *855:39 *7387:CLK 5.51699e-05
1115 *855:46 *7387:CLK 5.3381e-05
1116 *855:46 *1096:1754 4.73076e-05
1117 *872:18 *1096:1217 0.000167076
1118 *880:14 *1096:694 5.82315e-05
1119 *880:14 *1096:713 0
1120 *880:24 *1096:694 8.15668e-05
1121 *881:10 *7360:CLK 0
1122 *881:19 *7372:CLK 0.000122734
1123 *883:27 *7303:CLK 0
1124 *884:15 *1096:1260 0.000139764
1125 *888:8 *1096:717 0.000252802
1126 *892:20 *1096:713 4.98393e-05
1127 *897:29 *7303:CLK 0.000183129
1128 *898:10 *7385:CLK 0.000336125
1129 *898:18 *7385:CLK 0.000640564
1130 *917:10 *1096:751 9.79568e-05
1131 *923:20 *7297:CLK 0.000364723
1132 *924:8 *7298:CLK 0.000158288
1133 *924:8 *1096:797 2.09495e-05
1134 *932:10 *7355:CLK 5.04829e-06
1135 *932:10 *1096:224 0
1136 *934:8 *1096:148 3.33532e-05
1137 *941:10 *7361:CLK 4.65396e-05
1138 *945:8 *1096:863 5.67722e-05
1139 *946:8 *7367:CLK 9.14834e-05
1140 *949:8 *1096:956 0
1141 *950:8 *7370:CLK 0.000118245
1142 *950:12 *7370:CLK 0
1143 *952:5 *1096:1002 4.66492e-05
1144 *952:10 *1096:1002 0.000317644
1145 *955:8 *7273:CLK 5.04829e-06
1146 *957:7 *1096:1046 0.000220183
1147 *957:9 *1096:1046 0.000483474
1148 *957:13 *1096:1046 0.000481227
1149 *960:9 *7278:CLK 7.00409e-05
1150 *960:11 *7278:CLK 4.33655e-05
1151 *962:44 *7336:CLK 0.000115615
1152 *965:43 *7326:CLK 0.00168481
1153 *966:12 *7317:CLK 0
1154 *966:12 *1096:397 5.8261e-05
1155 *966:12 *1096:475 0.000102359
1156 *966:12 *1096:485 0.000158881
1157 *968:11 *1096:35 0
1158 *968:11 *1096:71 0
1159 *968:11 *1096:74 0.000157148
1160 *968:11 *1096:86 0.000150009
1161 *968:17 *7378:CLK 0.000349977
1162 *968:17 *1096:86 7.8406e-05
1163 *968:17 *1096:89 8.52802e-05
1164 *969:20 *7432:CLK 0
1165 *969:20 *1096:114 0
1166 *969:20 *1096:119 0
1167 *975:12 *7378:CLK 0.000355591
1168 *975:12 *1096:89 8.52802e-05
1169 *976:19 *7331:CLK 1.41976e-05
1170 *976:19 *1096:235 0.000178097
1171 *978:12 *7343:CLK 0.000223232
1172 *978:12 *7361:CLK 0.000372958
1173 *978:12 *1096:635 0.000419953
1174 *978:12 *1096:637 0.000508284
1175 *981:7 *1096:1588 1.82679e-05
1176 *982:8 *7328:CLK 0.000100913
1177 *982:15 *1096:148 4.07687e-05
1178 *982:15 *1096:180 1.00846e-05
1179 *982:15 *1096:192 1.03403e-05
1180 *982:27 *7405:CLK 6.50586e-05
1181 *982:27 *1096:35 0.000623435
1182 *984:15 *7322:CLK 0
1183 *990:18 *7320:CLK 4.29463e-05
1184 *990:18 *1096:343 0.000140636
1185 *992:8 *1096:364 6.50727e-05
1186 *994:11 *1096:325 0.000342515
1187 *996:8 *1096:485 2.04806e-05
1188 *998:5 *1096:992 2.65667e-05
1189 *998:39 *1096:992 0.000214838
1190 *999:6 *1096:415 0
1191 *1002:8 *7308:CLK 5.64335e-05
1192 *1002:8 *1096:420 0.00033924
1193 *1003:10 *7307:CLK 0.000261814
1194 *1003:10 *1096:420 0
1195 *1006:7 *7330:CLK 6.50727e-05
1196 *1007:14 *7308:CLK 0
1197 *1007:33 *1096:412 4.3491e-05
1198 *1007:33 *1096:415 3.94229e-05
1199 *1008:11 *1096:404 0.00103764
1200 *1008:41 *1096:412 8.62625e-06
1201 *1008:41 *1096:420 0.000169041
1202 *1008:49 *7308:CLK 7.06457e-05
1203 *1008:49 *1096:420 3.61993e-05
1204 *1010:14 *7330:CLK 3.60268e-05
1205 *1010:14 *1096:148 0.000151428
1206 *1010:14 *1096:180 0.000113968
1207 *1010:14 *1096:192 5.08751e-05
1208 *1012:11 *7331:CLK 5.481e-05
1209 *1013:8 *1096:263 2.16355e-05
1210 *1013:25 *1096:235 0.000193568
1211 *1014:21 *7331:CLK 0
1212 *1014:37 *1096:1141 0
1213 *1016:8 *1096:313 4.28602e-05
1214 *1018:8 *7373:CLK 9.75356e-05
1215 *1022:18 *1096:631 0.000192556
1216 *1023:5 *7386:CLK 8.94795e-05
1217 *1023:5 *1096:1627 0.00011971
1218 *1025:21 *7385:CLK 2.20702e-05
1219 *1025:21 *1096:1714 0.000213725
1220 *1025:23 *1096:1627 1.05251e-05
1221 *1026:5 *1096:1754 6.99486e-05
1222 *1026:12 *1096:1754 0.000765701
1223 *1027:7 *7390:CLK 8.47748e-05
1224 *1027:7 *7404:CLK 0.000137112
1225 *1027:7 *1096:1816 0.000298734
1226 *1027:13 *7395:CLK 0.000178411
1227 *1028:21 *7427:CLK 0.000300565
1228 *1028:21 *1096:1521 0.000154145
1229 *1032:8 *7391:CLK 4.10825e-05
1230 *1034:17 *7396:CLK 0.000122098
1231 *1035:13 *7396:CLK 0.00033061
1232 *1036:10 *1096:1700 2.15348e-05
1233 *1036:11 *7421:CLK 1.92336e-05
1234 *1036:11 *1096:1484 6.08467e-05
1235 *1036:11 *1096:1496 4.34402e-05
1236 *1036:19 *1096:1521 3.49272e-05
1237 *1037:19 *1096:1789 5.12387e-05
1238 *1037:19 *1096:1798 5.29763e-05
1239 *1037:19 *1096:1838 0.000138269
1240 *1038:22 *1096:1636 0.000385248
1241 *1038:32 *1096:1496 0.000111708
1242 *1038:35 *1096:1496 0.000751273
1243 *1038:35 *1096:1521 0
1244 *1040:25 *1096:1739 2.16475e-05
1245 *1040:28 *1096:1503 0.000442876
1246 *1040:28 *1096:1514 0.000392782
1247 *1041:11 *7402:CLK 0.000489932
1248 *1041:11 *1096:1648 0.000113968
1249 *1041:23 *7395:CLK 2.68066e-05
1250 *1041:23 *7428:CLK 6.78436e-05
1251 *1041:23 *1096:1645 1.09738e-05
1252 *1042:7 *1096:103 6.85813e-05
1253 *1043:5 *7403:CLK 2.85274e-05
1254 *1044:12 *1096:334 4.75721e-06
1255 *1044:12 *1096:343 8.98072e-05
1256 *1044:18 *7368:CLK 7.2401e-05
1257 *1044:18 *1096:631 0.000119447
1258 *1045:15 *1096:1804 0
1259 *1048:19 *7379:CLK 0.000266508
1260 *1048:19 *1096:1402 0.000141587
1261 *1054:14 *7373:CLK 0.000148129
1262 *1054:26 *1096:1627 0.000499148
1263 *1055:11 *1096:334 0.000293042
1264 *1057:28 *1096:1603 0.000180818
1265 *1057:28 *1096:1614 0.000222463
1266 *1058:16 *7385:CLK 6.91859e-05
1267 *1060:12 *1096:140 0
1268 *1060:16 *7387:CLK 0
1269 *1062:28 *7315:CLK 2.33103e-06
1270 *1062:28 *1096:420 1.5714e-05
1271 *1062:74 *7387:CLK 0
1272 *1063:9 *7308:CLK 8.09995e-05
1273 *1063:35 *1096:334 0.000401051
1274 *1063:47 *7331:CLK 0.000193027
1275 *1063:47 *1096:235 0.000430366
1276 *1063:57 *1096:164 0.000133109
1277 *1063:57 *1096:180 0.000392855
1278 *1066:8 *1096:197 0.000775042
1279 *1067:8 *7322:CLK 0.000161539
1280 *1067:8 *1096:278 7.34861e-05
1281 *1067:8 *1096:280 0.000144389
1282 *1067:8 *1096:305 0
1283 *1068:10 *1096:180 0.000101203
1284 *1069:11 *1096:224 0.000166317
1285 *1069:11 *1096:229 0.000216203
1286 *1069:11 *1096:235 0
1287 *1070:8 *7331:CLK 0.000118485
1288 *1078:8 *7326:CLK 0.000196653
1289 *1078:8 *7336:CLK 0.000386733
1290 *1078:8 *1096:667 0.000210445
1291 *1091:7 *1096:1382 6.06823e-05
*RES
1 *7497:X *1096:10 22.0503
2 *1096:10 *7410:CLK 33.552
3 *1096:10 *1096:21 2.94181
4 *1096:21 *1096:24 11.9379
5 *1096:24 *1096:29 8.24077
6 *1096:29 *1096:30 0.378612
7 *1096:30 *1096:35 15.2323
8 *1096:35 *7444:A 9.24915
9 *1096:35 *7405:CLK 38.602
10 *1096:30 *7445:A 15.0271
11 *1096:29 *1096:71 10.8665
12 *1096:71 *1096:74 11.315
13 *1096:74 *7430:CLK 16.1364
14 *1096:74 *1096:86 15.6391
15 *1096:86 *1096:89 5.91674
16 *1096:89 *7375:CLK 13.7491
17 *1096:89 *7378:CLK 20.1489
18 *1096:86 *1096:103 18.4707
19 *1096:103 *7342:CLK 10.2378
20 *1096:103 *1096:113 5.778
21 *1096:113 *1096:114 5.98452
22 *1096:114 *1096:119 15.2267
23 *1096:119 *7373:CLK 20.9116
24 *1096:119 *1096:133 17.5544
25 *1096:133 *1096:137 16.6863
26 *1096:137 *1096:140 13.3913
27 *1096:140 *1096:148 23.5096
28 *1096:148 *7330:CLK 18.7961
29 *1096:148 *1096:164 11.9269
30 *1096:164 *7353:CLK 9.24915
31 *1096:164 *1096:180 25.9187
32 *1096:180 *7332:CLK 9.24915
33 *1096:180 *1096:192 24.3267
34 *1096:192 *1096:197 24.3622
35 *1096:197 *7354:CLK 15.5817
36 *1096:197 *1096:206 9.92941
37 *1096:206 *1096:209 5.63689
38 *1096:209 *7283:CLK 18.2199
39 *1096:209 *1096:223 4.64251
40 *1096:223 *1096:224 13.2514
41 *1096:224 *1096:229 18.2728
42 *1096:229 *1096:235 30.3867
43 *1096:235 *7333:CLK 9.24915
44 *1096:235 *7331:CLK 28.2608
45 *1096:229 *1096:259 1.8326
46 *1096:259 *1096:263 11.8396
47 *1096:263 *7335:CLK 9.24915
48 *1096:263 *1096:272 11.9047
49 *1096:272 *1096:278 26.5269
50 *1096:278 *1096:280 9.72179
51 *1096:280 *7322:CLK 20.9794
52 *1096:280 *7323:CLK 19.4881
53 *1096:278 *1096:299 7.44181
54 *1096:299 *1096:305 24.4422
55 *1096:305 *1096:313 31.1662
56 *1096:313 *1096:316 24.6031
57 *1096:316 *7327:CLK 9.24915
58 *1096:316 *1096:325 12.0084
59 *1096:325 *1096:334 14.2629
60 *1096:334 *7313:CLK 15.5817
61 *1096:334 *1096:343 13.4591
62 *1096:343 *1096:345 4.5
63 *1096:345 *7328:CLK 26.0802
64 *1096:345 *1096:354 5.71483
65 *1096:354 *1096:364 25.2615
66 *1096:364 *1096:368 13.8416
67 *1096:368 *7315:CLK 25.0642
68 *1096:368 *7316:CLK 9.24915
69 *1096:364 *1096:382 3.07775
70 *1096:382 *1096:385 15.2063
71 *1096:385 *1096:388 8.82351
72 *1096:388 *7311:CLK 15.5817
73 *1096:388 *1096:397 3.07775
74 *1096:397 *1096:404 22.609
75 *1096:404 *1096:412 25.8862
76 *1096:412 *1096:415 10.0693
77 *1096:415 *1096:420 21.4555
78 *1096:420 *7306:CLK 9.24915
79 *1096:420 *7308:CLK 27.9286
80 *1096:415 *7307:CLK 27.2284
81 *1096:412 *1096:447 1.278
82 *1096:447 *7305:CLK 10.2378
83 *1096:447 *7310:CLK 42.6483
84 *1096:404 *7304:CLK 9.24915
85 *1096:397 *1096:475 4.32351
86 *1096:475 *7318:CLK 13.7491
87 *1096:475 *1096:485 22.0213
88 *1096:485 *7319:CLK 9.24915
89 *1096:485 *1096:491 0.723396
90 *1096:491 *1096:500 16.2736
91 *1096:500 *7269:CLK 14.4725
92 *1096:500 *1096:509 5.98452
93 *1096:509 *1096:512 20.7523
94 *1096:512 *7271:CLK 9.82786
95 *1096:512 *1096:527 16.6795
96 *1096:527 *7272:CLK 16.1364
97 *1096:527 *1096:536 10.137
98 *1096:536 *1096:538 4.5
99 *1096:538 *7345:CLK 20.51
100 *1096:538 *7273:CLK 19.9554
101 *1096:536 *7346:CLK 25.0248
102 *1096:509 *1096:565 14.1309
103 *1096:565 *1096:570 23.2782
104 *1096:570 *1096:572 2.6625
105 *1096:572 *7317:CLK 36.4706
106 *1096:572 *7339:CLK 13.7491
107 *1096:570 *1096:600 24.994
108 *1096:600 *7340:CLK 13.7491
109 *1096:600 *1096:611 17.6849
110 *1096:611 *1096:614 9.10562
111 *1096:614 *1096:624 35.0779
112 *1096:624 *1096:631 15.1256
113 *1096:631 *1096:634 21.3069
114 *1096:634 *1096:635 7.64553
115 *1096:635 *1096:637 8.89128
116 *1096:637 *7343:CLK 19.9053
117 *1096:637 *1096:655 36.3926
118 *1096:655 *7336:CLK 26.279
119 *1096:655 *1096:667 14.2218
120 *1096:667 *1096:668 5.71483
121 *1096:668 *1096:670 12.3701
122 *1096:670 *7372:CLK 40.745
123 *1096:670 *1096:683 7.993
124 *1096:683 *7358:CLK 13.7491
125 *1096:683 *1096:694 25.306
126 *1096:694 *7363:CLK 13.7491
127 *1096:694 *1096:703 6.74725
128 *1096:703 *1096:706 20.7523
129 *1096:706 *1096:709 10.0693
130 *1096:709 *1096:713 17.5788
131 *1096:713 *1096:717 17.9591
132 *1096:717 *1096:718 12.3701
133 *1096:718 *7288:CLK 9.97254
134 *1096:718 *7356:CLK 32.612
135 *1096:717 *7357:CLK 12.191
136 *1096:713 *1096:744 4.5
137 *1096:744 *7290:CLK 9.24915
138 *1096:744 *1096:751 13.5034
139 *1096:751 *7292:CLK 28.8337
140 *1096:751 *7291:CLK 9.82786
141 *1096:709 *7295:CLK 9.24915
142 *1096:706 *1096:773 7.64553
143 *1096:773 *1096:775 4.5
144 *1096:775 *1096:778 21.3069
145 *1096:778 *7294:CLK 18.1049
146 *1096:778 *1096:788 6.81502
147 *1096:788 *7301:CLK 14.4725
148 *1096:788 *1096:797 10.137
149 *1096:797 *7299:CLK 15.5817
150 *1096:797 *7298:CLK 17.9655
151 *1096:775 *7296:CLK 9.24915
152 *1096:773 *1096:822 16.4696
153 *1096:822 *7297:CLK 32.9918
154 *1096:822 *7302:CLK 9.24915
155 *1096:703 *1096:841 20.6278
156 *1096:841 *7364:CLK 14.4725
157 *1096:841 *1096:853 21.4555
158 *1096:853 *7365:CLK 9.24915
159 *1096:853 *1096:863 21.8856
160 *1096:863 *7362:CLK 30.5302
161 *1096:863 *1096:873 7.57775
162 *1096:873 *7366:CLK 9.24915
163 *1096:873 *7367:CLK 42.016
164 *1096:668 *7360:CLK 31.7082
165 *1096:667 *7359:CLK 9.24915
166 *1096:635 *7337:CLK 13.7491
167 *1096:634 *7361:CLK 23.5301
168 *1096:631 *7338:CLK 10.5513
169 *1096:624 *7368:CLK 18.1049
170 *1096:614 *7348:CLK 9.24915
171 *1096:611 *1096:939 14.637
172 *1096:939 *1096:943 11.0742
173 *1096:943 *7371:CLK 13.7491
174 *1096:943 *1096:956 31.1179
175 *1096:956 *7369:CLK 13.7491
176 *1096:956 *7370:CLK 31.2648
177 *1096:939 *1096:972 9.59705
178 *1096:972 *7349:CLK 9.24915
179 *1096:972 *7347:CLK 28.5879
180 *1096:565 *7344:CLK 9.24915
181 *1096:491 *1096:992 22.9075
182 *1096:992 *7270:CLK 9.24915
183 *1096:992 *1096:1002 25.2132
184 *1096:1002 *7279:CLK 17.6574
185 *1096:1002 *1096:1010 7.23027
186 *1096:1010 *7278:CLK 40.52
187 *1096:1010 *1096:1031 5.56926
188 *1096:1031 *7277:CLK 16.1364
189 *1096:1031 *1096:1040 14.7048
190 *1096:1040 *1096:1046 29.9967
191 *1096:1046 *7276:CLK 20.1489
192 *1096:1046 *7275:CLK 13.7491
193 *1096:1040 *1096:1063 13.0111
194 *1096:1063 *7429:CLK 30.2188
195 *1096:1063 *7274:CLK 9.97254
196 *1096:385 *7309:CLK 46.5939
197 *1096:382 *7312:CLK 14.7506
198 *1096:354 *7314:CLK 9.24915
199 *1096:343 *7320:CLK 37.1743
200 *1096:325 *7329:CLK 9.24915
201 *1096:305 *7325:CLK 9.24915
202 *1096:299 *7324:CLK 9.24915
203 *1096:272 *1096:1123 6.39977
204 *1096:1123 *7350:CLK 15.0271
205 *1096:1123 *1096:1135 26.4469
206 *1096:1135 *1096:1141 23.7539
207 *1096:1141 *7326:CLK 45.2043
208 *1096:1141 *7321:CLK 9.24915
209 *1096:1135 *7341:CLK 9.24915
210 *1096:259 *7334:CLK 9.24915
211 *1096:224 *7351:CLK 15.5817
212 *1096:223 *7355:CLK 23.1702
213 *1096:206 *1096:1181 0.793864
214 *1096:1181 *7286:CLK 14.4725
215 *1096:1181 *1096:1199 15.8735
216 *1096:1199 *7287:CLK 16.0086
217 *1096:1199 *1096:1217 31.3339
218 *1096:1217 *1096:1226 27.109
219 *1096:1226 *1096:1231 3.98154
220 *1096:1231 *7281:CLK 23.4709
221 *1096:1231 *7280:CLK 15.0271
222 *1096:1226 *1096:1247 10.9675
223 *1096:1247 *7300:CLK 13.7491
224 *1096:1247 *1096:1256 14.2218
225 *1096:1256 *1096:1260 12.1123
226 *1096:1260 *7433:CLK 23.3629
227 *1096:1260 *7303:CLK 24.5469
228 *1096:1256 *7293:CLK 9.24915
229 *1096:1217 *7289:CLK 18.7961
230 *1096:192 *7352:CLK 9.24915
231 *1096:140 *7285:CLK 13.7491
232 *1096:137 *7284:CLK 17.6164
233 *1096:133 *7282:CLK 9.24915
234 *1096:114 *7374:CLK 13.7491
235 *1096:113 *7432:CLK 24.7167
236 *1096:71 *7431:CLK 9.24915
237 *1096:24 *1096:1332 2.87013
238 *1096:1332 *7409:CLK 13.7491
239 *1096:1332 *1096:1339 13.4591
240 *1096:1339 *7407:CLK 13.7491
241 *1096:1339 *1096:1352 26.6923
242 *1096:1352 *7376:CLK 15.2053
243 *1096:1352 *1096:1363 3.493
244 *1096:1363 *7377:CLK 17.2456
245 *1096:1363 *1096:1372 13.8743
246 *1096:1372 *7408:CLK 13.7491
247 *1096:1372 *1096:1382 14.8114
248 *1096:1382 *7411:CLK 9.24915
249 *1096:1382 *1096:1392 12.4574
250 *1096:1392 *7379:CLK 30.5709
251 *1096:1392 *1096:1402 9.72179
252 *1096:1402 *7412:CLK 13.7491
253 *1096:1402 *1096:1412 17.5788
254 *1096:1412 *1096:1417 10.0015
255 *1096:1417 *1096:1418 14.0339
256 *1096:1418 *7413:CLK 10.2378
257 *1096:1418 *1096:1430 18.6147
258 *1096:1430 *1096:1435 20.3463
259 *1096:1435 *7415:CLK 15.5817
260 *1096:1435 *1096:1444 7.23027
261 *1096:1444 *7416:CLK 27.783
262 *1096:1444 *1096:1453 6.81502
263 *1096:1453 *7417:CLK 15.0271
264 *1096:1453 *1096:1465 18.9668
265 *1096:1465 *1096:1470 12.8783
266 *1096:1470 *7419:CLK 14.4725
267 *1096:1470 *1096:1484 12.6171
268 *1096:1484 *1096:1496 31.7185
269 *1096:1496 *1096:1503 14.4122
270 *1096:1503 *7424:CLK 16.1364
271 *1096:1503 *1096:1514 11.315
272 *1096:1514 *1096:1521 18.9256
273 *1096:1521 *7425:CLK 9.24915
274 *1096:1521 *7426:CLK 25.405
275 *1096:1514 *7427:CLK 34.9297
276 *1096:1496 *7423:CLK 9.24915
277 *1096:1484 *7420:CLK 9.24915
278 *1096:1465 *7418:CLK 18.3157
279 *1096:1430 *7414:CLK 9.24915
280 *1096:1417 *1096:1566 13.5657
281 *1096:1566 *1096:1569 14.0971
282 *1096:1569 *1096:1576 22.5919
283 *1096:1576 *7382:CLK 14.4725
284 *1096:1576 *1096:1588 14.2568
285 *1096:1588 *7384:CLK 9.24915
286 *1096:1588 *1096:1603 26.9652
287 *1096:1603 *7434:CLK 15.0271
288 *1096:1603 *1096:1614 17.9591
289 *1096:1614 *1096:1627 27.8857
290 *1096:1627 *1096:1630 9.23876
291 *1096:1630 *1096:1636 27.4995
292 *1096:1636 *7421:CLK 15.0271
293 *1096:1636 *1096:1645 11.3828
294 *1096:1645 *1096:1648 7.44181
295 *1096:1648 *7422:CLK 14.8434
296 *1096:1648 *7428:CLK 24.5418
297 *1096:1645 *7395:CLK 28.5246
298 *1096:1630 *1096:1682 10.7927
299 *1096:1682 *7397:CLK 9.24915
300 *1096:1682 *1096:1688 4.05102
301 *1096:1688 *7396:CLK 35.2046
302 *1096:1688 *1096:1700 7.37864
303 *1096:1700 *7398:CLK 9.24915
304 *1096:1700 *1096:1708 14.6517
305 *1096:1708 *1096:1709 6.19214
306 *1096:1709 *1096:1714 11.0031
307 *1096:1714 *7385:CLK 36.2311
308 *1096:1714 *7400:CLK 9.24915
309 *1096:1709 *1096:1739 23.5275
310 *1096:1739 *1096:1741 4.5
311 *1096:1741 *7387:CLK 24.0606
312 *1096:1741 *1096:1754 28.2669
313 *1096:1754 *7388:CLK 23.7141
314 *1096:1754 *7389:CLK 9.24915
315 *1096:1739 *7401:CLK 9.97254
316 *1096:1708 *1096:1776 3.90826
317 *1096:1776 *7403:CLK 15.0271
318 *1096:1776 *1096:1789 7.71881
319 *1096:1789 *7404:CLK 20.0186
320 *1096:1789 *1096:1798 3.493
321 *1096:1798 *1096:1801 22.4161
322 *1096:1801 *1096:1804 7.993
323 *1096:1804 *7402:CLK 22.4024
324 *1096:1804 *1096:1816 16.8701
325 *1096:1816 *7391:CLK 27.9709
326 *1096:1816 *7390:CLK 12.7456
327 *1096:1801 *7394:CLK 9.24915
328 *1096:1798 *1096:1838 15.0523
329 *1096:1838 *7392:CLK 14.9881
330 *1096:1838 *7393:CLK 20.5341
331 *1096:1627 *7399:CLK 9.24915
332 *1096:1614 *7386:CLK 11.6364
333 *1096:1569 *7381:CLK 9.24915
334 *1096:1566 *7383:CLK 23.8862
335 *1096:1412 *7380:CLK 9.24915
336 *1096:21 *7406:CLK 13.3002
*END
*D_NET *1097 0.00430919
*CONN
*I *7204:B I *D sky130_fd_sc_hd__nand2_1
*I *7498:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7204:B 0
2 *7498:X 0.000519241
3 *1097:11 0.000519241
4 *1097:11 *6594:A 0.000215704
5 *1097:11 *7260:A1 0.000527905
6 *1097:11 *1098:11 0.000858927
7 *1097:11 *1135:49 0.000905577
8 *1097:11 *1156:55 0.000164843
9 *6611:A *1097:11 6.50586e-05
10 *7260:A2 *1097:11 0.000160617
11 *7543:A *1097:11 0.000162884
12 *232:16 *1097:11 7.30177e-05
13 *444:11 *1097:11 1.67988e-05
14 *444:29 *1097:11 5.85276e-05
15 *982:27 *1097:11 6.08467e-05
*RES
1 *7498:X *1097:11 42.1848
2 *1097:11 *7204:B 9.24915
*END
*D_NET *1098 0.0426671
*CONN
*I *7027:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6687:A2 I *D sky130_fd_sc_hd__a31o_1
*I *6762:A I *D sky130_fd_sc_hd__and3_1
*I *5644:DIODE I *D sky130_fd_sc_hd__diode_2
*I *7499:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *7027:A0 0
2 *6687:A2 0.000212168
3 *6762:A 0.000170134
4 *5644:DIODE 0
5 *7499:X 0.000795629
6 *1098:72 0.00130649
7 *1098:55 0.00521381
8 *1098:53 0.00516554
9 *1098:47 0.00367689
10 *1098:46 0.00355503
11 *1098:44 0.00205305
12 *1098:33 0.00185286
13 *1098:11 0.00168976
14 *1098:11 *1135:49 0.000998535
15 *1098:11 *1173:17 0.000228593
16 *1098:33 *6594:A 0.000445155
17 *1098:33 *7260:A1 8.62625e-06
18 *1098:33 *1135:36 0.000178971
19 *1098:33 *1136:33 0
20 *1098:33 *1173:44 0.000779019
21 *1098:44 *6594:A 0.00103423
22 *1098:44 *1135:36 0.000576244
23 *1098:44 *1137:21 0
24 *1098:47 *7084:B2 0.00074353
25 *1098:47 *1116:5 6.91561e-06
26 *1098:72 *6694:B 0.000145074
27 sram_clk0 *1098:11 7.58748e-05
28 sram_web0 *1098:11 5.73392e-05
29 wb_ack_o *1098:11 0.000122083
30 *6799:A *1098:55 0.000108054
31 *6849:A1 *1098:55 0.000207266
32 *6849:A2 *1098:55 9.00479e-06
33 *6953:A1 *1098:47 5.0715e-05
34 *6953:B1 *1098:47 0.000227892
35 *6953:B1 *1098:53 1.61631e-05
36 *7050:D *1098:72 0.000196013
37 *7054:A1 *1098:72 0.000570342
38 *7054:B2 *1098:72 9.04224e-05
39 *7068:A *1098:72 0.000111901
40 *7070:A2 *1098:47 3.99086e-06
41 *7072:A3 *1098:47 1.47695e-05
42 *7084:C1 *1098:47 5.37805e-05
43 *7207:A2 *1098:33 1.23455e-05
44 *7207:B1 *1098:33 0.000116971
45 *7262:B1_N *1098:11 0.000113968
46 *7350:D *1098:55 0.000297763
47 *7351:D *1098:55 0.000153393
48 *7405:D *1098:33 7.09666e-06
49 *7445:A *1098:11 0.000107496
50 *7462:A *1098:44 0.000158451
51 *7611:A *1098:11 0.000832362
52 *225:8 *1098:11 4.70005e-05
53 *438:66 *1098:33 6.94963e-05
54 *444:29 *1098:11 4.66492e-05
55 *487:17 *1098:33 2.41483e-05
56 *502:30 *1098:55 0.000519467
57 *597:69 *1098:55 0.000216687
58 *603:19 *6762:A 7.8874e-05
59 *603:19 *1098:55 5.04829e-06
60 *622:24 *1098:55 0.000184449
61 *647:7 *1098:55 6.14949e-06
62 *647:36 *1098:55 0.000144274
63 *745:15 *1098:53 0
64 *745:15 *1098:55 0.0011812
65 *746:10 *1098:53 9.16264e-05
66 *746:10 *1098:55 1.00145e-05
67 *813:10 *6687:A2 1.01091e-05
68 *813:10 *1098:72 1.92172e-05
69 *835:11 *1098:72 0
70 *850:12 *1098:47 0.000822991
71 *850:33 *1098:47 0.000314433
72 *878:5 *6687:A2 0.000474432
73 *878:5 *1098:72 0.000233002
74 *962:19 *6687:A2 0.000859517
75 *982:27 *1098:33 0.00127589
76 *1058:16 *1098:44 0.000300989
77 *1058:16 *1098:72 0.000320857
78 *1097:11 *1098:11 0.000858927
*RES
1 *7499:X *1098:11 48.0096
2 *1098:11 *1098:33 48.3357
3 *1098:33 *1098:44 37.7476
4 *1098:44 *1098:46 4.5
5 *1098:46 *1098:47 56.1838
6 *1098:47 *1098:53 3.94251
7 *1098:53 *1098:55 80.8636
8 *1098:55 *5644:DIODE 9.24915
9 *1098:55 *6762:A 12.2151
10 *1098:44 *1098:72 39.3169
11 *1098:72 *6687:A2 21.3299
12 *1098:72 *7027:A0 9.24915
*END
*D_NET *1099 0.0455919
*CONN
*I *6813:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6716:B I *D sky130_fd_sc_hd__and3_1
*I *5645:DIODE I *D sky130_fd_sc_hd__diode_2
*I *7500:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6813:A1 0.000300344
2 *6716:B 4.51842e-05
3 *5645:DIODE 0
4 *7500:X 0.00144925
5 *1099:92 0.0012967
6 *1099:89 0.00178327
7 *1099:75 0.00244517
8 *1099:58 0.00178443
9 *1099:47 0.000587592
10 *1099:34 0.00276994
11 *1099:30 0.00274324
12 *1099:19 0.00271369
13 *1099:11 0.00368303
14 *1099:30 *1105:40 0.00016444
15 *1099:34 *1113:12 0
16 *1099:47 *1103:36 0.000311011
17 *1099:47 *1114:35 0.000607467
18 *1099:89 *6758:A 0.000304983
19 *6680:A *1099:89 6.3657e-05
20 *6717:A1 *1099:47 0.000238522
21 *6717:A2 *1099:47 0.000220183
22 *6717:B1 *6716:B 6.08467e-05
23 *6717:B1 *1099:47 0.000629893
24 *6717:B1 *1099:58 0.000364356
25 *6717:B1 *1099:75 5.08751e-05
26 *6721:A1 *1099:34 3.20069e-06
27 *6727:A1_N *1099:47 3.88655e-05
28 *6733:A *1099:19 1.03403e-05
29 *6733:C *1099:30 0.000167076
30 *6741:C *1099:89 1.36556e-05
31 *6744:A2 *1099:34 0.000565968
32 *6813:B1 *6813:A1 6.92705e-05
33 *6814:B *6813:A1 0.000111708
34 *6867:B *1099:92 5.92192e-05
35 *6889:A3 *1099:89 7.92757e-06
36 *6926:A *1099:89 0.000591351
37 *6966:A *1099:75 8.03262e-05
38 *6974:A1 *1099:75 6.50586e-05
39 *6974:A2 *1099:75 6.24655e-05
40 *6974:B1 *1099:75 0.000304791
41 *6975:B *1099:75 0.000158864
42 *6979:A2 *1099:75 0.000739093
43 *6979:B1 *1099:75 0.000171273
44 *6989:A *1099:75 0.000154145
45 *7118:A2 *1099:47 0.00110787
46 *7119:A1 *1099:19 4.89898e-06
47 *7119:A2 *1099:19 7.92757e-06
48 *7128:A1 *1099:75 3.82228e-05
49 *7228:A *1099:11 9.91731e-05
50 *7290:D *1099:47 4.47578e-05
51 *7303:CLK *1099:30 0.000426154
52 *7303:D *1099:30 0.000269781
53 *7383:D *1099:11 7.16434e-05
54 *7383:D *1099:19 0.000139403
55 *523:15 *1099:89 0.000112149
56 *523:16 *1099:92 0.00131111
57 *523:26 *1099:92 0.000143979
58 *533:24 *1099:58 1.87469e-05
59 *560:17 *1099:58 5.05252e-05
60 *560:32 *1099:75 0.00021891
61 *560:32 *1099:89 0.000157499
62 *560:44 *1099:89 0.000324151
63 *561:6 *1099:89 4.90829e-05
64 *561:8 *1099:89 7.05251e-05
65 *576:62 *1099:34 3.31733e-05
66 *586:29 *1099:47 0.000161717
67 *586:29 *1099:58 0.000352419
68 *586:29 *1099:75 2.52287e-06
69 *586:38 *1099:75 0.000428247
70 *587:36 *1099:34 1.9101e-05
71 *594:7 *1099:30 0.000464357
72 *594:14 *1099:30 0.000109503
73 *597:10 *1099:34 4.03348e-05
74 *604:13 *6813:A1 0.000464127
75 *614:11 *1099:89 0.00033614
76 *636:28 *6813:A1 0.000189948
77 *648:8 *1099:92 0.000113456
78 *691:62 *1099:75 0.000502199
79 *691:62 *1099:89 0.000566452
80 *880:14 *1099:47 5.0715e-05
81 *888:8 *1099:47 0
82 *894:17 *1099:19 0.00317445
83 *897:29 *1099:19 4.77868e-06
84 *897:29 *1099:30 9.10755e-05
85 *902:24 *1099:34 0.00212053
86 *921:27 *1099:34 6.88784e-05
87 *927:19 *1099:30 0.000122083
88 *941:14 *1099:75 0.000746254
89 *989:14 *1099:92 0.000417913
90 *989:16 *1099:92 0.000105084
91 *1011:15 *1099:92 8.03485e-05
92 *1011:18 *1099:89 0.000213997
93 *1022:14 *1099:92 2.53624e-06
94 *1033:26 *1099:92 0.00192243
95 *1060:16 *1099:30 2.7961e-05
96 *1096:713 *1099:47 0
*RES
1 *7500:X *1099:11 32.3737
2 *1099:11 *1099:19 46.2732
3 *1099:19 *1099:30 30.4655
4 *1099:30 *1099:34 45.5961
5 *1099:34 *1099:47 43.7236
6 *1099:47 *5645:DIODE 9.24915
7 *1099:47 *1099:58 14.0525
8 *1099:58 *6716:B 9.97254
9 *1099:58 *1099:75 48.1299
10 *1099:75 *1099:89 45.5128
11 *1099:89 *1099:92 47.442
12 *1099:92 *6813:A1 19.9554
*END
*D_NET *1100 0.0133586
*CONN
*I *7133:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7501:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7133:A1 0.000797499
2 *7501:X 0.000537982
3 *1100:14 0.0027834
4 *1100:12 0.00252389
5 *7133:A1 *1111:14 0.00165282
6 *1100:12 *1133:14 0
7 *1100:14 *1111:14 0.00105412
8 sram_din0[13] *1100:12 0
9 *6839:B *1100:14 0.000122098
10 *6843:B1 *1100:14 1.98036e-05
11 *6849:A1 *1100:14 0.000346385
12 *6855:B1 *1100:14 6.93222e-05
13 *7501:A *1100:12 0.000791476
14 *7550:A *1100:14 0
15 *7552:A *1100:12 3.33645e-05
16 *645:8 *7133:A1 0.000168287
17 *645:8 *1100:14 0.00128877
18 *645:59 *7133:A1 0.000221015
19 *646:14 *1100:14 0
20 *646:31 *1100:14 0
21 *646:40 *1100:14 0
22 *655:11 *1100:14 0
23 *688:48 *1100:14 4.8794e-05
24 *691:8 *7133:A1 9.24241e-05
25 *691:62 *7133:A1 0.000442499
26 *740:31 *7133:A1 0
27 *900:21 *7133:A1 9.16599e-05
28 *900:25 *7133:A1 2.48809e-05
29 *978:7 *1100:12 3.60363e-05
30 *978:11 *1100:12 0.000212104
31 *1015:24 *1100:14 0
32 *1096:316 *1100:14 0
*RES
1 *7501:X *1100:12 29.4459
2 *1100:12 *1100:14 59.1612
3 *1100:14 *7133:A1 46.3467
*END
*D_NET *1101 0.0190884
*CONN
*I *6718:B I *D sky130_fd_sc_hd__and3_2
*I *5649:DIODE I *D sky130_fd_sc_hd__diode_2
*I *7502:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6718:B 0.000219315
2 *5649:DIODE 0
3 *7502:X 0.000662131
4 *1101:32 0.000388922
5 *1101:27 0.00294106
6 *1101:17 0.0044358
7 *1101:13 0.00317857
8 *1101:10 0.00217635
9 *1101:10 *1103:8 0.000485771
10 *1101:10 *1112:20 0
11 *1101:10 *1114:18 0.00102507
12 *1101:13 *7223:A0 6.44576e-05
13 *1101:17 *7265:A1 6.50586e-05
14 *1101:27 *6723:A2 0.000111722
15 *6718:C *1101:32 0
16 *6722:A *1101:27 0.000111708
17 *6722:C *1101:27 0.000100785
18 *6723:B1 *1101:27 0.000118862
19 *7110:B1 *1101:13 0
20 *7113:A2 *1101:27 9.40969e-05
21 *7113:A3 *1101:27 4.9711e-06
22 *7117:A1 *6718:B 8.09995e-05
23 *7121:A1 *1101:27 9.34869e-05
24 *7121:B1 *1101:27 0.00025978
25 *7223:S *1101:13 4.0752e-05
26 *7224:A *1101:13 0.000377259
27 *7265:S *1101:17 0.000115615
28 *7416:CLK *1101:10 2.16355e-05
29 *7474:A *1101:10 9.12863e-05
30 *7608:A *1101:13 0.000203975
31 *559:46 *1101:32 1.5714e-05
32 *876:27 *1101:27 0.000377259
33 *887:13 *1101:27 0.000141143
34 *887:15 *1101:17 0.000701899
35 *887:15 *1101:27 0.000241728
36 *1096:1430 *1101:10 0.000141171
37 *1096:1435 *1101:10 0
*RES
1 *7502:X *1101:10 44.0587
2 *1101:10 *1101:13 24.7703
3 *1101:13 *1101:17 39.7928
4 *1101:17 *1101:27 49.637
5 *1101:27 *1101:32 12.493
6 *1101:32 *5649:DIODE 9.24915
7 *1101:32 *6718:B 12.7456
*END
*D_NET *1102 0.00908263
*CONN
*I *6720:B I *D sky130_fd_sc_hd__and3_4
*I *7503:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6720:B 0.00030304
2 *7503:X 5.55586e-05
3 *1102:9 0.00202981
4 *1102:8 0.00178233
5 *6720:B *6724:B 3.94365e-05
6 *1102:9 *7232:A0 1.03403e-05
7 *1102:9 *1158:20 0.000569034
8 *6629:C *1102:9 6.08467e-05
9 *6720:C *6720:B 9.99386e-06
10 *6724:C *6720:B 0.000102429
11 *6780:D1 *1102:9 6.08467e-05
12 *7232:S *1102:8 5.88662e-05
13 *7267:A0 *1102:9 0.000193373
14 *7434:D *1102:9 4.61438e-05
15 *7503:A *1102:8 5.54078e-05
16 *402:12 *6720:B 6.50727e-05
17 *405:9 *1102:9 0.000172971
18 *405:21 *1102:9 5.0715e-05
19 *434:5 *1102:9 0.00227984
20 *437:10 *6720:B 0.000231859
21 *481:28 *1102:9 2.15348e-05
22 *481:36 *1102:9 0.000358791
23 *513:9 *1102:9 0.000353672
24 *563:22 *6720:B 2.65667e-05
25 *569:26 *6720:B 4.58259e-05
26 *632:8 *1102:9 9.8325e-05
*RES
1 *7503:X *1102:8 20.4964
2 *1102:8 *1102:9 63.3936
3 *1102:9 *6720:B 29.1096
*END
*D_NET *1103 0.0459773
*CONN
*I *6824:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6981:A2 I *D sky130_fd_sc_hd__a31o_1
*I *6723:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7504:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6824:A1 0
2 *6981:A2 0
3 *6723:A2 0.000200393
4 *7504:X 0.000990982
5 *1103:65 0.00129585
6 *1103:58 0.00459186
7 *1103:50 0.00408022
8 *1103:36 0.00240505
9 *1103:34 0.00218066
10 *1103:13 0.000760221
11 *1103:11 0.00233854
12 *1103:10 0.00233854
13 *1103:8 0.000990982
14 *1103:8 *1112:16 0.00015082
15 *1103:8 *1112:20 0.000267923
16 *1103:8 *1114:14 7.89886e-05
17 *1103:8 *1114:18 0.000116511
18 *1103:11 *1156:39 7.98171e-06
19 *1103:34 *1114:33 0.000409856
20 *1103:34 *1114:35 0.000102012
21 *6723:B1 *6723:A2 5.04829e-06
22 *6830:A2 *1103:65 0.000151726
23 *6830:B2 *1103:65 4.80148e-05
24 *6899:A2 *1103:65 5.32239e-05
25 *6899:C1 *1103:65 0
26 *6901:A *1103:65 0
27 *6975:B *1103:50 5.47736e-05
28 *6976:A *1103:36 0.000220183
29 *6976:A *1103:50 0
30 *6977:B *1103:50 0.000650724
31 *6977:C *1103:50 0.000133896
32 *6977:D *1103:50 6.08467e-05
33 *6981:B1 *1103:58 5.481e-05
34 *7092:A *1103:11 0.000766245
35 *7106:A *1103:11 0.000625682
36 *7118:A1 *1103:34 3.49951e-05
37 *7118:A1 *1103:36 1.61631e-05
38 *7118:A2 *1103:36 0.00289819
39 *7118:B1 *1103:36 6.50586e-05
40 *7225:A1 *1103:11 0.000536581
41 *7226:A *1103:8 0.000319359
42 *7233:A *1103:8 0.00034103
43 *7265:A0 *1103:11 0.000123734
44 *7266:A *1103:11 0.000264586
45 *7293:D *1103:11 0.000165181
46 *7339:D *1103:65 4.01315e-05
47 *7340:D *1103:65 8.85525e-05
48 *7348:D *1103:58 0.000224747
49 *7360:D *1103:50 9.82494e-05
50 *7361:CLK *1103:58 7.6824e-05
51 *7361:D *1103:58 1.87611e-05
52 *7381:D *1103:11 0.000210042
53 *7417:D *1103:8 0.00015324
54 *7474:A *1103:8 9.12863e-05
55 *547:29 *1103:36 0.00058323
56 *559:11 *1103:58 2.20567e-05
57 *559:27 *1103:50 0.00127182
58 *559:27 *1103:58 4.91225e-06
59 *559:46 *6723:A2 1.91246e-05
60 *559:50 *6723:A2 5.64902e-05
61 *560:29 *1103:36 0.00160896
62 *574:51 *1103:36 8.40003e-05
63 *576:7 *1103:36 0.00182785
64 *708:8 *1103:65 7.14746e-05
65 *708:30 *1103:65 0.00031316
66 *710:12 *1103:65 3.71467e-05
67 *775:8 *1103:50 8.39246e-05
68 *827:14 *6723:A2 0.000257179
69 *827:14 *1103:34 0.000105985
70 *880:14 *1103:36 2.97556e-05
71 *881:10 *1103:50 0
72 *884:15 *1103:11 5.28784e-05
73 *887:15 *6723:A2 1.58551e-05
74 *891:8 *1103:11 0.00251751
75 *894:7 *1103:34 0.00152777
76 *941:10 *1103:58 3.17533e-05
77 *1023:5 *1103:8 9.18559e-06
78 *1051:7 *1103:11 0.000619237
79 *1062:74 *6723:A2 0
80 *1062:74 *1103:34 0
81 *1096:600 *1103:65 0.000448444
82 *1096:611 *1103:65 0.000943272
83 *1096:614 *1103:58 8.24948e-05
84 *1096:624 *1103:58 2.16355e-05
85 *1096:939 *1103:65 5.56367e-05
86 *1096:1569 *1103:11 0.000371267
87 *1096:1576 *1103:11 9.55085e-05
88 *1099:47 *1103:36 0.000311011
89 *1101:10 *1103:8 0.000485771
90 *1101:27 *6723:A2 0.000111722
*RES
1 *7504:X *1103:8 49.94
2 *1103:8 *1103:10 4.5
3 *1103:10 *1103:11 78.9225
4 *1103:11 *1103:13 4.5
5 *1103:13 *6723:A2 20.3446
6 *1103:13 *1103:34 27.0988
7 *1103:34 *1103:36 65.612
8 *1103:36 *1103:50 37.7289
9 *1103:50 *6981:A2 9.24915
10 *1103:50 *1103:58 47.7441
11 *1103:58 *1103:65 45.8542
12 *1103:65 *6824:A1 13.7491
*END
*D_NET *1104 0.0126106
*CONN
*I *6724:B I *D sky130_fd_sc_hd__and3_2
*I *7505:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6724:B 0.000437639
2 *7505:X 0.000101021
3 *1104:9 0.00197172
4 *1104:8 0.0016351
5 *1104:8 *1108:22 6.14105e-05
6 *1104:9 *7236:A0 0.000536567
7 *6604:A1 *1104:9 0.000127271
8 *6720:B *6724:B 3.94365e-05
9 *6724:A *6724:B 5.59986e-05
10 *6724:C *6724:B 0.000111311
11 *7043:A *1104:9 0.000876056
12 *7385:CLK *6724:B 0.000222684
13 *7418:CLK *1104:9 3.83564e-05
14 *7418:D *1104:9 1.87611e-05
15 *437:10 *6724:B 0
16 *437:14 *6724:B 0.000218371
17 *458:19 *1104:9 3.58208e-05
18 *497:20 *1104:9 5.20546e-06
19 *829:7 *1104:9 0.000126568
20 *898:10 *6724:B 9.2346e-06
21 *1024:15 *1104:9 0.00577491
22 *1058:16 *6724:B 0.000132761
23 *1096:1465 *1104:9 7.43669e-05
*RES
1 *7505:X *1104:8 20.9116
2 *1104:8 *1104:9 68.9396
3 *1104:9 *6724:B 33.3692
*END
*D_NET *1105 0.0491145
*CONN
*I *6830:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6726:A I *D sky130_fd_sc_hd__nand2_1
*I *7506:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6830:A1 3.5247e-05
2 *6726:A 0
3 *7506:X 0.000516374
4 *1105:57 0.00356807
5 *1105:56 0.0052184
6 *1105:53 0.00174865
7 *1105:46 0.000252219
8 *1105:41 0.00328091
9 *1105:40 0.00440011
10 *1105:27 0.00422267
11 *1105:26 0.00519617
12 *1105:20 0.00266124
13 *1105:15 0.000895768
14 *1105:15 *1108:22 0.000200251
15 *1105:15 *1114:14 0.000683828
16 *1105:15 *1115:6 0.000683828
17 *1105:20 *1108:22 0.0010213
18 *1105:20 *1115:6 0.000411806
19 *1105:26 *1156:20 0.000109497
20 *1105:27 *6743:B 0.00351216
21 *1105:27 *7105:A 6.59279e-05
22 *1105:56 *6965:A1 0.000101741
23 *1105:57 *6838:A1 0.000277502
24 wb_data_o[14] *1105:15 1.58826e-05
25 *6606:C_N *1105:26 1.21461e-06
26 *6607:A *1105:26 0.000127164
27 *6717:A2 *1105:46 0
28 *6726:B *1105:46 3.5534e-06
29 *6727:A2_N *1105:53 1.41976e-05
30 *6760:A_N *1105:57 0.000191235
31 *6830:B1 *1105:57 9.18559e-06
32 *6836:A *1105:57 0.000171456
33 *6838:A2 *1105:57 0.000230294
34 *6838:B2 *1105:57 7.02172e-06
35 *6902:B1 *1105:57 0.000996511
36 *6954:B *1105:56 0
37 *6963:A1 *1105:56 1.32509e-05
38 *6966:B *1105:56 2.55493e-05
39 *6990:A2 *1105:53 0.000146388
40 *7111:A2 *1105:56 0
41 *7119:A1 *1105:26 0
42 *7119:A2 *1105:26 9.60216e-05
43 *7131:A3 *1105:40 8.01687e-05
44 *7292:D *1105:41 1.60502e-06
45 *7295:D *1105:41 1.60502e-06
46 *7303:D *1105:40 0.000140488
47 *7320:D *1105:57 3.54999e-05
48 *7372:CLK *1105:56 0
49 *7415:CLK *1105:26 5.04829e-06
50 *7415:D *1105:26 0.000989109
51 *7502:A *1105:20 8.71534e-05
52 *7580:A *1105:26 0
53 *7584:A *1105:15 1.31872e-05
54 *515:6 *1105:26 0
55 *533:24 *1105:56 0.00122487
56 *547:37 *1105:56 0
57 *560:54 *1105:46 6.50003e-05
58 *572:10 *1105:57 6.64392e-05
59 *581:32 *1105:57 0.000675842
60 *594:14 *1105:40 8.14778e-05
61 *594:14 *1105:41 0.00186362
62 *599:7 *1105:27 0.00072322
63 *613:11 *1105:57 0.000357898
64 *822:26 *1105:40 5.19205e-05
65 *881:19 *1105:56 0
66 *902:19 *1105:53 0.000370801
67 *902:24 *1105:40 1.70077e-05
68 *906:24 *1105:40 0.000593901
69 *906:24 *1105:46 0
70 *918:7 *1105:41 2.06185e-05
71 *921:10 *1105:41 1.69967e-05
72 *1021:11 *1105:15 0.000160617
73 *1062:74 *1105:40 7.50872e-05
74 *1071:8 *1105:56 0
75 *1096:683 *1105:56 0
76 *1096:694 *1105:56 0
77 *1096:713 *1105:41 0.000118245
78 *1099:30 *1105:40 0.00016444
*RES
1 *7506:X *1105:15 46.6207
2 *1105:15 *1105:20 27.0268
3 *1105:20 *1105:26 47.7328
4 *1105:26 *1105:27 50.0831
5 *1105:27 *1105:40 40.8675
6 *1105:40 *1105:41 48.4193
7 *1105:41 *1105:46 13.3235
8 *1105:46 *6726:A 9.24915
9 *1105:46 *1105:53 8.55102
10 *1105:53 *1105:56 47.442
11 *1105:56 *1105:57 86.687
12 *1105:57 *6830:A1 10.2378
*END
*D_NET *1106 0.0455056
*CONN
*I *6834:A1 I *D sky130_fd_sc_hd__a22o_1
*I *5650:DIODE I *D sky130_fd_sc_hd__diode_2
*I *6728:B I *D sky130_fd_sc_hd__and3_1
*I *7507:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6834:A1 0.00184323
2 *5650:DIODE 0
3 *6728:B 0
4 *7507:X 2.84672e-05
5 *1106:38 0.00285036
6 *1106:33 0.00233676
7 *1106:21 0.00151273
8 *1106:15 0.00171443
9 *1106:9 0.0118132
10 *1106:8 0.0103104
11 *6834:A1 *1111:14 0
12 *6834:A1 *1122:20 5.29763e-05
13 *1106:8 *1114:8 7.50872e-05
14 *1106:15 *1107:47 6.50727e-05
15 *1106:21 *1107:47 0.000328363
16 *1106:33 *1107:47 0.000922747
17 *1106:33 *1114:35 0.00139652
18 *1106:38 *6968:A1 0.000165989
19 *1106:38 *1107:48 0.00223065
20 *1106:38 *1111:14 0
21 *1106:38 *1112:74 1.5714e-05
22 *1106:38 *1122:26 0.000279594
23 *1106:38 *1143:56 1.87469e-05
24 *6728:C *1106:15 0.000116971
25 *6834:A2 *6834:A1 5.50435e-05
26 *6834:B1 *6834:A1 0.000200812
27 *6838:B1 *6834:A1 0
28 *6965:C1 *1106:15 0
29 *6983:B *1106:33 0.000177819
30 *6988:A1 *1106:33 6.10852e-05
31 *6989:B *1106:33 0
32 *6989:C *1106:33 5.33121e-05
33 *6995:A *1106:15 2.49928e-05
34 *6995:B *1106:15 0.000140147
35 *6996:A *1106:15 0
36 *7000:B *1106:15 0
37 *7001:A *1106:15 0
38 *7200:A2 *1106:9 2.84359e-06
39 *7200:B2 *1106:9 3.02474e-05
40 *7253:A *1106:9 0.000160425
41 *7420:D *1106:9 1.4091e-06
42 *7585:A *1106:9 2.44829e-05
43 *306:18 *6834:A1 8.52027e-05
44 *470:5 *1106:9 5.4694e-06
45 *470:26 *1106:9 7.31475e-05
46 *525:19 *6834:A1 0.00122241
47 *533:24 *1106:15 0.000148998
48 *533:36 *1106:15 6.10997e-05
49 *546:8 *1106:15 0
50 *574:51 *1106:33 0.00140071
51 *581:32 *6834:A1 0.000101133
52 *581:35 *6834:A1 9.44933e-06
53 *593:8 *1106:15 0
54 *608:10 *6834:A1 6.35906e-05
55 *645:26 *6834:A1 0.000597674
56 *662:6 *6834:A1 6.96029e-05
57 *662:6 *1106:38 0.00073338
58 *662:15 *6834:A1 5.32948e-05
59 *662:34 *6834:A1 0.0006212
60 *663:30 *6834:A1 3.10209e-06
61 *776:22 *1106:33 0.000113374
62 *779:35 *1106:33 5.9708e-05
63 *820:36 *1106:33 0
64 *881:10 *1106:33 0
65 *902:10 *1106:33 0
66 *923:9 *1106:15 1.79807e-05
67 *965:38 *6834:A1 0.000365772
68 *1015:21 *6834:A1 8.26812e-05
69 *1040:28 *1106:8 7.86847e-05
70 *1096:853 *1106:15 0
71 *1096:1682 *1106:9 1.43983e-05
72 *1096:1688 *1106:9 9.29715e-05
73 *1096:1700 *1106:9 0.00017776
74 *1096:1708 *1106:9 0.00024219
*RES
1 *7507:X *1106:8 19.6659
2 *1106:8 *1106:9 150.466
3 *1106:9 *1106:15 48.5128
4 *1106:15 *6728:B 9.24915
5 *1106:15 *1106:21 3.49641
6 *1106:21 *5650:DIODE 9.24915
7 *1106:21 *1106:33 49.4148
8 *1106:33 *1106:38 46.3061
9 *1106:38 *6834:A1 37.044
*END
*D_NET *1107 0.0341035
*CONN
*I *6838:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6731:B I *D sky130_fd_sc_hd__and3_1
*I *5646:DIODE I *D sky130_fd_sc_hd__diode_2
*I *7508:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6838:A1 7.57558e-05
2 *6731:B 2.06324e-05
3 *5646:DIODE 0
4 *7508:X 0.000668882
5 *1107:48 0.00154843
6 *1107:47 0.00233642
7 *1107:37 0.000990183
8 *1107:31 0.000175711
9 *1107:28 0.00214117
10 *1107:27 0.00207126
11 *1107:25 0.00328895
12 *1107:23 0.00447385
13 *1107:11 0.00185379
14 *1107:48 *6880:B 1.29348e-05
15 *1107:48 *1111:14 0
16 *1107:48 *1131:46 3.43906e-05
17 *6729:A1 *1107:28 0
18 *6729:A2 *1107:28 0
19 *6729:B1 *1107:31 4.26566e-05
20 *6729:B1 *1107:37 3.95802e-05
21 *6730:A *1107:28 0
22 *6731:A *1107:37 8.87722e-05
23 *6731:A *1107:47 0.00027804
24 *6732:A2 *1107:28 0
25 *6820:A *1107:48 0.00017046
26 *6838:B2 *6838:A1 4.35163e-05
27 *6862:A *1107:48 6.9805e-05
28 *6880:A *1107:48 5.82465e-05
29 *6881:A1 *1107:48 0.000112199
30 *6984:B1 *1107:47 0.000277488
31 *6991:B *1107:47 2.65831e-05
32 *6991:C *1107:47 1.19721e-05
33 *6991:D *1107:47 0.000142393
34 *7133:B1 *1107:48 0.000170607
35 *7133:B2 *1107:48 1.57079e-05
36 *7133:C1 *1107:48 0.000224798
37 *7138:B1 *1107:48 0.000369406
38 *7143:A2 *1107:48 1.46079e-05
39 *7149:B2 *1107:47 1.19207e-05
40 *7149:C1 *1107:48 0.000149136
41 *7245:A1 *1107:11 0.000128915
42 *7246:A *1107:11 0.000317707
43 *7297:CLK *1107:28 0
44 *7297:D *1107:28 3.01634e-05
45 *7302:D *1107:28 4.01437e-05
46 *7394:D *1107:25 0.000652203
47 *7396:CLK *1107:23 0.00033061
48 *7396:D *1107:23 7.02539e-05
49 *7428:CLK *1107:11 6.08467e-05
50 *7428:D *1107:11 0.000249553
51 *421:10 *1107:28 0
52 *503:8 *1107:48 0
53 *547:11 *1107:28 0
54 *557:22 *1107:47 0.00023805
55 *580:36 *1107:48 1.69932e-05
56 *581:32 *6838:A1 2.16355e-05
57 *589:45 *1107:47 1.41853e-05
58 *592:8 *1107:28 2.98609e-05
59 *592:18 *1107:28 0
60 *593:8 *1107:28 0
61 *594:44 *1107:48 0
62 *775:8 *1107:48 0.000141239
63 *820:44 *1107:47 0.00107787
64 *831:36 *1107:48 0
65 *901:19 *1107:48 0.000315269
66 *923:20 *1107:28 0
67 *929:9 *1107:28 0
68 *941:14 *1107:48 6.9507e-05
69 *944:21 *1107:47 0.000275256
70 *1032:8 *1107:25 3.42853e-05
71 *1035:5 *1107:23 0.000171473
72 *1035:13 *1107:11 0.000397382
73 *1035:13 *1107:23 0.0008693
74 *1041:23 *1107:11 0.00198049
75 *1096:1801 *1107:23 3.96379e-06
76 *1096:1801 *1107:25 0.000731777
77 *1105:57 *6838:A1 0.000277502
78 *1106:15 *1107:47 6.50727e-05
79 *1106:21 *1107:47 0.000328363
80 *1106:33 *1107:47 0.000922747
81 *1106:38 *1107:48 0.00223065
*RES
1 *7508:X *1107:11 39.3063
2 *1107:11 *1107:23 35.9287
3 *1107:23 *1107:25 79.1998
4 *1107:25 *1107:27 4.5
5 *1107:27 *1107:28 50.0013
6 *1107:28 *1107:31 6.3326
7 *1107:31 *5646:DIODE 9.24915
8 *1107:31 *1107:37 2.94181
9 *1107:37 *6731:B 9.82786
10 *1107:37 *1107:47 39.0542
11 *1107:47 *1107:48 55.8148
12 *1107:48 *6838:A1 17.2697
*END
*D_NET *1108 0.0212319
*CONN
*I *6733:B I *D sky130_fd_sc_hd__and3_4
*I *7509:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6733:B 0
2 *7509:X 0.000678974
3 *1108:40 0.00125414
4 *1108:29 0.00235513
5 *1108:22 0.00224326
6 *1108:10 0.00182124
7 *1108:10 *1115:6 0.000555206
8 *1108:22 *1114:18 0
9 *1108:22 *1115:6 0.000829271
10 *1108:29 *6743:B 0.000109644
11 *1108:29 *1115:15 3.8122e-05
12 *1108:29 *1124:10 0.00244555
13 *1108:40 *6743:B 0.000252259
14 *1108:40 *1114:33 0.00056811
15 *1108:40 *1124:20 0.000225395
16 wb_data_o[10] *1108:22 6.1096e-05
17 wb_data_o[11] *1108:22 0.000112013
18 wb_data_o[12] *1108:22 6.11074e-05
19 wb_data_o[13] *1108:22 4.20184e-06
20 *7382:CLK *1108:29 1.03403e-05
21 *7382:D *1108:29 8.73033e-05
22 *7382:D *1108:40 6.23875e-05
23 *7478:A *1108:10 1.12605e-05
24 *7500:A *1108:22 8.22034e-05
25 *7504:A *1108:22 2.02035e-05
26 *7507:A *1108:10 4.51176e-05
27 *7508:A *1108:10 0.000134027
28 *7509:A *1108:10 1.5714e-05
29 *7583:A *1108:22 0
30 *7610:A *1108:29 0.000149641
31 *438:58 *1108:40 0.000292194
32 *569:26 *1108:40 0.000292194
33 *835:25 *1108:40 0.000120985
34 *841:6 *1108:40 0.00011935
35 *1036:19 *1108:10 0.00122486
36 *1038:32 *1108:10 0.000207128
37 *1038:32 *1108:22 3.88655e-06
38 *1038:35 *1108:10 5.2504e-06
39 *1051:20 *1108:29 0.00280023
40 *1096:1435 *1108:22 0.000236048
41 *1096:1444 *1108:22 0.000170833
42 *1096:1453 *1108:22 0.000110599
43 *1096:1465 *1108:22 0.000132407
44 *1104:8 *1108:22 6.14105e-05
45 *1105:15 *1108:22 0.000200251
46 *1105:20 *1108:22 0.0010213
*RES
1 *7509:X *1108:10 41.8842
2 *1108:10 *1108:22 49.8724
3 *1108:22 *1108:29 43.6449
4 *1108:29 *1108:40 47.7205
5 *1108:40 *6733:B 13.7491
*END
*D_NET *1109 0.0144925
*CONN
*I *6735:B I *D sky130_fd_sc_hd__and3_4
*I *7510:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6735:B 0.00134072
2 *7510:X 0.00109719
3 *1109:21 0.00267901
4 *1109:12 0.00327027
5 *1109:10 0.00302918
6 *1109:10 *7247:A0 0
7 *1109:10 *7249:A0 0.000370801
8 *1109:10 *1131:36 0
9 *1109:12 *7232:A0 0
10 *1109:12 *7236:A0 0.000130547
11 *1109:12 *7238:A0 0.00017419
12 *1109:12 *7245:A0 0.000188997
13 *1109:12 *1112:16 0
14 *1109:12 *1131:24 0
15 *1109:12 *1131:34 0
16 *1109:12 *1131:36 0
17 *1109:12 *1160:6 0.000172144
18 *1109:21 *1157:20 0.00017772
19 *6735:A *6735:B 5.20546e-06
20 *6777:B *1109:21 0.000258128
21 *7030:B *1109:21 0.00050655
22 *7230:A *1109:12 0.000148144
23 *7232:S *1109:12 0
24 *7247:A1 *1109:10 0.000103416
25 *7250:A *1109:10 3.28898e-06
26 *7416:CLK *1109:21 9.63981e-05
27 *7416:D *1109:12 3.00073e-05
28 *7416:D *1109:21 3.66195e-05
29 *7419:D *1109:12 0
30 *7434:CLK *6735:B 3.82228e-05
31 *7434:D *6735:B 2.13584e-05
32 *7476:A *1109:12 0
33 *7480:A *1109:12 0
34 *7481:A *1109:12 0
35 *7482:A *1109:12 0
36 *7503:A *1109:12 0
37 *7584:A *1109:12 0
38 *458:22 *1109:12 0
39 *464:31 *1109:10 6.12686e-06
40 *628:5 *1109:21 0.000608286
41 *1043:8 *1109:10 0
42 *1043:8 *1109:12 0
*RES
1 *7510:X *1109:10 34.4237
2 *1109:10 *1109:12 47.7174
3 *1109:12 *1109:21 37.3181
4 *1109:21 *6735:B 36.5935
*END
*D_NET *1110 0.0375889
*CONN
*I *6767:A I *D sky130_fd_sc_hd__and3_1
*I *6689:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7511:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6767:A 0
2 *6689:A2 2.57738e-05
3 *7511:X 0
4 *1110:50 0.000919042
5 *1110:42 0.00196291
6 *1110:37 0.00219062
7 *1110:27 0.00317124
8 *1110:12 0.00342919
9 *1110:11 0.00214797
10 *1110:5 0.00210666
11 *1110:4 0.00133761
12 *1110:5 *7204:A 0.000124641
13 *1110:5 *1130:8 0.000337078
14 *1110:12 *7619:A 0.000421467
15 *1110:27 *6957:B1 0
16 *1110:27 *1141:26 0
17 *1110:37 *6951:A 4.51062e-05
18 *1110:37 *6956:A 7.14746e-05
19 *1110:37 *1120:53 0
20 *1110:37 *1123:22 0
21 *1110:37 *1140:28 3.45653e-05
22 *1110:37 *1141:25 0.000719022
23 *1110:42 *1136:17 0.000374585
24 *6687:A3 *6689:A2 0
25 *6688:B *1110:27 0.000184931
26 *6689:A1 *1110:27 0
27 *6689:A3 *1110:27 7.86982e-05
28 *6750:A *1110:50 0.00057316
29 *6848:S *1110:50 0.000543452
30 *6849:A3 *1110:50 7.66988e-05
31 *6939:C *1110:37 0
32 *6950:D *1110:37 0.000221185
33 *6950:D *1110:42 6.50586e-05
34 *6952:C *1110:37 0.000128405
35 *7055:A1 *1110:5 2.97556e-05
36 *7055:A2 *1110:5 0.000111785
37 *7055:A2 *1110:11 0
38 *7063:A *1110:12 0
39 *7066:A *1110:12 0.000362668
40 *7077:B1 *1110:12 4.73178e-05
41 *7079:B2 *1110:12 0
42 *7079:C1 *1110:12 0
43 *7086:A3 *1110:12 0
44 *7281:D *1110:12 0
45 *7282:D *1110:11 0.000526628
46 *7283:D *1110:37 6.34446e-05
47 *7325:D *1110:50 0.000469308
48 *7410:D *1110:5 0.000728276
49 *233:21 *1110:5 0.000317721
50 *533:8 *1110:27 8.64857e-05
51 *562:28 *1110:27 2.22342e-05
52 *576:20 *1110:37 0.000785937
53 *581:8 *1110:27 0
54 *603:19 *1110:50 0.000161234
55 *622:30 *1110:42 0.00103541
56 *622:30 *1110:50 0.000409601
57 *646:11 *1110:50 0.00036189
58 *647:52 *1110:50 8.45043e-05
59 *696:39 *1110:42 0.000384438
60 *696:39 *1110:50 0.00187761
61 *755:13 *1110:37 0
62 *814:21 *1110:37 0.00103542
63 *832:36 *1110:12 0.000108413
64 *850:36 *1110:12 0
65 *855:24 *1110:12 0
66 *931:8 *1110:11 5.56461e-05
67 *962:19 *1110:27 0.00274215
68 *981:38 *1110:5 8.47748e-05
69 *986:13 *1110:50 0.000170553
70 *1018:13 *1110:5 0.00159095
71 *1060:12 *1110:12 0
72 *1062:68 *1110:12 0
73 *1062:72 *1110:12 0
74 *1062:72 *1110:27 0
75 *1096:119 *1110:5 0.000313481
76 *1096:133 *1110:5 0.00057462
77 *1096:133 *1110:11 0.000592471
78 *1096:137 *1110:11 0.000331928
79 *1096:305 *1110:50 0.000831695
*RES
1 *7511:X *1110:4 9.24915
2 *1110:4 *1110:5 54.5199
3 *1110:5 *1110:11 30.7593
4 *1110:11 *1110:12 36.2979
5 *1110:12 *6689:A2 14.4819
6 *1110:12 *1110:27 47.7644
7 *1110:27 *1110:37 49.3041
8 *1110:37 *1110:42 38.5237
9 *1110:42 *1110:50 49.1909
10 *1110:50 *6767:A 9.24915
*END
*D_NET *1111 0.0152452
*CONN
*I *7138:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7512:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7138:A1 7.53372e-05
2 *7512:X 0.00115719
3 *1111:14 0.00268333
4 *1111:13 0.00376519
5 *1111:14 *6612:A 4.87805e-05
6 *1111:14 *6880:B 0
7 *1111:14 *1122:20 0
8 *1111:14 *1131:46 0
9 *1111:14 *1150:8 2.33334e-05
10 *6834:A1 *1111:14 0
11 *6838:B1 *1111:14 3.56076e-05
12 *6839:B *1111:14 0.000122098
13 *6849:A2 *1111:14 1.55462e-05
14 *6849:A3 *1111:14 1.43055e-05
15 *6854:A *1111:13 0
16 *6861:B1 *1111:13 5.47736e-05
17 *7133:A1 *1111:14 0.00165282
18 *7138:A2 *7138:A1 4.17481e-05
19 *7325:D *1111:14 0
20 *7550:A *1111:14 0.000133638
21 *306:18 *1111:14 4.0206e-05
22 *503:8 *1111:14 0.00208464
23 *525:19 *1111:14 5.39635e-06
24 *571:36 *1111:13 0.000689473
25 *571:43 *1111:13 0.000196521
26 *611:13 *1111:14 0.000537909
27 *622:18 *1111:14 0.000516219
28 *641:31 *1111:13 5.36612e-05
29 *900:21 *1111:14 0.000178663
30 *910:11 *7138:A1 1.59359e-05
31 *1015:24 *1111:14 0
32 *1062:33 *1111:13 4.87301e-05
33 *1100:14 *1111:14 0.00105412
34 *1106:38 *1111:14 0
35 *1107:48 *1111:14 0
*RES
1 *7512:X *1111:13 43.4965
2 *1111:13 *1111:14 89.8655
3 *1111:14 *7138:A1 15.6059
*END
*D_NET *1112 0.0540344
*CONN
*I *6738:A2 I *D sky130_fd_sc_hd__a31o_1
*I *7015:A2 I *D sky130_fd_sc_hd__a31o_1
*I *6846:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *5647:DIODE I *D sky130_fd_sc_hd__diode_2
*I *7513:X O *D sky130_fd_sc_hd__clkbuf_8
*CAP
1 *6738:A2 0
2 *7015:A2 0
3 *6846:A1 0.000586182
4 *5647:DIODE 0
5 *7513:X 0.000824799
6 *1112:78 0.00120873
7 *1112:74 0.00152038
8 *1112:52 0.00145766
9 *1112:47 0.00235159
10 *1112:46 0.00320471
11 *1112:39 0.00308289
12 *1112:33 0.00120671
13 *1112:25 0.000456919
14 *1112:21 0.00236141
15 *1112:20 0.00309114
16 *1112:16 0.00201279
17 *1112:6 0.001811
18 *6846:A1 *1123:39 1.68741e-05
19 *1112:6 *1114:8 0.00019017
20 *1112:16 *1114:14 0
21 *1112:20 *7225:A0 3.34802e-05
22 *1112:20 *1123:8 0
23 *1112:21 *6579:A 5.07314e-05
24 *1112:21 *6713:B 5.18123e-05
25 *1112:21 *1176:7 1.92793e-05
26 *1112:25 *6713:B 0.000209232
27 *1112:39 *6739:B 9.49927e-05
28 *1112:46 *5651:DIODE 3.59433e-06
29 *1112:47 *1143:56 0.00163625
30 *1112:74 *1122:26 4.69495e-06
31 *1112:74 *1143:56 9.75243e-05
32 *6683:A *1112:78 0.000869509
33 *6713:C *1112:25 0.000158371
34 *6738:B1 *1112:39 0.000234372
35 *6840:A *1112:52 0.000299886
36 *6842:A1 *6846:A1 0.000525768
37 *6846:B1 *6846:A1 0.000164829
38 *6848:A1 *6846:A1 0.000111722
39 *6970:B *1112:78 0.000143859
40 *7041:A *1112:21 8.65522e-05
41 *7041:A *1112:25 0
42 *7045:A_N *1112:21 1.80257e-05
43 *7045:C *1112:21 0.00027329
44 *7045:D *1112:21 0.000423908
45 *7049:A *1112:21 0.000244093
46 *7109:A3 *1112:39 6.08467e-05
47 *7109:B1 *1112:39 4.31703e-05
48 *7183:A1 *1112:78 0.000588499
49 *7224:A *1112:20 4.00638e-05
50 *7226:A *1112:20 7.09154e-05
51 *7227:A1 *1112:20 0.000284018
52 *7230:A *1112:20 0
53 *7232:A1 *1112:16 0.000115573
54 *7232:S *1112:16 0.000276139
55 *7233:A *1112:16 0.00034103
56 *7236:A1 *1112:16 2.7985e-05
57 *7239:A *1112:16 0.000320287
58 *7246:A *1112:6 0.000131777
59 *7249:A1 *1112:6 4.6613e-05
60 *7250:A *1112:6 0.000299786
61 *7358:D *1112:47 2.23124e-05
62 *7380:D *1112:21 0.000321279
63 *7413:D *1112:21 6.50586e-05
64 *7414:D *1112:20 0.000139023
65 *7475:A *1112:16 8.35615e-06
66 *7475:A *1112:20 1.93606e-05
67 *7480:A *1112:6 0
68 *7480:A *1112:16 2.14842e-06
69 *7584:A *1112:16 0.000269967
70 *7585:A *1112:16 3.89332e-06
71 *7610:A *1112:20 0.000197972
72 *452:18 *1112:20 0
73 *452:20 *1112:20 0
74 *458:19 *1112:16 0.000595234
75 *458:22 *1112:16 5.302e-05
76 *464:8 *1112:6 0.000177787
77 *464:19 *1112:6 0.000720881
78 *464:31 *1112:6 0.000402054
79 *481:19 *1112:21 0.000113968
80 *522:30 *1112:47 1.49927e-05
81 *522:49 *1112:74 0
82 *538:43 *1112:52 0.000596696
83 *557:6 *1112:46 7.14746e-05
84 *562:5 *1112:78 0.000282702
85 *562:16 *1112:78 0.00021535
86 *562:24 *1112:39 0.00163883
87 *562:27 *1112:33 1.00981e-05
88 *562:27 *1112:39 0.00190097
89 *574:8 *1112:39 0.0002817
90 *586:38 *1112:52 2.22198e-05
91 *586:49 *1112:52 1.12605e-05
92 *589:58 *1112:52 0.000465697
93 *594:48 *6846:A1 4.83927e-05
94 *594:48 *1112:52 0.00212229
95 *594:48 *1112:74 0.000102899
96 *622:24 *6846:A1 7.2401e-05
97 *831:10 *1112:21 0.000843903
98 *831:13 *1112:21 0.000623463
99 *831:13 *1112:25 0.000144259
100 *831:13 *1112:33 0.000143875
101 *831:13 *1112:39 6.21719e-05
102 *831:26 *1112:39 0.0001558
103 *831:27 *1112:46 0.00317414
104 *831:27 *1112:78 0.000317693
105 *1016:8 *6846:A1 0.000100907
106 *1016:8 *1112:52 0
107 *1022:18 *1112:78 0.000592097
108 *1041:29 *1112:6 0.000348322
109 *1041:32 *1112:6 0.000397647
110 *1043:8 *1112:6 0
111 *1089:16 *1112:74 9.84343e-05
112 *1096:313 *6846:A1 0.000107063
113 *1096:634 *1112:78 0.000220331
114 *1096:1412 *1112:21 0.00026468
115 *1096:1418 *1112:21 0.000935744
116 *1096:1430 *1112:20 0
117 *1096:1470 *1112:16 9.2346e-06
118 *1096:1566 *1112:21 0.000303461
119 *1101:10 *1112:20 0
120 *1103:8 *1112:16 0.00015082
121 *1103:8 *1112:20 0.000267923
122 *1106:38 *1112:74 1.5714e-05
123 *1109:12 *1112:16 0
*RES
1 *7513:X *1112:6 49.8394
2 *1112:6 *1112:16 35.7605
3 *1112:16 *1112:20 34.3615
4 *1112:20 *1112:21 64.5028
5 *1112:21 *1112:25 9.47649
6 *1112:25 *5647:DIODE 9.24915
7 *1112:25 *1112:33 4.62973
8 *1112:33 *1112:39 42.4995
9 *1112:39 *1112:46 45.8029
10 *1112:46 *1112:47 20.1345
11 *1112:47 *1112:52 43.607
12 *1112:52 *6846:A1 30.1528
13 *1112:47 *1112:74 19.7896
14 *1112:74 *1112:78 46.8335
15 *1112:78 *7015:A2 13.7491
16 *1112:33 *6738:A2 9.24915
*END
*D_NET *1113 0.0281574
*CONN
*I *5651:DIODE I *D sky130_fd_sc_hd__diode_2
*I *6739:B I *D sky130_fd_sc_hd__and3_4
*I *7514:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *5651:DIODE 0.000381378
2 *6739:B 9.65484e-05
3 *7514:X 0
4 *1113:12 0.00198679
5 *1113:8 0.00389049
6 *1113:7 0.00238163
7 *1113:5 0.00851676
8 *1113:4 0.00851676
9 *6734:A1 *1113:8 5.53411e-05
10 *6734:A1 *1113:12 5.80329e-05
11 *6734:B1 *1113:12 0
12 *6740:B1 *1113:12 0
13 *7145:A1 *1113:12 0
14 *7145:B1 *1113:12 0
15 *7291:D *1113:12 0.000237038
16 *7292:CLK *1113:12 7.66152e-05
17 *7292:D *1113:12 0.000157205
18 *7294:CLK *1113:12 6.74667e-05
19 *7294:D *1113:12 4.03887e-05
20 *7298:CLK *1113:8 1.45944e-05
21 *7298:D *1113:8 0.000145934
22 *7298:D *1113:12 1.85409e-05
23 *7301:D *1113:12 5.04734e-05
24 *425:26 *1113:12 9.75356e-05
25 *574:47 *1113:12 0.000646614
26 *576:12 *5651:DIODE 4.79594e-06
27 *576:12 *1113:12 3.37543e-05
28 *576:62 *1113:12 2.32311e-05
29 *594:14 *1113:12 1.90218e-05
30 *594:26 *1113:12 0
31 *597:10 *5651:DIODE 2.65831e-05
32 *597:50 *5651:DIODE 7.97944e-05
33 *831:27 *5651:DIODE 1.62206e-05
34 *914:24 *1113:12 0
35 *921:27 *1113:12 9.1005e-05
36 *924:8 *1113:8 7.23866e-05
37 *1096:788 *1113:12 0.000109527
38 *1096:797 *1113:12 0.000146411
39 *1099:34 *1113:12 0
40 *1112:39 *6739:B 9.49927e-05
41 *1112:46 *5651:DIODE 3.59433e-06
*RES
1 *7514:X *1113:4 9.24915
2 *1113:4 *1113:5 122.736
3 *1113:5 *1113:7 4.5
4 *1113:7 *1113:8 61.9581
5 *1113:8 *1113:12 43.345
6 *1113:12 *6739:B 17.1732
7 *1113:12 *5651:DIODE 20.2112
*END
*D_NET *1114 0.0554415
*CONN
*I *5652:DIODE I *D sky130_fd_sc_hd__diode_2
*I *6851:A1 I *D sky130_fd_sc_hd__a22o_1
*I *5653:DIODE I *D sky130_fd_sc_hd__diode_2
*I *6741:B I *D sky130_fd_sc_hd__and3_2
*I *7515:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *5652:DIODE 6.97636e-05
2 *6851:A1 3.99334e-05
3 *5653:DIODE 0.000240259
4 *6741:B 0
5 *7515:X 0.000183465
6 *1114:57 0.0010115
7 *1114:44 0.00193753
8 *1114:41 0.00141678
9 *1114:35 0.0064268
10 *1114:33 0.00725856
11 *1114:29 0.00223937
12 *1114:25 0.00396891
13 *1114:18 0.00329514
14 *1114:14 0.00121225
15 *1114:8 0.00169123
16 *1114:7 0.00125547
17 *1114:14 *1115:6 9.17484e-05
18 *1114:18 *1115:6 0.00146668
19 *1114:25 *7225:A0 1.4479e-05
20 *1114:25 *1177:19 0.000160786
21 *1114:44 *6759:A 2.97587e-05
22 *6693:A *1114:33 2.65831e-05
23 *6741:C *1114:44 0
24 *6783:A *1114:44 0.000290659
25 *6851:B1 *5653:DIODE 0.000117835
26 *6851:B1 *6851:A1 2.61237e-05
27 *6852:B *1114:57 1.41291e-05
28 *6853:A *1114:57 0.000224395
29 *6902:B1 *1114:57 4.03381e-05
30 *6907:A2 *1114:44 7.50722e-05
31 *7015:A1 *5652:DIODE 0.000103983
32 *7015:A1 *1114:35 0.00132242
33 *7015:A1 *1114:41 0.000194551
34 *7092:A *1114:25 1.03403e-05
35 *7115:A2 *1114:29 4.58003e-05
36 *7115:B1 *1114:29 3.16402e-05
37 *7118:A2 *1114:35 0.000120882
38 *7237:A *1114:14 0.000124279
39 *7246:A *1114:8 0.000312275
40 *7250:A *1114:8 3.77665e-05
41 *7414:D *1114:25 4.11136e-05
42 *7417:D *1114:18 6.81008e-05
43 *7425:D *1114:8 0.000114441
44 *7433:D *1114:29 3.60789e-05
45 *7485:A *1114:8 7.00991e-05
46 *7585:A *1114:14 6.92004e-05
47 *7610:A *1114:25 0.000107179
48 *524:38 *1114:57 0
49 *561:6 *1114:44 0
50 *561:8 *1114:44 0
51 *574:51 *1114:35 0.00066973
52 *576:62 *1114:35 0.00182769
53 *581:35 *5653:DIODE 6.44964e-06
54 *581:35 *1114:57 5.00343e-06
55 *586:52 *1114:44 0.000442006
56 *598:10 *1114:44 0.000113374
57 *619:26 *1114:44 6.64609e-05
58 *619:34 *1114:44 0.000436757
59 *662:15 *1114:57 0.000213069
60 *662:34 *1114:57 0.000609326
61 *871:7 *1114:25 6.09702e-05
62 *871:37 *1114:25 0.000428134
63 *871:37 *1114:29 3.58044e-05
64 *880:14 *1114:35 0.00154294
65 *894:7 *1114:33 0.000335178
66 *897:12 *1114:33 0.000530614
67 *967:12 *1114:44 0.000806993
68 *967:12 *1114:57 5.81162e-05
69 *1036:26 *1114:8 0.00144714
70 *1040:28 *1114:8 0.000200805
71 *1040:28 *1114:14 0.000464573
72 *1041:29 *1114:8 0.000903465
73 *1041:32 *1114:8 0
74 *1096:1435 *1114:18 0
75 *1096:1470 *1114:14 0.000138951
76 *1096:1484 *1114:14 0.000374472
77 *1096:1503 *1114:8 0.000434197
78 *1096:1514 *1114:8 0.000399924
79 *1099:47 *1114:35 0.000607467
80 *1101:10 *1114:18 0.00102507
81 *1103:8 *1114:14 7.89886e-05
82 *1103:8 *1114:18 0.000116511
83 *1103:34 *1114:33 0.000409856
84 *1103:34 *1114:35 0.000102012
85 *1105:15 *1114:14 0.000683828
86 *1106:8 *1114:8 7.50872e-05
87 *1106:33 *1114:35 0.00139652
88 *1108:22 *1114:18 0
89 *1108:40 *1114:33 0.00056811
90 *1112:6 *1114:8 0.00019017
91 *1112:16 *1114:14 0
*RES
1 *7515:X *1114:7 17.2456
2 *1114:7 *1114:8 49.3784
3 *1114:8 *1114:14 25.7212
4 *1114:14 *1114:18 33.1158
5 *1114:18 *1114:25 43.6449
6 *1114:25 *1114:29 18.3803
7 *1114:29 *1114:33 33.2943
8 *1114:33 *1114:35 108.871
9 *1114:35 *6741:B 9.24915
10 *1114:35 *1114:41 2.38721
11 *1114:41 *1114:44 46.6115
12 *1114:44 *1114:57 30.9733
13 *1114:57 *5653:DIODE 12.7456
14 *1114:57 *6851:A1 10.6477
15 *1114:41 *5652:DIODE 10.5271
*END
*D_NET *1115 0.0249203
*CONN
*I *6743:B I *D sky130_fd_sc_hd__and3_4
*I *7516:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6743:B 0.000729599
2 *7516:X 0
3 *1115:15 0.00167082
4 *1115:6 0.00322765
5 *1115:5 0.00228642
6 *6743:B *7105:A 0.000215155
7 *6743:B *1124:20 0.0005597
8 wb_data_o[19] *1115:6 0
9 *7226:A *1115:15 0.000160617
10 *7382:CLK *6743:B 6.08467e-05
11 *7382:D *6743:B 0.000524044
12 *7500:A *1115:6 0.000186229
13 *7502:A *1115:6 8.71534e-05
14 *7504:A *1115:6 2.02035e-05
15 *7507:A *1115:6 4.51176e-05
16 *7508:A *1115:6 0.000134027
17 *7509:A *1115:6 3.91944e-05
18 *7513:A *1115:6 8.49791e-05
19 *7516:A *1115:6 0.000113077
20 *7610:A *1115:15 0.000144814
21 *1036:19 *1115:6 6.74412e-05
22 *1038:35 *1115:6 0
23 *1038:40 *1115:6 0.000325047
24 *1040:28 *1115:6 0.00380379
25 *1051:20 *1115:15 0.00210411
26 *1096:1521 *1115:6 0.000379538
27 *1105:15 *1115:6 0.000683828
28 *1105:20 *1115:6 0.000411806
29 *1105:27 *6743:B 0.00351216
30 *1108:10 *1115:6 0.000555206
31 *1108:22 *1115:6 0.000829271
32 *1108:29 *6743:B 0.000109644
33 *1108:29 *1115:15 3.8122e-05
34 *1108:40 *6743:B 0.000252259
35 *1114:14 *1115:6 9.17484e-05
36 *1114:18 *1115:6 0.00146668
*RES
1 *7516:X *1115:5 13.7491
2 *1115:5 *1115:6 108.137
3 *1115:6 *1115:15 35.389
4 *1115:15 *6743:B 48.2402
*END
*D_NET *1116 0.0119342
*CONN
*I *6694:B I *D sky130_fd_sc_hd__and3_4
*I *7517:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6694:B 0.000181641
2 *7517:X 0
3 *1116:5 0.00400249
4 *1116:4 0.00382085
5 *6694:B *1120:30 0.000258128
6 *7342:D *1116:5 6.67095e-06
7 *7601:A *1116:5 0.00234361
8 *867:13 *6694:B 0.000258128
9 *963:8 *1116:5 5.35886e-05
10 *1042:7 *1116:5 0.000466373
11 *1058:16 *6694:B 0.000332543
12 *1096:103 *1116:5 5.1222e-05
13 *1096:113 *1116:5 6.91078e-06
14 *1098:47 *1116:5 6.91561e-06
15 *1098:72 *6694:B 0.000145074
*RES
1 *7517:X *1116:4 9.24915
2 *1116:4 *1116:5 60.066
3 *1116:5 *6694:B 27.1755
*END
*D_NET *1117 0.0498824
*CONN
*I *6699:A I *D sky130_fd_sc_hd__nand2_1
*I *6787:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *7518:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6699:A 0.000195564
2 *6787:A1 0.000149202
3 *7518:X 0.000945122
4 *1117:68 0.00212517
5 *1117:59 0.00237962
6 *1117:55 0.000811167
7 *1117:51 0.00103749
8 *1117:44 0.00166609
9 *1117:35 0.00283301
10 *1117:31 0.00176619
11 *1117:26 0.00116187
12 *1117:17 0.00190632
13 *1117:10 0.00185444
14 *1117:10 *1120:23 0.000187602
15 *1117:17 *1128:16 0.00289137
16 *1117:35 *1120:35 0.000450563
17 *1117:35 *1120:53 4.65531e-05
18 *1117:44 *1135:18 0.000166715
19 *1117:51 *1120:55 0.000603532
20 *1117:55 *1120:55 0.000365913
21 *1117:59 *1120:55 0.00339871
22 *6701:B1 *1117:35 0.000617942
23 *6707:B1 *1117:35 0.000793442
24 *6757:A2 *1117:68 1.82696e-05
25 *6766:A1 *6787:A1 0.000768333
26 *6766:A1 *1117:68 7.46057e-06
27 *6766:A2 *1117:68 1.80842e-05
28 *6768:A1 *6787:A1 0.000156384
29 *6788:B *6787:A1 0.000115934
30 *6801:A1 *1117:68 0.0016007
31 *6854:B *1117:55 0.000391314
32 *6863:A *1117:51 5.74874e-05
33 *6863:A *1117:55 0.000189558
34 *6863:B *1117:51 4.97795e-05
35 *6940:A2 *1117:35 6.08467e-05
36 *7075:A1 *1117:26 0.000234508
37 *7083:A2 *1117:10 0.000113109
38 *7085:A1 *1117:26 0.000191962
39 *7085:A3 *1117:26 5.41227e-05
40 *7086:B1 *1117:26 2.9959e-05
41 *7087:B1 *1117:17 0.00127738
42 *7263:A0 *1117:26 8.35393e-05
43 *7324:D *1117:51 0.000108025
44 *7377:CLK *1117:10 2.42273e-05
45 *7377:D *1117:10 0.000530503
46 *7432:D *1117:17 1.65445e-05
47 *7605:A *1117:10 1.26939e-05
48 *486:11 *1117:26 0.000211478
49 *486:16 *1117:26 0.000211478
50 *525:25 *6699:A 0.000180483
51 *571:29 *1117:44 2.02035e-05
52 *577:46 *1117:44 0.000249284
53 *583:34 *6699:A 0.000149643
54 *583:34 *1117:44 0.000977038
55 *607:16 *1117:68 9.35753e-06
56 *611:13 *1117:55 0.000421246
57 *611:25 *1117:55 7.08059e-05
58 *611:25 *1117:59 0.00340605
59 *611:25 *1117:68 2.41483e-05
60 *611:31 *1117:68 0.000179379
61 *626:57 *6787:A1 0.000102545
62 *626:57 *1117:68 1.07248e-05
63 *688:48 *1117:55 0.000909859
64 *689:8 *1117:55 0.000107496
65 *691:25 *6699:A 0.000141016
66 *691:25 *1117:44 0.000986704
67 *692:7 *1117:51 0.00279862
68 *846:12 *1117:26 7.08723e-06
69 *852:19 *1117:26 0.000309363
70 *859:24 *1117:31 5.51483e-06
71 *859:24 *1117:35 8.65358e-05
72 *859:30 *1117:31 0.000164815
73 *864:13 *1117:17 0.000448689
74 *864:13 *1117:26 0.000146485
75 *870:7 *1117:26 0.00273787
76 *998:39 *1117:68 0
77 *999:22 *1117:68 9.80242e-07
78 *1048:13 *1117:10 0.000207911
79 *1059:16 *1117:26 9.22013e-06
80 *1071:8 *1117:44 2.87136e-06
81 *1096:299 *1117:51 0.000123176
*RES
1 *7518:X *1117:10 35.3608
2 *1117:10 *1117:17 38.6535
3 *1117:17 *1117:26 46.3706
4 *1117:26 *1117:31 7.46592
5 *1117:31 *1117:35 49.0371
6 *1117:35 *1117:44 31.474
7 *1117:44 *1117:51 34.4819
8 *1117:51 *1117:55 21.8224
9 *1117:55 *1117:59 37.9059
10 *1117:59 *1117:68 43.4853
11 *1117:68 *6787:A1 18.8462
12 *1117:35 *6699:A 21.0173
*END
*D_NET *1118 0.00917933
*CONN
*I *6702:B I *D sky130_fd_sc_hd__and3_4
*I *7519:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6702:B 0.000537368
2 *7519:X 2.06324e-05
3 *1118:9 0.00247046
4 *1118:5 0.00195372
5 *6702:B *7044:A 0.000583244
6 *6702:B *1127:25 0.000231552
7 *1118:9 *6575:A 6.08467e-05
8 *1118:9 *1127:25 2.44829e-05
9 *6621:C *1118:9 9.03965e-05
10 *6694:A *6702:B 6.50727e-05
11 *7025:A *1118:9 6.50727e-05
12 *7025:C *1118:9 6.25991e-05
13 *7060:A *6702:B 6.13007e-05
14 *7091:B1 *6702:B 0.000937063
15 *7206:A *6702:B 0.000340742
16 *7264:A *6702:B 1.07248e-05
17 *7605:A *1118:9 0.000286151
18 *524:13 *6702:B 0.000295272
19 *568:11 *6702:B 0.000122378
20 *812:11 *1118:9 0.000162583
21 *830:7 *6702:B 5.0715e-05
22 *830:26 *6702:B 0.000216219
23 *1018:22 *6702:B 0.000530733
*RES
1 *7519:X *1118:5 9.82786
2 *1118:5 *1118:9 47.3733
3 *1118:9 *6702:B 43.1902
*END
*D_NET *1119 0.0085367
*CONN
*I *6704:B I *D sky130_fd_sc_hd__and3_4
*I *7520:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6704:B 0.00118353
2 *7520:X 0.00164592
3 *1119:9 0.00282945
4 *6704:B *1125:65 0.000406808
5 *6605:B_N *1119:9 3.81056e-05
6 *6631:A *1119:9 0.000304777
7 *6704:C *6704:B 0.000113968
8 *7054:B2 *6704:B 0.000118594
9 *514:22 *6704:B 1.05746e-05
10 *526:18 *1119:9 0.000913014
11 *527:8 *6704:B 0.000100721
12 *835:11 *6704:B 0
13 *837:18 *6704:B 0.000343738
14 *1048:19 *1119:9 0.000470585
15 *1054:25 *6704:B 5.69095e-05
*RES
1 *7520:X *1119:9 49.5423
2 *1119:9 *6704:B 34.4317
*END
*D_NET *1120 0.0512752
*CONN
*I *6795:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6706:A I *D sky130_fd_sc_hd__nand2_1
*I *7521:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6795:A1 0.000652538
2 *6706:A 0.000148965
3 *7521:X 0.000940101
4 *1120:74 0.0011359
5 *1120:55 0.00770018
6 *1120:53 0.00793271
7 *1120:35 0.00353371
8 *1120:30 0.00372708
9 *1120:23 0.00236294
10 *1120:8 0.00224483
11 *6706:A *1141:26 0
12 *1120:30 *7263:A1 0.000293908
13 *1120:53 *6950:C 0
14 *1120:53 *1136:17 0.000291214
15 wb_data_o[5] *1120:8 0
16 *6694:A *1120:30 0
17 *6694:B *1120:30 0.000258128
18 *6694:C *1120:30 9.46343e-05
19 *6795:B1 *6795:A1 0.000122378
20 *6796:B *6795:A1 8.08156e-05
21 *6801:A0 *1120:74 8.62625e-06
22 *6801:S *1120:74 9.35753e-06
23 *6804:A0 *1120:74 0.000171288
24 *6804:A1 *1120:74 5.0715e-05
25 *6806:B1 *1120:74 0.000224395
26 *6807:B *1120:74 0.000160384
27 *6942:A *1120:53 2.82583e-05
28 *6944:A2 *1120:53 6.92705e-05
29 *6944:A3 *1120:53 2.05034e-05
30 *6952:C *1120:53 0
31 *6953:B1 *1120:53 0.00015324
32 *7083:A2 *1120:23 9.46208e-05
33 *7213:A *1120:8 8.06983e-05
34 *7216:A1 *1120:8 0.00021752
35 *7219:A *1120:8 0
36 *7263:A0 *1120:30 0.000250254
37 *7264:A *1120:30 0.000111708
38 *7304:D *6795:A1 0.000119246
39 *7324:D *1120:55 2.27595e-05
40 *7352:D *1120:53 0.000122098
41 *7377:CLK *1120:23 0.000217923
42 *7377:D *1120:23 0.000539544
43 *7408:D *1120:8 0.000120544
44 *7411:D *1120:8 0
45 *7493:A *1120:8 0
46 *7521:A *1120:8 0
47 *446:10 *1120:8 0
48 *577:8 *1120:30 9.75356e-05
49 *617:9 *6795:A1 0.000835516
50 *617:9 *1120:74 6.01574e-05
51 *621:16 *1120:74 1.47712e-05
52 *626:22 *1120:53 7.65564e-05
53 *636:68 *6795:A1 0.000935877
54 *636:68 *1120:74 0.000185642
55 *648:31 *1120:74 8.13812e-06
56 *688:48 *1120:55 0.000905633
57 *689:8 *1120:55 0.000107496
58 *692:7 *1120:55 3.93679e-06
59 *746:10 *1120:53 3.89472e-05
60 *746:14 *1120:53 9.81318e-05
61 *746:15 *1120:53 0.000111708
62 *755:6 *1120:53 0.000157096
63 *755:13 *6706:A 8.65002e-05
64 *755:13 *1120:53 4.27003e-05
65 *844:22 *1120:23 0.000596067
66 *846:17 *1120:35 0.000597616
67 *846:26 *1120:35 8.66138e-05
68 *859:24 *1120:35 0.000113002
69 *859:30 *1120:35 7.02172e-06
70 *860:10 *1120:30 0
71 *860:10 *1120:35 0.000398089
72 *864:8 *1120:30 0.000988729
73 *867:13 *1120:30 0.00138978
74 *867:13 *1120:35 0.000724472
75 *966:12 *1120:74 0
76 *1008:11 *6795:A1 0.00134759
77 *1047:8 *1120:23 2.65667e-05
78 *1048:5 *1120:23 2.77625e-06
79 *1048:5 *1120:30 0.000113968
80 *1048:13 *1120:23 0.000124062
81 *1096:229 *1120:55 0.000158997
82 *1096:259 *1120:55 7.48797e-05
83 *1096:263 *1120:55 0.000239212
84 *1096:272 *1120:55 8.50305e-05
85 *1096:299 *1120:55 0.000118245
86 *1096:404 *6795:A1 0.000439142
87 *1096:1372 *1120:8 0.000342663
88 *1096:1382 *1120:8 0.000168446
89 *1110:37 *1120:53 0
90 *1117:10 *1120:23 0.000187602
91 *1117:35 *1120:35 0.000450563
92 *1117:35 *1120:53 4.65531e-05
93 *1117:51 *1120:55 0.000603532
94 *1117:55 *1120:55 0.000365913
95 *1117:59 *1120:55 0.00339871
*RES
1 *7521:X *1120:8 47.4878
2 *1120:8 *1120:23 36.3868
3 *1120:23 *1120:30 46.4954
4 *1120:30 *1120:35 48.6122
5 *1120:35 *6706:A 22.1574
6 *1120:35 *1120:53 31.8228
7 *1120:53 *1120:55 118.299
8 *1120:55 *1120:74 33.2096
9 *1120:74 *6795:A1 45.6601
*END
*D_NET *1121 0.0176633
*CONN
*I *6709:B I *D sky130_fd_sc_hd__and3_2
*I *5654:DIODE I *D sky130_fd_sc_hd__diode_2
*I *7522:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6709:B 0.000118455
2 *5654:DIODE 0
3 *7522:X 0.000296933
4 *1121:21 0.00110263
5 *1121:17 0.00171148
6 *1121:9 0.00288296
7 *1121:7 0.00245259
8 *1121:17 *1123:9 5.03285e-05
9 *1121:21 *1125:65 0.000268193
10 *6632:C_N *1121:9 0.000609122
11 *6713:A *1121:17 0.00070634
12 *6714:A2 *1121:21 0.000183145
13 *6714:B1 *1121:21 1.23366e-05
14 *6722:C *1121:21 1.55462e-05
15 *7039:A_N *1121:9 0.000111708
16 *7039:C *1121:9 5.32722e-05
17 *7100:A1 *1121:9 7.97098e-06
18 *7100:A2 *1121:9 0.000287283
19 *7380:D *1121:9 6.92705e-05
20 *519:11 *1121:9 0.000659294
21 *520:32 *1121:9 0.000129808
22 *532:15 *1121:17 0.00237482
23 *564:17 *1121:17 0.00037573
24 *564:44 *1121:17 0.000103983
25 *567:5 *1121:21 1.41853e-05
26 *583:29 *6709:B 0.000334808
27 *583:29 *1121:21 0.000680637
28 *823:37 *1121:17 8.62625e-06
29 *824:10 *1121:17 0.000464127
30 *825:11 *1121:9 0.00010961
31 *841:8 *1121:17 4.08958e-05
32 *855:24 *1121:21 0.000395391
33 *963:17 *1121:17 6.71192e-05
34 *1060:16 *1121:21 0.000447513
35 *1096:1226 *1121:21 0.00051722
*RES
1 *7522:X *1121:7 16.3746
2 *1121:7 *1121:9 53.688
3 *1121:9 *1121:17 45.4466
4 *1121:17 *1121:21 37.6867
5 *1121:21 *5654:DIODE 9.24915
6 *1121:21 *6709:B 13.3243
*END
*D_NET *1122 0.0184988
*CONN
*I *7143:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7523:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7143:A1 3.1638e-05
2 *7523:X 0.000108889
3 *1122:26 0.00128669
4 *1122:20 0.00307749
5 *1122:14 0.00312126
6 *1122:8 0.00140771
7 *1122:26 *6968:A1 0.000403603
8 *1122:26 *1143:56 4.18989e-05
9 sram_din0[12] *1122:14 0
10 sram_din0[16] *1122:8 0
11 *6834:A1 *1122:20 5.29763e-05
12 *6837:A1 *1122:20 0
13 *6842:A2 *1122:20 0.000120122
14 *6843:A2 *1122:14 7.20043e-06
15 *6843:A2 *1122:20 0.000119399
16 *6844:A2 *1122:20 3.13473e-05
17 *7138:B1 *1122:26 2.55661e-06
18 *7143:A2 *7143:A1 9.06436e-05
19 *7143:A2 *1122:26 0.000397896
20 *7322:D *1122:20 3.66147e-05
21 *7490:A *1122:14 5.62349e-05
22 *7549:A *1122:14 0
23 *435:9 *7143:A1 0.000164829
24 *587:53 *1122:20 4.23622e-05
25 *594:44 *1122:26 0.000372267
26 *622:18 *1122:20 0
27 *662:6 *1122:20 0.00053624
28 *662:6 *1122:26 0.000163772
29 *740:14 *1122:20 0.000212491
30 *776:13 *7143:A1 1.59359e-05
31 *914:19 *1122:26 7.14746e-05
32 *1015:24 *1122:14 0
33 *1015:24 *1122:20 2.96516e-05
34 *1015:31 *1122:14 0.000217951
35 *1022:13 *1122:14 0.000224381
36 *1022:14 *1122:8 0
37 *1044:12 *1122:8 0.000131426
38 *1060:9 *1122:14 0.00335704
39 *1089:14 *1122:14 4.48332e-05
40 *1089:16 *1122:20 0.000785479
41 *1089:16 *1122:26 0.00145022
42 *1106:38 *1122:26 0.000279594
43 *1111:14 *1122:20 0
44 *1112:74 *1122:26 4.69495e-06
*RES
1 *7523:X *1122:8 20.9116
2 *1122:8 *1122:14 47.5036
3 *1122:14 *1122:20 49.8669
4 *1122:20 *1122:26 49.8358
5 *1122:26 *7143:A1 11.0817
*END
*D_NET *1123 0.0483673
*CONN
*I *6711:A I *D sky130_fd_sc_hd__nand2_1
*I *6806:A1 I *D sky130_fd_sc_hd__a22o_1
*I *7524:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6711:A 1.26553e-05
2 *6806:A1 0.000273126
3 *7524:X 0.000492512
4 *1123:60 0.00238644
5 *1123:53 0.00302981
6 *1123:39 0.00261119
7 *1123:32 0.00223413
8 *1123:22 0.00197768
9 *1123:18 0.0014965
10 *1123:17 0.00212812
11 *1123:9 0.00479025
12 *1123:8 0.00320025
13 *1123:9 *7032:A 0.00105624
14 *1123:9 *7221:A0 0.0004343
15 *1123:17 *1125:71 5.52874e-05
16 *1123:17 *1125:84 4.15559e-05
17 *1123:22 *1140:28 0
18 wb_data_o[7] *1123:8 4.3637e-05
19 *6685:A *1123:9 0.000564835
20 *6712:A2_N *1123:18 7.65861e-05
21 *6712:A2_N *1123:22 4.08323e-05
22 *6770:A *1123:60 6.50727e-05
23 *6803:B1 *6806:A1 6.08467e-05
24 *6803:B1 *1123:60 0.000345174
25 *6806:B1 *6806:A1 2.3575e-05
26 *6806:B2 *6806:A1 6.98314e-05
27 *6807:A *1123:60 0.000125405
28 *6846:A1 *1123:39 1.68741e-05
29 *6846:B1 *1123:39 7.09013e-05
30 *6847:B *1123:39 0.000236888
31 *6848:A1 *1123:39 1.00981e-05
32 *6854:A *1123:53 0
33 *6858:A2 *1123:60 0.000103943
34 *6939:C *1123:22 0.000162503
35 *6944:A1 *1123:32 0.000901903
36 *6950:D *1123:22 0
37 *6959:A1 *1123:22 0.000319625
38 *6959:A2 *1123:22 1.70077e-05
39 *7038:A *1123:9 5.97576e-05
40 *7221:S *1123:9 0.000175485
41 *7222:A *1123:9 0.000171273
42 *7283:D *1123:22 0
43 *7324:D *1123:39 6.08467e-05
44 *7356:D *1123:22 0.000187446
45 *7413:D *1123:8 0
46 *7524:A *1123:8 1.42599e-05
47 *7607:A *1123:9 0.000308563
48 *527:29 *1123:17 0.000505598
49 *527:31 *6711:A 6.50586e-05
50 *527:31 *1123:17 1.27221e-05
51 *532:15 *1123:9 0.000639519
52 *533:8 *1123:17 8.41174e-05
53 *533:21 *6711:A 2.65667e-05
54 *533:21 *1123:17 0.00130094
55 *563:7 *1123:9 6.50727e-05
56 *569:7 *1123:9 0.000464127
57 *576:20 *1123:18 0
58 *576:20 *1123:22 0
59 *583:9 *1123:9 0.00186952
60 *597:50 *1123:22 0
61 *597:61 *1123:22 0.00160157
62 *604:15 *1123:53 0.000313495
63 *611:13 *1123:53 0.000218017
64 *611:13 *1123:60 3.31745e-05
65 *611:25 *1123:60 9.39793e-05
66 *613:19 *1123:53 0.000106185
67 *617:9 *6806:A1 3.93679e-06
68 *622:30 *1123:32 2.1203e-06
69 *623:8 *1123:60 0.000253957
70 *626:33 *1123:39 0.00172836
71 *636:68 *6806:A1 9.51479e-06
72 *650:14 *1123:53 0.000612807
73 *650:53 *1123:60 0.00196555
74 *686:8 *1123:53 0.000167692
75 *688:8 *1123:53 8.98279e-05
76 *688:16 *1123:53 7.09666e-06
77 *689:8 *1123:53 0
78 *695:20 *1123:32 5.90788e-05
79 *696:31 *1123:32 0.00171289
80 *746:14 *1123:22 0
81 *759:8 *1123:22 5.91276e-05
82 *818:5 *1123:9 0.00146383
83 *818:20 *1123:9 0.000366617
84 *820:28 *1123:22 2.57465e-06
85 *823:37 *1123:9 9.03974e-05
86 *824:10 *1123:9 4.91225e-06
87 *842:38 *1123:17 9.60216e-05
88 *855:17 *1123:9 0.000211478
89 *895:22 *1123:17 8.62625e-06
90 *938:7 *1123:17 0.000111722
91 *967:10 *1123:53 0
92 *978:12 *1123:53 1.25173e-05
93 *990:17 *1123:60 4.4925e-05
94 *1096:229 *1123:22 0
95 *1096:272 *1123:32 0
96 *1096:313 *1123:39 0.000159613
97 *1096:313 *1123:53 0.000954804
98 *1096:1430 *1123:8 0.000264152
99 *1110:37 *1123:22 0
100 *1112:20 *1123:8 0
101 *1121:17 *1123:9 5.03285e-05
*RES
1 *7524:X *1123:8 31.2929
2 *1123:8 *1123:9 92.7876
3 *1123:9 *1123:17 49.3316
4 *1123:17 *1123:18 1.41674
5 *1123:18 *1123:22 47.442
6 *1123:22 *1123:32 30.9149
7 *1123:32 *1123:39 35.0485
8 *1123:39 *1123:53 37.2114
9 *1123:53 *1123:60 48.7054
10 *1123:60 *6806:A1 13.8789
11 *1123:18 *6711:A 14.4725
*END
*D_NET *1124 0.0144001
*CONN
*I *6713:B I *D sky130_fd_sc_hd__and3_2
*I *7525:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6713:B 0.000579003
2 *7525:X 0.00153178
3 *1124:20 0.00176765
4 *1124:10 0.00272043
5 *6743:B *1124:20 0.0005597
6 *7041:A *6713:B 0.000199541
7 *7049:A *6713:B 8.41329e-05
8 *7123:A2 *1124:20 0.000113374
9 *7382:D *1124:20 3.20069e-06
10 *7610:A *1124:10 0.000137573
11 *480:10 *1124:10 0.000182401
12 *481:19 *1124:10 0.000178789
13 *511:17 *1124:20 2.1558e-05
14 *827:13 *6713:B 0.000360159
15 *831:10 *6713:B 0.00112011
16 *838:10 *1124:20 0.000802617
17 *894:17 *1124:20 0.000200991
18 *1057:27 *6713:B 0.000555245
19 *1057:28 *1124:20 0.000349837
20 *1108:29 *1124:10 0.00244555
21 *1108:40 *1124:20 0.000225395
22 *1112:21 *6713:B 5.18123e-05
23 *1112:25 *6713:B 0.000209232
*RES
1 *7525:X *1124:10 47.9773
2 *1124:10 *1124:20 46.4579
3 *1124:20 *6713:B 35.5716
*END
*D_NET *1125 0.0314926
*CONN
*I *6868:A I *D sky130_fd_sc_hd__clkbuf_4
*I *6645:A I *D sky130_fd_sc_hd__nor2_4
*I *6637:A I *D sky130_fd_sc_hd__inv_2
*I *7052:A I *D sky130_fd_sc_hd__or2_2
*I *6634:A I *D sky130_fd_sc_hd__clkbuf_4
*I *7526:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6868:A 0.000175742
2 *6645:A 0
3 *6637:A 0
4 *7052:A 0
5 *6634:A 0
6 *7526:X 0.00184816
7 *1125:84 0.00177223
8 *1125:71 0.00173145
9 *1125:65 0.00258253
10 *1125:53 0.00276843
11 *1125:43 0.000525619
12 *1125:42 0.000934493
13 *1125:20 0.000907338
14 *1125:18 0.00114952
15 *1125:7 0.00282007
16 *6868:A *1137:11 5.99527e-05
17 *1125:7 *1135:49 0.000132202
18 *1125:18 *1156:55 0.000110199
19 *1125:18 *1174:43 0.00113643
20 *1125:20 *1127:18 3.88655e-06
21 *1125:20 *1127:25 0.000351697
22 *1125:42 *1127:25 0.000224297
23 *1125:42 *1176:27 0.000205101
24 *1125:43 *1178:17 7.65861e-05
25 *1125:53 *7265:A1 2.75042e-05
26 *1125:84 *7084:B2 0.0001729
27 *5655:DIODE *1125:65 0.000133443
28 *6638:A *1125:65 0.000172253
29 *6690:A *1125:71 0
30 *6690:A *1125:84 7.76776e-05
31 *6704:A *1125:65 3.99086e-06
32 *6704:B *1125:65 0.000406808
33 *6704:C *1125:65 1.65872e-05
34 *6714:A1 *1125:65 0.000111722
35 *6714:A2 *1125:65 0.000426168
36 *6714:B1 *1125:65 2.38316e-05
37 *6945:B *1125:84 0
38 *6947:A_N *6868:A 0.000316296
39 *6947:C *6868:A 6.50727e-05
40 *7037:B *1125:20 0.000311661
41 *7053:B1 *1125:43 0.000381652
42 *7053:B1 *1125:53 4.33979e-05
43 *7091:B1 *1125:42 0.000104572
44 *7203:A2 *1125:18 5.03867e-05
45 *7206:A *1125:42 6.43474e-05
46 *7207:A1 *1125:7 4.79157e-05
47 *7207:A2 *1125:7 6.08467e-05
48 *7261:A *1125:18 0.00010064
49 *7264:A *1125:42 0.000258002
50 *7286:D *1125:84 0.000133544
51 *7287:CLK *1125:84 0
52 *7287:D *1125:84 0
53 *7373:CLK *1125:18 2.5386e-05
54 *7374:D *1125:18 0.000144531
55 *7405:CLK *1125:18 0.000304691
56 *7405:D *1125:18 2.13584e-05
57 *7406:D *1125:7 0.000293891
58 *7410:CLK *1125:7 9.08284e-05
59 *7457:A *1125:7 5.13575e-05
60 *7457:A *1125:18 0.000171273
61 *7535:A *1125:18 9.48595e-05
62 *7543:A *1125:7 0.000965006
63 *438:66 *1125:18 0
64 *470:41 *1125:42 0.000130613
65 *470:41 *1125:43 1.5254e-05
66 *470:41 *1125:53 2.8059e-05
67 *523:69 *1125:65 0.000430366
68 *523:82 *1125:65 0.000350391
69 *524:8 *1125:42 3.31882e-05
70 *526:18 *1125:53 0.000184627
71 *526:18 *1125:65 4.91225e-06
72 *527:31 *1125:71 0.000216467
73 *527:31 *1125:84 0.000150019
74 *533:8 *1125:84 0.00101109
75 *567:5 *1125:65 0.000164829
76 *567:16 *1125:84 0
77 *578:7 *1125:65 3.28416e-06
78 *583:29 *1125:71 6.31665e-05
79 *633:18 *1125:53 5.22654e-06
80 *634:14 *1125:43 0.000484834
81 *634:14 *1125:53 0.000131599
82 *840:14 *1125:42 0.0004041
83 *963:17 *1125:43 0
84 *981:32 *1125:20 0.000102632
85 *1017:8 *1125:18 0
86 *1017:20 *1125:18 0
87 *1018:8 *1125:18 0.000169093
88 *1018:22 *1125:18 0.000402336
89 *1018:22 *1125:20 2.89251e-05
90 *1018:22 *1125:42 0.000388128
91 *1054:14 *1125:18 6.57953e-05
92 *1056:10 *1125:18 0.000587442
93 *1057:21 *1125:43 0.000165495
94 *1068:10 *1125:84 0.000112843
95 *1096:21 *1125:7 1.00981e-05
96 *1096:114 *1125:18 0
97 *1096:114 *1125:20 3.39055e-05
98 *1096:119 *1125:18 0
99 *1096:197 *1125:84 0
100 *1096:206 *1125:84 0
101 *1096:1181 *1125:84 0
102 *1096:1199 *1125:84 0
103 *1096:1217 *1125:84 0
104 *1096:1226 *1125:65 5.85083e-05
105 *1121:21 *1125:65 0.000268193
106 *1123:17 *1125:71 5.52874e-05
107 *1123:17 *1125:84 4.15559e-05
*RES
1 *7526:X *1125:7 40.4999
2 *1125:7 *1125:18 43.9284
3 *1125:18 *1125:20 8.68366
4 *1125:20 *6634:A 13.7491
5 *1125:20 *1125:42 41.3242
6 *1125:42 *1125:43 10.5523
7 *1125:43 *7052:A 13.7491
8 *1125:43 *1125:53 13.5685
9 *1125:53 *6637:A 9.24915
10 *1125:53 *1125:65 48.4916
11 *1125:65 *1125:71 14.0497
12 *1125:71 *6645:A 9.24915
13 *1125:71 *1125:84 49.7631
14 *1125:84 *6868:A 18.9094
*END
*D_NET *1126 0.00732919
*CONN
*I *7263:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7527:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7263:A1 0.000659295
2 *7527:X 0.00142577
3 *1126:5 0.00208507
4 *7263:A1 *1156:40 0.00034202
5 *1126:5 *7210:A0 0.000460662
6 *7210:S *1126:5 0.00043038
7 *7527:A *1126:5 6.22539e-05
8 *444:8 *7263:A1 0.000781623
9 *867:13 *7263:A1 0.000357898
10 *1030:9 *1126:5 0.000430307
11 *1120:30 *7263:A1 0.000293908
*RES
1 *7527:X *1126:5 46.5764
2 *1126:5 *7263:A1 43.3843
*END
*D_NET *1127 0.0146141
*CONN
*I *7265:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7528:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7265:A1 0.000786598
2 *7528:X 0.000556509
3 *1127:25 0.00173829
4 *1127:18 0.00181755
5 *1127:11 0.00142237
6 *1127:11 *6581:B1 0
7 *1127:18 *6581:A1 0.000217937
8 *1127:18 *6581:A2 9.80747e-05
9 *1127:18 *6581:B1 0.000733876
10 *1127:18 *1182:9 0.000213739
11 *1127:25 *1128:16 9.71323e-06
12 *1127:25 *1128:23 0.000644971
13 *6632:A *7265:A1 1.36691e-05
14 *6702:B *1127:25 0.000231552
15 *7053:A2 *1127:25 7.34948e-06
16 *7193:B2 *7265:A1 0.000110421
17 *7211:A *1127:11 0.000224395
18 *7264:A *1127:25 0.000331059
19 *7342:D *1127:25 0.000149628
20 *7407:D *1127:11 0.000267002
21 *7432:CLK *1127:25 0.000222841
22 *7432:D *1127:25 0.000148144
23 *519:14 *7265:A1 1.79672e-05
24 *633:18 *7265:A1 0
25 *634:14 *7265:A1 0.000451933
26 *963:17 *7265:A1 0
27 *981:11 *1127:18 0.000935636
28 *981:32 *1127:18 0.000249485
29 *1018:22 *1127:25 9.99242e-05
30 *1054:14 *1127:25 0
31 *1057:21 *1127:25 4.91225e-06
32 *1057:27 *7265:A1 0.00118736
33 *1096:114 *1127:18 1.9101e-05
34 *1096:114 *1127:25 9.92046e-06
35 *1096:1352 *1127:11 0.000995201
36 *1101:17 *7265:A1 6.50586e-05
37 *1118:9 *1127:25 2.44829e-05
38 *1125:20 *1127:18 3.88655e-06
39 *1125:20 *1127:25 0.000351697
40 *1125:42 *1127:25 0.000224297
41 *1125:53 *7265:A1 2.75042e-05
*RES
1 *7528:X *1127:11 29.6007
2 *1127:11 *1127:18 35.1742
3 *1127:18 *1127:25 43.888
4 *1127:25 *7265:A1 39.5587
*END
*D_NET *1128 0.0182429
*CONN
*I *7267:A1 I *D sky130_fd_sc_hd__mux2_1
*I *7529:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *7267:A1 4.83588e-05
2 *7529:X 0.000817341
3 *1128:24 0.00114815
4 *1128:23 0.00192047
5 *1128:16 0.00273678
6 *1128:9 0.00273344
7 *7024:B *1128:23 0
8 *7193:B2 *1128:24 0.000245389
9 *7205:B1 *1128:23 0.000293374
10 *7206:B *1128:23 0
11 *7266:A *1128:24 0.000151741
12 *7267:A0 *7267:A1 1.41181e-05
13 *7267:S *7267:A1 6.08467e-05
14 *7268:A *7267:A1 1.41307e-05
15 *7432:D *1128:16 3.00073e-05
16 *7434:D *1128:24 4.3116e-06
17 *470:30 *7267:A1 0.000111802
18 *470:33 *1128:24 0.000641513
19 *470:41 *1128:24 0.000229238
20 *520:12 *1128:23 1.77537e-06
21 *521:18 *1128:24 0.000169093
22 *521:29 *1128:24 0.000167062
23 *627:8 *1128:24 0.000130777
24 *829:8 *1128:24 0.00283371
25 *837:18 *1128:24 0.000158661
26 *864:13 *1128:9 3.20011e-05
27 *864:13 *1128:16 2.77625e-06
28 *969:20 *1128:16 0
29 *969:20 *1128:23 0
30 *1054:14 *1128:23 0
31 *1117:17 *1128:16 0.00289137
32 *1127:25 *1128:16 9.71323e-06
33 *1127:25 *1128:23 0.000644971
*RES
1 *7529:X *1128:9 26.1043
2 *1128:9 *1128:16 39.6154
3 *1128:16 *1128:23 29.7638
4 *1128:23 *1128:24 50.8318
5 *1128:24 *7267:A1 15.5817
*END
*D_NET *1129 0.0021028
*CONN
*I *7204:A I *D sky130_fd_sc_hd__nand2_1
*I *7530:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7204:A 0.000480568
2 *7530:X 0.000480568
3 *7204:A *7214:A0 9.60216e-05
4 *7204:A *1172:9 0.00010623
5 *6611:A *7204:A 6.92705e-05
6 *7543:A *7204:A 0.000213368
7 *7611:A *7204:A 2.64238e-05
8 *233:21 *7204:A 2.09583e-05
9 *442:10 *7204:A 0.000419679
10 *982:27 *7204:A 6.50727e-05
11 *1110:5 *7204:A 0.000124641
*RES
1 *7530:X *7204:A 41.0246
*END
*D_NET *1130 0.0033105
*CONN
*I *7262:A1 I *D sky130_fd_sc_hd__a21boi_1
*I *7260:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *7531:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7262:A1 0
2 *7260:A1 0.000126348
3 *7531:X 0.000639183
4 *1130:8 0.000765532
5 *7207:A2 *7260:A1 3.31882e-05
6 *7207:B1 *7260:A1 7.77309e-06
7 *7260:B1 *7260:A1 7.32658e-06
8 *7431:D *7260:A1 1.07248e-05
9 *7431:D *1130:8 8.52968e-05
10 *444:29 *7260:A1 0.000456525
11 *834:18 *7260:A1 4.70104e-05
12 *834:18 *1130:8 0.000257987
13 *975:12 *7260:A1 0
14 *975:12 *1130:8 0
15 *1097:11 *7260:A1 0.000527905
16 *1098:33 *7260:A1 8.62625e-06
17 *1110:5 *1130:8 0.000337078
*RES
1 *7531:X *1130:8 26.9758
2 *1130:8 *7260:A1 21.4594
3 *1130:8 *7262:A1 13.7491
*END
*D_NET *1131 0.04853
*CONN
*I *6906:B I *D sky130_fd_sc_hd__and3_1
*I *6881:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6880:B I *D sky130_fd_sc_hd__or3b_1
*I *7612:A I *D sky130_fd_sc_hd__buf_2
*I *6584:Y O *D sky130_fd_sc_hd__clkinv_4
*CAP
1 *6906:B 0.000376463
2 *6881:A2 0
3 *6880:B 0.000571672
4 *7612:A 6.82177e-05
5 *6584:Y 0.000225819
6 *1131:63 0.000376463
7 *1131:46 0.00073908
8 *1131:36 0.0034778
9 *1131:34 0.00476601
10 *1131:24 0.00359971
11 *1131:23 0.00266704
12 *1131:17 0.00487582
13 *1131:15 0.00553989
14 *1131:9 0.0048308
15 *1131:7 0.0041362
16 *6880:B *1150:8 7.86847e-05
17 *6906:B *6881:B1 6.50727e-05
18 *1131:24 *7223:A0 0
19 *1131:34 *7236:A0 0
20 *1131:34 *7238:A0 0
21 *1131:34 *1160:6 0
22 *1131:36 *7247:A0 7.23866e-05
23 *1131:36 *7249:A0 0
24 *1131:46 *6612:A 0.0001829
25 *1131:46 *1150:8 5.77352e-05
26 flash_csb *7612:A 3.25584e-05
27 *6820:A *1131:46 7.65861e-05
28 *6880:A *6880:B 0.000139435
29 *6881:A1 *6880:B 0.00017419
30 *6881:A1 *1131:46 7.81379e-05
31 *6882:A3 *6906:B 0.000360145
32 *6958:A *1131:15 0.000317707
33 *6958:C *1131:15 3.58208e-05
34 *7054:A1 *1131:17 3.18377e-05
35 *7093:A *1131:17 7.45557e-05
36 *7205:A2 *1131:17 0.000196737
37 *7209:A *1131:23 4.17467e-05
38 *7225:A1 *1131:24 3.12316e-05
39 *7228:A *1131:24 0
40 *7229:S *1131:24 0.000140745
41 *7230:A *1131:24 0
42 *7235:A *1131:34 0.000125695
43 *7242:A *1131:34 0
44 *7244:A *1131:34 0
45 *7280:CLK *1131:17 2.85274e-05
46 *7280:D *1131:17 2.98007e-05
47 *7415:D *1131:24 3.37543e-05
48 *7416:D *1131:24 0
49 *7418:D *1131:34 0.000223919
50 *7477:A *1131:34 0.000107169
51 *7481:A *1131:36 0.000129052
52 *7482:A *1131:36 0.000129056
53 *7495:A *1131:24 6.50176e-05
54 *7496:A *1131:24 1.91391e-05
55 *7525:A *1131:24 0
56 *445:5 *1131:17 2.20702e-05
57 *445:5 *1131:23 0.00034957
58 *445:14 *1131:24 0
59 *445:23 *1131:34 0
60 *445:32 *1131:34 0
61 *446:5 *1131:23 0.000219249
62 *452:9 *1131:24 3.49272e-05
63 *500:20 *6880:B 0.000125507
64 *503:7 *1131:7 6.50586e-05
65 *503:8 *1131:46 1.77537e-06
66 *547:39 *6906:B 1.01044e-05
67 *696:65 *6906:B 0.00031994
68 *740:14 *6880:B 0.000353672
69 *820:22 *1131:9 7.8082e-07
70 *820:22 *1131:15 0.000259475
71 *820:22 *1131:17 5.02752e-05
72 *832:17 *1131:9 5.9123e-05
73 *832:19 *1131:9 9.90116e-05
74 *832:26 *1131:9 0.000755721
75 *834:13 *1131:17 0.0014301
76 *834:50 *1131:17 0.000148064
77 *838:5 *1131:17 0.000154145
78 *838:10 *1131:17 0.000300565
79 *874:8 *1131:9 2.63704e-05
80 *888:8 *1131:9 0.00140074
81 *915:5 *1131:17 1.47792e-05
82 *962:45 *6906:B 0.000217169
83 *1048:19 *1131:24 0.000148129
84 *1096:655 *1131:7 0.000629908
85 *1096:655 *1131:9 0.000455751
86 *1096:1217 *1131:15 0.0012501
87 *1096:1402 *1131:24 6.51725e-05
88 *1096:1412 *1131:24 0.000168575
89 *1096:1417 *1131:24 1.64983e-05
90 *1107:48 *6880:B 1.29348e-05
91 *1107:48 *1131:46 3.43906e-05
92 *1109:10 *1131:36 0
93 *1109:12 *1131:24 0
94 *1109:12 *1131:34 0
95 *1109:12 *1131:36 0
96 *1111:14 *6880:B 0
97 *1111:14 *1131:46 0
*RES
1 *6584:Y *1131:7 16.6519
2 *1131:7 *1131:9 61.4525
3 *1131:9 *1131:15 30.4429
4 *1131:15 *1131:17 67.8304
5 *1131:17 *1131:23 16.8942
6 *1131:23 *1131:24 55.6072
7 *1131:24 *1131:34 33.5377
8 *1131:34 *1131:36 80.6933
9 *1131:36 *7612:A 15.5817
10 *1131:7 *1131:46 10.0693
11 *1131:46 *6880:B 27.6052
12 *1131:46 *1131:63 4.5
13 *1131:63 *6881:A2 9.24915
14 *1131:63 *6906:B 22.222
*END
*D_NET *1132 0.00279269
*CONN
*I *7613:A I *D sky130_fd_sc_hd__buf_2
*I *6613:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7613:A 0.00128256
2 *6613:X 9.75037e-05
3 *1132:8 0.00138007
4 flash_io0_write *7613:A 3.25584e-05
*RES
1 *6613:X *1132:8 20.4964
2 *1132:8 *7613:A 40.4757
*END
*D_NET *1133 0.0182696
*CONN
*I *7149:A1 I *D sky130_fd_sc_hd__a221o_1
*I *7532:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7149:A1 8.23679e-05
2 *7532:X 0.000261219
3 *1133:20 0.00165828
4 *1133:18 0.00306999
5 *1133:14 0.00284235
6 *1133:8 0.00160949
7 sram_din0[14] *1133:14 6.60404e-05
8 sram_din0[17] *1133:8 0
9 *6750:A *1133:18 0.000139226
10 *6853:A *1133:18 0.000137693
11 *6855:A2 *1133:18 0.000153715
12 *6980:A *1133:20 8.33404e-05
13 *7149:A2 *7149:A1 1.67329e-05
14 *7149:A2 *1133:20 5.44492e-05
15 *7149:B1 *7149:A1 0.000152968
16 *7326:D *1133:18 9.20275e-05
17 *7327:D *1133:14 3.53286e-06
18 *7327:D *1133:18 0.000433002
19 *7343:CLK *1133:20 0
20 *7447:A *1133:8 0
21 *7501:A *1133:14 0.000139784
22 *7551:A *1133:14 0
23 *7551:A *1133:18 0.000160609
24 *523:8 *1133:20 7.30564e-05
25 *523:15 *1133:20 0.000101118
26 *529:43 *1133:18 5.70841e-05
27 *533:47 *1133:20 0
28 *534:8 *1133:20 0.000327446
29 *534:20 *1133:20 0.000695662
30 *595:37 *1133:20 0.00169127
31 *595:40 *1133:18 9.78551e-06
32 *595:40 *1133:20 0.00169052
33 *595:52 *1133:18 0.000545837
34 *595:52 *1133:20 3.3171e-06
35 *609:18 *1133:18 3.1718e-05
36 *650:14 *1133:18 0
37 *696:86 *1133:20 1.14755e-05
38 *900:6 *1133:20 5.45038e-05
39 *965:38 *1133:18 6.75845e-06
40 *978:12 *1133:18 0
41 *978:12 *1133:20 0
42 *987:12 *1133:18 3.90758e-05
43 *987:14 *1133:18 9.37698e-05
44 *1044:9 *1133:14 0.000636352
45 *1057:9 *1133:14 0.00104404
46 *1096:316 *1133:18 0
47 *1096:635 *1133:20 0
48 *1096:637 *1133:20 0
49 *1100:12 *1133:14 0
*RES
1 *7532:X *1133:8 23.4032
2 *1133:8 *1133:14 47.9205
3 *1133:14 *1133:18 41.7695
4 *1133:18 *1133:20 61.0054
5 *1133:20 *7149:A1 16.1364
*END
*D_NET *1134 0.0121788
*CONN
*I *7614:A I *D sky130_fd_sc_hd__buf_2
*I *7429:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7614:A 0.000371874
2 *7429:Q 0
3 *1134:6 0.00608016
4 *1134:5 0.00570829
5 flash_sck *7614:A 1.84293e-05
*RES
1 *7429:Q *1134:5 13.7491
2 *1134:5 *1134:6 145.094
3 *1134:6 *7614:A 22.7916
*END
*D_NET *1135 0.0308128
*CONN
*I *6932:A I *D sky130_fd_sc_hd__and3_1
*I *6933:A I *D sky130_fd_sc_hd__nor2_1
*I *6937:B I *D sky130_fd_sc_hd__and4_1
*I *7067:B2 I *D sky130_fd_sc_hd__a221o_1
*I *7615:A I *D sky130_fd_sc_hd__buf_2
*I *7350:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6932:A 0.000185228
2 *6933:A 0.000108061
3 *6937:B 0
4 *7067:B2 0.000212159
5 *7615:A 0
6 *7350:Q 0.0002077
7 *1135:72 0.000334562
8 *1135:65 0.00016926
9 *1135:49 0.00104068
10 *1135:36 0.00385881
11 *1135:19 0.00439963
12 *1135:18 0.00454193
13 *1135:8 0.00350827
14 *1135:18 *6951:A 0.000137315
15 *1135:18 *1143:30 0
16 *1135:36 *1137:21 0
17 *1135:36 *1173:44 2.56868e-05
18 *1135:36 *1174:28 0
19 *1135:65 *1143:30 0
20 *1135:72 *1143:30 0
21 sram_din0[0] *1135:49 0
22 sram_web0 *1135:49 0.000831488
23 *6934:B *6932:A 3.58321e-05
24 *6937:C *6933:A 0.000178097
25 *6937:D *6932:A 0
26 *6937:D *6933:A 0.000118166
27 *6937:D *1135:72 0
28 *6945:B *1135:18 0
29 *6947:B *1135:18 7.28157e-05
30 *7067:A1 *7067:B2 6.81008e-05
31 *7067:C1 *7067:B2 3.27324e-05
32 *7207:A2 *1135:36 0.000132475
33 *7207:B1 *1135:36 1.2693e-05
34 *7410:CLK *1135:49 0.000321919
35 *225:8 *1135:49 0.00032691
36 *438:58 *7067:B2 0.000145074
37 *502:30 *1135:18 0.000286219
38 *502:30 *1135:65 9.40059e-05
39 *529:17 *6933:A 0.0005768
40 *577:8 *7067:B2 0
41 *577:46 *1135:18 0
42 *597:69 *1135:8 0.000222149
43 *626:11 *1135:19 0.00378435
44 *626:11 *1135:36 0.000364356
45 *741:7 *6932:A 0.000171288
46 *745:8 *1135:65 0
47 *745:8 *1135:72 0
48 *748:25 *1135:18 0
49 *982:27 *1135:36 0.00115614
50 *1000:9 *1135:36 2.33103e-06
51 *1014:37 *6932:A 8.96809e-05
52 *1014:37 *1135:65 3.60268e-05
53 *1014:37 *1135:72 3.31736e-05
54 *1055:11 *1135:36 0
55 *1058:16 *1135:36 0
56 *1068:10 *1135:18 3.244e-05
57 *1096:24 *1135:49 0
58 *1097:11 *1135:49 0.000905577
59 *1098:11 *1135:49 0.000998535
60 *1098:33 *1135:36 0.000178971
61 *1098:44 *1135:36 0.000576244
62 *1117:44 *1135:18 0.000166715
63 *1125:7 *1135:49 0.000132202
*RES
1 *7350:Q *1135:8 17.431
2 *1135:8 *1135:18 26.5126
3 *1135:18 *1135:19 41.2095
4 *1135:19 *1135:36 45.6679
5 *1135:36 *1135:49 44.9722
6 *1135:49 *7615:A 9.24915
7 *1135:19 *7067:B2 24.6195
8 *1135:8 *1135:65 3.493
9 *1135:65 *6937:B 13.7491
10 *1135:65 *1135:72 1.41674
11 *1135:72 *6933:A 20.5973
12 *1135:72 *6932:A 19.49
*END
*D_NET *1136 0.0235572
*CONN
*I *6937:A I *D sky130_fd_sc_hd__and4_1
*I *6936:A I *D sky130_fd_sc_hd__nor2_1
*I *7074:B2 I *D sky130_fd_sc_hd__a221o_1
*I *7616:A I *D sky130_fd_sc_hd__buf_2
*I *7351:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6937:A 0.000310552
2 *6936:A 2.15683e-05
3 *7074:B2 0.000158338
4 *7616:A 0
5 *7351:Q 0
6 *1136:52 0.00075592
7 *1136:33 0.00117958
8 *1136:27 0.00328595
9 *1136:23 0.00433943
10 *1136:17 0.00406278
11 *1136:5 0.00241186
12 *7074:B2 *1176:31 5.29763e-05
13 *1136:17 *6950:C 0
14 *1136:17 *1137:11 1.67988e-05
15 *1136:17 *1142:30 1.79807e-05
16 *1136:23 *1137:11 0.00128078
17 *1136:23 *1137:15 0.000667926
18 *1136:27 *1137:15 0.000557392
19 *1136:33 *1173:44 1.56407e-05
20 *1136:52 *1142:30 5.64809e-05
21 sram_addr0[1] *1136:33 0.00011818
22 sram_addr0[2] *1136:33 1.41291e-05
23 sram_din0[2] *1136:33 0
24 *6936:B *6936:A 4.31603e-06
25 *6936:B *6937:A 0.000315231
26 *6936:B *1136:52 5.56461e-05
27 *6944:A3 *1136:17 2.96023e-05
28 *6945:C *1136:17 6.23875e-05
29 *6947:B *1136:23 6.08467e-05
30 *6950:D *1136:17 2.72092e-05
31 *6951:B *1136:17 2.61147e-05
32 *6953:A1 *1136:17 0.00021569
33 *7067:B1 *7074:B2 4.86799e-06
34 *7070:A1 *7074:B2 0.000105575
35 *7074:A2 *7074:B2 1.02541e-05
36 *7374:D *1136:27 3.18826e-06
37 *7405:D *1136:33 0
38 *7534:A *1136:33 0.000602726
39 *7535:A *1136:33 0
40 *438:66 *1136:33 0
41 *529:17 *6937:A 1.00846e-05
42 *529:17 *1136:52 0.000124884
43 *571:23 *1136:17 0
44 *583:34 *1136:52 0
45 *696:31 *1136:17 0.000295086
46 *755:6 *1136:17 1.07248e-05
47 *849:10 *7074:B2 5.56367e-05
48 *849:19 *7074:B2 2.14552e-05
49 *969:20 *1136:33 0.00121727
50 *1030:9 *1136:27 0.00013529
51 *1061:11 *1136:27 0.000173042
52 *1096:119 *1136:33 0
53 *1098:33 *1136:33 0
54 *1110:42 *1136:17 0.000374585
55 *1120:53 *1136:17 0.000291214
*RES
1 *7351:Q *1136:5 13.7491
2 *1136:5 *1136:17 48.5779
3 *1136:17 *1136:23 39.1839
4 *1136:23 *1136:27 37.3904
5 *1136:27 *1136:33 42.4012
6 *1136:33 *7616:A 9.24915
7 *1136:23 *7074:B2 23.29
8 *1136:5 *1136:52 15.2323
9 *1136:52 *6936:A 9.82786
10 *1136:52 *6937:A 17.737
*END
*D_NET *1137 0.0153343
*CONN
*I *6950:C I *D sky130_fd_sc_hd__and4_2
*I *6941:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *7617:A I *D sky130_fd_sc_hd__buf_2
*I *7352:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6950:C 0.000281464
2 *6941:A 0
3 *7617:A 0
4 *7352:Q 0
5 *1137:21 0.00213214
6 *1137:15 0.00286275
7 *1137:11 0.00135957
8 *1137:4 0.000910425
9 *1137:11 *1138:36 0
10 *1137:11 *1141:26 0.000291177
11 *1137:15 *1139:40 0.000131059
12 *1137:21 *7618:A 0.000103943
13 sram_addr0[2] *1137:21 8.35699e-06
14 sram_addr1[2] *1137:21 5.04054e-06
15 sram_din0[2] *1137:21 0.000679443
16 *6591:A *1137:21 0
17 *6868:A *1137:11 5.99527e-05
18 *6944:A3 *6950:C 6.66243e-05
19 *6947:A_N *1137:11 0.000462577
20 *6948:B *1137:11 0
21 *6953:A1 *1137:11 9.04224e-05
22 *7079:B2 *1137:11 0.000175485
23 *7079:B2 *1137:15 0.00051496
24 *7352:D *6950:C 0
25 *7352:D *1137:11 0.000275256
26 *571:23 *6950:C 0.000370276
27 *626:22 *1137:11 2.36813e-05
28 *748:21 *1137:11 0.00113835
29 *823:17 *1137:21 0
30 *1006:7 *1137:21 8.5686e-05
31 *1058:16 *1137:21 0
32 *1061:11 *1137:15 0.000782786
33 *1098:44 *1137:21 0
34 *1120:53 *6950:C 0
35 *1135:36 *1137:21 0
36 *1136:17 *6950:C 0
37 *1136:17 *1137:11 1.67988e-05
38 *1136:23 *1137:11 0.00128078
39 *1136:23 *1137:15 0.000667926
40 *1136:27 *1137:15 0.000557392
*RES
1 *7352:Q *1137:4 9.24915
2 *1137:4 *1137:11 39.864
3 *1137:11 *1137:15 36.2812
4 *1137:15 *1137:21 45.994
5 *1137:21 *7617:A 9.24915
6 *1137:11 *6941:A 9.24915
7 *1137:4 *6950:C 26.3099
*END
*D_NET *1138 0.0150878
*CONN
*I *6946:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6950:B I *D sky130_fd_sc_hd__and4_2
*I *6945:A I *D sky130_fd_sc_hd__and3_1
*I *7084:B2 I *D sky130_fd_sc_hd__a221o_1
*I *7618:A I *D sky130_fd_sc_hd__buf_2
*I *7353:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6946:B1 0
2 *6950:B 0.000295908
3 *6945:A 1.63938e-05
4 *7084:B2 0.000549454
5 *7618:A 0.000381409
6 *7353:Q 0
7 *1138:41 0.000606501
8 *1138:36 0.000567422
9 *1138:29 0.000911609
10 *1138:13 0.00259896
11 *1138:4 0.0028983
12 *7084:B2 *1139:30 6.23875e-05
13 *1138:13 *7619:A 0.000247312
14 *1138:41 *1139:30 2.16355e-05
15 sram_din0[3] *7618:A 0.000483488
16 *6945:B *7084:B2 0
17 *6945:C *6945:A 1.03434e-05
18 *6947:A_N *1138:36 2.82429e-05
19 *6948:B *1138:36 0.000148144
20 *6949:A *1138:29 0
21 *6951:B *6950:B 0.000313495
22 *6953:A1 *6950:B 1.9101e-05
23 *6953:A1 *1138:36 0
24 *7084:A1 *7084:B2 0.000412003
25 *7084:B1 *7084:B2 2.16355e-05
26 *7084:C1 *7084:B2 0
27 *7088:A1 *7084:B2 0.000110297
28 *7088:A2 *7084:B2 0.000305102
29 *7330:CLK *1138:13 1.03403e-05
30 *7330:D *1138:13 0.00015087
31 *7353:D *1138:13 0
32 *7467:A *1138:13 0
33 *7572:A *1138:13 0.000173284
34 *533:8 *1138:36 0.000113107
35 *533:8 *1138:41 1.04747e-05
36 *626:11 *1138:29 0.000107496
37 *748:25 *1138:29 0.000111722
38 *847:52 *7084:B2 1.90494e-05
39 *1006:7 *7618:A 0.000694075
40 *1006:7 *1138:13 9.25607e-05
41 *1006:29 *1138:13 0.000428134
42 *1066:8 *1138:29 0
43 *1068:10 *1138:29 0.000787765
44 *1068:10 *1138:36 0.000194749
45 *1096:180 *1138:13 9.58376e-05
46 *1096:180 *1138:29 6.88361e-05
47 *1096:197 *7084:B2 0
48 *1096:197 *1138:29 0
49 *1098:47 *7084:B2 0.00074353
50 *1125:84 *7084:B2 0.0001729
51 *1137:11 *1138:36 0
52 *1137:21 *7618:A 0.000103943
*RES
1 *7353:Q *1138:4 9.24915
2 *1138:4 *1138:13 46.5408
3 *1138:13 *7618:A 26.6348
4 *1138:4 *1138:29 27.6459
5 *1138:29 *1138:36 12.0112
6 *1138:36 *1138:41 5.60201
7 *1138:41 *7084:B2 42.8412
8 *1138:41 *6945:A 9.82786
9 *1138:36 *6950:B 20.6211
10 *1138:29 *6946:B1 9.24915
*END
*D_NET *1139 0.0103425
*CONN
*I *6950:A I *D sky130_fd_sc_hd__and4_2
*I *7088:B2 I *D sky130_fd_sc_hd__a221o_1
*I *7619:A I *D sky130_fd_sc_hd__buf_2
*I *6951:A I *D sky130_fd_sc_hd__nor2_1
*I *7354:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6950:A 0
2 *7088:B2 0
3 *7619:A 0.000798627
4 *6951:A 0.000514208
5 *7354:Q 0.000115306
6 *1139:40 0.00166674
7 *1139:30 0.00157008
8 *1139:21 0.000701971
9 *1139:6 0.000629514
10 *6951:A *1141:26 1.9101e-05
11 *1139:6 *1141:26 0.000280534
12 *1139:40 *1140:55 4.70005e-05
13 *6597:A *7619:A 0.000127164
14 *6600:A *7619:A 0.000135206
15 *6945:B *1139:30 0.000515015
16 *6945:C *1139:30 0.000332823
17 *6952:C *6951:A 2.02035e-05
18 *7074:A1 *7619:A 0
19 *7079:A1 *1139:40 0
20 *7079:B2 *1139:40 0.000254409
21 *7082:A2 *1139:40 0
22 *7084:B2 *1139:30 6.23875e-05
23 *7088:A1 *1139:30 0.000495923
24 *7088:A2 *1139:30 1.67329e-05
25 *7088:B1 *1139:30 6.95852e-05
26 *7088:B1 *1139:40 1.47046e-05
27 *7088:C1 *1139:30 1.64789e-05
28 *7466:A *7619:A 0
29 *7537:A *7619:A 3.88655e-06
30 *7539:A *7619:A 0.000106917
31 *571:23 *6951:A 4.69495e-06
32 *571:23 *1139:6 0.000122764
33 *746:14 *6951:A 0
34 *1006:7 *7619:A 0.000248832
35 *1062:68 *7619:A 0.000212034
36 *1063:62 *7619:A 0
37 *1096:140 *7619:A 0.000199165
38 *1096:148 *7619:A 3.66465e-05
39 *1110:12 *7619:A 0.000421467
40 *1110:37 *6951:A 4.51062e-05
41 *1135:18 *6951:A 0.000137315
42 *1137:15 *1139:40 0.000131059
43 *1138:13 *7619:A 0.000247312
44 *1138:41 *1139:30 2.16355e-05
*RES
1 *7354:Q *1139:6 18.9032
2 *1139:6 *6951:A 22.7799
3 *1139:6 *1139:21 4.5
4 *1139:21 *1139:30 23.8419
5 *1139:30 *1139:40 28.2921
6 *1139:40 *7619:A 44.2983
7 *1139:30 *7088:B2 9.24915
8 *1139:21 *6950:A 9.24915
*END
*D_NET *1140 0.0215959
*CONN
*I *6960:C I *D sky130_fd_sc_hd__and4_1
*I *7096:B2 I *D sky130_fd_sc_hd__a221o_1
*I *6957:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *7620:A I *D sky130_fd_sc_hd__buf_2
*I *6956:B I *D sky130_fd_sc_hd__and3_1
*I *6954:A I *D sky130_fd_sc_hd__xor2_1
*I *7355:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6960:C 0.000200902
2 *7096:B2 8.78331e-05
3 *6957:A1 2.06324e-05
4 *7620:A 9.43283e-05
5 *6956:B 0
6 *6954:A 0.000271476
7 *7355:Q 0
8 *1140:55 0.00201227
9 *1140:53 0.00238193
10 *1140:44 0.000970997
11 *1140:28 0.000988745
12 *1140:23 0.00192576
13 *1140:20 0.00196324
14 *1140:4 0.000698256
15 *6960:C *1143:30 0
16 *1140:20 *1143:30 0
17 *1140:28 *6956:A 7.14746e-05
18 *1140:53 *6957:B1 2.65831e-05
19 sram_din0[5] *1140:55 5.04879e-05
20 *6703:A1 *1140:55 9.37249e-05
21 *6954:B *6954:A 0.000333503
22 *6955:A2 *6954:A 9.75356e-05
23 *6957:A2 *1140:44 0.00012527
24 *6959:B1 *7096:B2 4.70005e-05
25 *7062:A *1140:55 0
26 *7079:A1 *1140:55 0
27 *7081:A1 *1140:55 0.000153319
28 *7081:A2 *1140:55 7.60207e-05
29 *7081:A3 *1140:55 6.28189e-05
30 *7082:A2 *1140:55 0
31 *7085:B1 *1140:55 8.68994e-05
32 *7088:A2 *1140:55 9.14201e-05
33 *7090:A2 *1140:55 0
34 *7096:B1 *7096:B2 3.24516e-05
35 *7099:A1 *1140:53 8.41174e-05
36 *7099:A2 *1140:44 0.000103039
37 *7099:A2 *1140:53 0.00200364
38 *7099:A3 *1140:53 3.56131e-05
39 *7099:A3 *1140:55 1.9101e-05
40 *7099:B1 *1140:53 8.19494e-05
41 *7099:B1 *1140:55 8.86331e-05
42 *7284:D *1140:55 0
43 *7330:CLK *1140:55 1.09738e-05
44 *7538:A *7620:A 0.000375027
45 *524:27 *6954:A 2.16355e-05
46 *524:27 *1140:20 0.000113197
47 *525:25 *6954:A 6.75138e-05
48 *547:37 *6960:C 7.09666e-06
49 *574:14 *1140:44 1.66626e-05
50 *576:20 *1140:28 4.3116e-06
51 *576:20 *1140:44 2.05083e-05
52 *634:19 *1140:23 0.00221492
53 *634:19 *1140:28 0.000587442
54 *755:42 *7096:B2 5.41377e-05
55 *759:8 *1140:28 5.22654e-06
56 *759:8 *1140:44 4.26999e-05
57 *814:10 *1140:55 9.2346e-06
58 *814:21 *7096:B2 3.24516e-05
59 *822:31 *1140:53 0.000164829
60 *842:38 *1140:55 0
61 *846:12 *1140:55 0
62 *847:52 *1140:55 0
63 *853:8 *1140:55 1.0779e-05
64 *859:17 *1140:55 0
65 *859:24 *1140:55 0.000404145
66 *865:15 *1140:55 4.27003e-05
67 *873:11 *6960:C 2.16355e-05
68 *874:19 *6960:C 6.08467e-05
69 *876:7 *1140:53 2.65667e-05
70 *934:8 *1140:55 0
71 *962:19 *1140:44 5.99856e-05
72 *962:19 *1140:53 0.000369382
73 *1014:37 *1140:20 0.000124222
74 *1071:8 *6960:C 0.000326391
75 *1071:8 *1140:20 0.000478641
76 *1096:148 *1140:55 3.92275e-05
77 *1096:164 *7620:A 0.000113968
78 *1096:1135 *6954:A 0
79 *1096:1135 *1140:20 0.000307037
80 *1110:37 *1140:28 3.45653e-05
81 *1123:22 *1140:28 0
82 *1139:40 *1140:55 4.70005e-05
*RES
1 *7355:Q *1140:4 9.24915
2 *1140:4 *6954:A 26.6559
3 *1140:4 *1140:20 19.2455
4 *1140:20 *1140:23 28.5167
5 *1140:23 *1140:28 14.2624
6 *1140:28 *6956:B 13.7491
7 *1140:28 *1140:44 17.2594
8 *1140:44 *1140:53 29.2342
9 *1140:53 *1140:55 51.0394
10 *1140:55 *7620:A 17.8002
11 *1140:44 *6957:A1 9.82786
12 *1140:23 *7096:B2 20.8822
13 *1140:20 *6960:C 20.4571
*END
*D_NET *1141 0.015016
*CONN
*I *6960:B I *D sky130_fd_sc_hd__and4_1
*I *7104:B2 I *D sky130_fd_sc_hd__a221o_1
*I *6957:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *7621:A I *D sky130_fd_sc_hd__buf_2
*I *6956:A I *D sky130_fd_sc_hd__and3_1
*I *7356:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6960:B 0.000171225
2 *7104:B2 2.36621e-05
3 *6957:B1 0.000121592
4 *7621:A 0.000188184
5 *6956:A 5.30522e-05
6 *7356:Q 0
7 *1141:48 0.00035589
8 *1141:26 0.00217376
9 *1141:25 0.00261796
10 *1141:9 0.000802158
11 *1141:4 0.00039932
12 *6960:B *6960:A 0.000470585
13 *1141:26 *7622:A 0
14 sram_addr1[6] *7621:A 2.61147e-05
15 sram_din0[6] *7621:A 2.42273e-05
16 *6706:A *1141:26 0
17 *6707:B1 *1141:26 3.77804e-05
18 *6707:B2 *1141:26 3.1218e-05
19 *6948:B *1141:26 0
20 *6951:A *1141:26 1.9101e-05
21 *6953:A1 *1141:26 0.000142337
22 *6953:A2 *1141:26 0.000101525
23 *7096:B1 *6956:A 6.08467e-05
24 *7096:C1 *1141:9 2.04951e-05
25 *7096:C1 *1141:48 0.0012054
26 *7104:A2 *6960:B 1.67329e-05
27 *7332:D *1141:26 3.77659e-05
28 *7354:D *1141:26 0.000101133
29 *7469:A *1141:26 8.01886e-05
30 *7539:A *7621:A 0.000193737
31 *533:8 *1141:26 0
32 *571:23 *1141:26 0.000122399
33 *572:36 *1141:26 0
34 *578:34 *1141:26 0
35 *581:8 *6957:B1 3.22726e-05
36 *581:8 *1141:26 0.000129891
37 *755:6 *1141:26 0
38 *755:13 *1141:26 0
39 *755:24 *6956:A 6.50727e-05
40 *755:42 *6960:B 0.00030153
41 *755:42 *1141:9 0.000122083
42 *755:42 *1141:48 0.00125431
43 *814:21 *1141:9 0.00051722
44 *814:21 *1141:25 1.15389e-05
45 *873:11 *6960:B 0.00043038
46 *873:15 *6960:B 6.88782e-05
47 *874:19 *6960:B 0.000153563
48 *874:19 *7104:B2 1.67988e-05
49 *874:19 *1141:48 1.84293e-05
50 *875:10 *1141:9 0.000136533
51 *936:9 *1141:26 2.22923e-05
52 *962:19 *6957:B1 6.50727e-05
53 *1006:29 *7621:A 0.00048906
54 *1013:25 *7621:A 0.000122378
55 *1096:192 *1141:26 0
56 *1110:27 *6957:B1 0
57 *1110:27 *1141:26 0
58 *1110:37 *6956:A 7.14746e-05
59 *1110:37 *1141:25 0.000719022
60 *1137:11 *1141:26 0.000291177
61 *1139:6 *1141:26 0.000280534
62 *1140:28 *6956:A 7.14746e-05
63 *1140:53 *6957:B1 2.65831e-05
*RES
1 *7356:Q *1141:4 9.24915
2 *1141:4 *1141:9 16.9621
3 *1141:9 *6956:A 20.3893
4 *1141:9 *1141:25 13.5666
5 *1141:25 *1141:26 54.1538
6 *1141:26 *7621:A 24.4795
7 *1141:25 *6957:B1 17.135
8 *1141:4 *1141:48 14.6126
9 *1141:48 *7104:B2 10.2137
10 *1141:48 *6960:B 19.9795
*END
*D_NET *1142 0.0161547
*CONN
*I *6962:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *7111:B2 I *D sky130_fd_sc_hd__a221o_1
*I *6960:A I *D sky130_fd_sc_hd__and4_1
*I *7622:A I *D sky130_fd_sc_hd__buf_2
*I *7357:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6962:A1 0.000261994
2 *7111:B2 1.26102e-05
3 *6960:A 5.84953e-05
4 *7622:A 0.000633079
5 *7357:Q 0.000252132
6 *1142:30 0.00236727
7 *1142:26 0.00194147
8 *1142:16 0.000371661
9 *1142:14 0.000273287
10 *1142:8 0.000668911
11 *6954:B *1142:26 0.000113374
12 *6960:B *6960:A 0.000470585
13 *6960:D *1142:16 3.85006e-05
14 *6960:D *1142:26 5.13937e-05
15 *7104:B1 *7111:B2 2.63042e-05
16 *7332:D *7622:A 0
17 *7334:D *1142:30 0
18 *7372:CLK *1142:14 3.6706e-05
19 *7372:CLK *1142:16 5.8093e-05
20 *7372:CLK *1142:26 6.07091e-05
21 *7372:D *1142:26 0.000368568
22 *523:59 *6962:A1 0.00110366
23 *547:29 *1142:8 0.000282341
24 *547:29 *1142:14 8.25327e-05
25 *572:23 *1142:8 0.000111708
26 *583:33 *6962:A1 0.000127288
27 *583:34 *1142:26 0
28 *583:34 *1142:30 0
29 *605:29 *1142:30 0
30 *610:12 *7622:A 0.00161477
31 *691:20 *1142:8 3.62662e-06
32 *697:8 *1142:30 0.000128828
33 *697:15 *1142:30 0.000139608
34 *832:26 *7111:B2 6.44814e-05
35 *874:19 *6960:A 0.000470585
36 *882:8 *1142:8 0.000273714
37 *882:8 *1142:14 0.000160167
38 *882:8 *1142:16 4.52469e-05
39 *962:17 *1142:26 0.000360145
40 *976:19 *7622:A 4.36466e-06
41 *982:15 *7622:A 0.000160617
42 *1006:29 *7622:A 8.62625e-06
43 *1009:24 *7622:A 0.000123376
44 *1009:28 *7622:A 1.79196e-05
45 *1010:14 *7622:A 1.21461e-06
46 *1010:21 *7622:A 2.32967e-05
47 *1014:21 *1142:30 5.58133e-05
48 *1055:11 *7622:A 0
49 *1062:50 *7622:A 0.00213211
50 *1070:8 *1142:26 7.08723e-06
51 *1070:8 *1142:30 0.000511944
52 *1136:17 *1142:30 1.79807e-05
53 *1136:52 *1142:30 5.64809e-05
54 *1141:26 *7622:A 0
*RES
1 *7357:Q *1142:8 21.151
2 *1142:8 *1142:14 4.81204
3 *1142:14 *1142:16 3.07775
4 *1142:16 *1142:26 17.2402
5 *1142:26 *1142:30 49.2175
6 *1142:30 *7622:A 35.4562
7 *1142:16 *6960:A 18.9094
8 *1142:14 *7111:B2 14.4665
9 *1142:8 *6962:A1 25.5646
*END
*D_NET *1143 0.0195608
*CONN
*I *6969:B I *D sky130_fd_sc_hd__and2_1
*I *6968:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7116:B2 I *D sky130_fd_sc_hd__a221o_1
*I *7623:A I *D sky130_fd_sc_hd__buf_2
*I *6965:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6964:A I *D sky130_fd_sc_hd__nand2_1
*I *7358:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6969:B 9.34923e-06
2 *6968:A1 0.000511071
3 *7116:B2 1.70797e-05
4 *7623:A 0.000609319
5 *6965:A1 0.000182553
6 *6964:A 0.00016686
7 *7358:Q 0.000130194
8 *1143:56 0.0010302
9 *1143:30 0.00322864
10 *1143:28 0.00299646
11 *1143:27 0.000869837
12 *1143:7 0.000768565
13 *1143:5 0.000549346
14 sram_addr0[8] *7623:A 0.000118166
15 sram_addr1[8] *7623:A 0.000381471
16 sram_din0[10] *7623:A 0.000171288
17 sram_din0[8] *7623:A 0.000108733
18 sram_din0[9] *7623:A 0.000377273
19 *6678:A *1143:28 0
20 *6937:D *1143:30 0.000233425
21 *6960:C *1143:30 0
22 *6966:B *6965:A1 2.65831e-05
23 *6971:A1 *1143:56 3.31745e-05
24 *6971:A3 *1143:56 0.000213725
25 *7116:A1 *1143:28 3.20069e-06
26 *7116:A1 *1143:30 0.000267198
27 *7116:B1 *1143:28 9.60216e-05
28 *7120:C1 *7116:B2 1.18677e-05
29 *7358:D *1143:56 2.71542e-05
30 *7472:A *1143:30 0
31 *7547:A *7623:A 5.99621e-05
32 *7577:A *7623:A 0.000163362
33 *502:20 *1143:30 0
34 *502:30 *1143:30 0
35 *522:19 *6964:A 1.93033e-05
36 *522:19 *1143:7 1.40978e-05
37 *522:30 *6964:A 0
38 *522:30 *1143:5 0.000213725
39 *522:30 *1143:7 0.000546679
40 *522:30 *1143:56 5.44672e-05
41 *523:56 *1143:28 5.93949e-05
42 *538:21 *6969:B 6.50727e-05
43 *538:21 *1143:56 0.000304777
44 *541:19 *6968:A1 0.000457641
45 *541:19 *1143:28 3.55968e-05
46 *557:6 *1143:28 1.90218e-05
47 *557:6 *1143:56 6.84784e-06
48 *610:12 *1143:30 7.87232e-05
49 *691:16 *6969:B 6.50727e-05
50 *691:16 *1143:56 0.000224841
51 *745:8 *1143:30 0.000116986
52 *763:14 *6965:A1 9.06436e-05
53 *820:36 *1143:28 8.32978e-05
54 *820:36 *1143:56 2.82635e-05
55 *874:19 *1143:30 5.64166e-05
56 *874:50 *1143:30 9.31226e-05
57 *976:19 *7623:A 0.000169041
58 *994:32 *7623:A 5.04686e-05
59 *1014:37 *1143:30 0
60 *1015:31 *7623:A 0.000589689
61 *1067:8 *1143:30 0
62 *1096:683 *6965:A1 2.71542e-05
63 *1096:694 *6965:A1 7.11139e-05
64 *1096:1141 *1143:30 0.000191541
65 *1105:56 *6965:A1 0.000101741
66 *1106:38 *6968:A1 0.000165989
67 *1106:38 *1143:56 1.87469e-05
68 *1112:47 *1143:56 0.00163625
69 *1112:74 *1143:56 9.75243e-05
70 *1122:26 *6968:A1 0.000403603
71 *1122:26 *1143:56 4.18989e-05
72 *1135:18 *1143:30 0
73 *1135:65 *1143:30 0
74 *1135:72 *1143:30 0
75 *1140:20 *1143:30 0
*RES
1 *7358:Q *1143:5 11.6364
2 *1143:5 *1143:7 7.37864
3 *1143:7 *6964:A 12.0704
4 *1143:7 *6965:A1 23.9886
5 *1143:5 *1143:27 4.5
6 *1143:27 *1143:28 8.89128
7 *1143:28 *1143:30 63.7046
8 *1143:30 *7623:A 38.3687
9 *1143:28 *7116:B2 14.135
10 *1143:27 *1143:56 32.5226
11 *1143:56 *6968:A1 26.5549
12 *1143:56 *6969:B 14.4725
*END
*D_NET *1144 0.00266863
*CONN
*I *6893:C I *D sky130_fd_sc_hd__nand3_1
*I *6915:A I *D sky130_fd_sc_hd__nand2_1
*I *6912:S I *D sky130_fd_sc_hd__mux2_1
*I *7345:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6893:C 0.000364478
2 *6915:A 6.77822e-05
3 *6912:S 0.000280207
4 *7345:Q 0
5 *1144:15 0.000559718
6 *1144:5 0.000407664
7 *6893:C *6893:B 0.000147325
8 *7346:CLK *6893:C 0
9 *7346:CLK *1144:15 0
10 *473:5 *6912:S 0.000284637
11 *534:46 *6893:C 0.000141016
12 *534:46 *6912:S 0.000148159
13 *534:46 *1144:15 0.000267649
14 *1096:536 *6912:S 0
15 *1096:536 *1144:15 0
*RES
1 *7345:Q *1144:5 13.7491
2 *1144:5 *6912:S 23.2357
3 *1144:5 *1144:15 4.73876
4 *1144:15 *6915:A 15.0271
5 *1144:15 *6893:C 23.2357
*END
*D_NET *1145 0.00285916
*CONN
*I *6914:A I *D sky130_fd_sc_hd__inv_2
*I *6893:B I *D sky130_fd_sc_hd__nand3_1
*I *7346:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6914:A 0
2 *6893:B 0.000261378
3 *7346:Q 0.000876437
4 *1145:7 0.00113782
5 *6893:B *6893:A 0
6 *6893:B *1146:8 0
7 *6893:C *6893:B 0.000147325
8 *6916:A *1145:7 0
9 *7346:D *1145:7 0.000363787
10 *534:46 *6893:B 5.80222e-05
11 *727:5 *1145:7 1.43983e-05
*RES
1 *7346:Q *1145:7 23.1625
2 *1145:7 *6893:B 25.8173
3 *1145:7 *6914:A 9.24915
*END
*D_NET *1146 0.00563136
*CONN
*I *6921:A I *D sky130_fd_sc_hd__nand2_1
*I *6928:A2 I *D sky130_fd_sc_hd__a31o_1
*I *6920:A I *D sky130_fd_sc_hd__or2_1
*I *6893:A I *D sky130_fd_sc_hd__nand3_1
*I *7347:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6921:A 1.90605e-05
2 *6928:A2 0.000126759
3 *6920:A 0
4 *6893:A 8.35681e-05
5 *7347:Q 0.000490915
6 *1146:32 0.000283373
7 *1146:24 0.00090446
8 *1146:8 0.00134139
9 *6928:A2 *1148:8 6.31954e-05
10 *6893:B *6893:A 0
11 *6893:B *1146:8 0
12 *6917:A *1146:24 4.33655e-05
13 *6918:A_N *1146:8 0
14 *6918:D *1146:8 9.75356e-05
15 *6920:B *6921:A 0
16 *6920:B *1146:32 4.80635e-06
17 *6922:C *1146:24 6.73022e-05
18 *6922:D *1146:24 7.65861e-05
19 *6928:A3 *6928:A2 0.000221012
20 *534:40 *1146:8 0.000171273
21 *534:46 *6893:A 0
22 *534:46 *1146:8 0
23 *727:5 *1146:24 1.65872e-05
24 *728:15 *6893:A 0.000148129
25 *728:15 *1146:8 4.81953e-05
26 *729:5 *1146:24 0.000159322
27 *729:11 *1146:24 0.00104993
28 *729:11 *1146:32 4.82966e-05
29 *729:15 *6921:A 4.80635e-06
30 *729:15 *6928:A2 4.0752e-05
31 *729:15 *1146:32 0.000120742
*RES
1 *7347:Q *1146:8 25.7188
2 *1146:8 *6893:A 16.4116
3 *1146:8 *1146:24 29.674
4 *1146:24 *6920:A 9.24915
5 *1146:24 *1146:32 4.62973
6 *1146:32 *6928:A2 22.7442
7 *1146:32 *6921:A 9.82786
*END
*D_NET *1147 0.00416818
*CONN
*I *6892:B I *D sky130_fd_sc_hd__nand2_1
*I *6928:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6891:B I *D sky130_fd_sc_hd__or3_1
*I *6924:A I *D sky130_fd_sc_hd__nor3_1
*I *6925:A1 I *D sky130_fd_sc_hd__a31o_1
*I *7348:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6892:B 8.88923e-05
2 *6928:A1 0.000313082
3 *6891:B 0
4 *6924:A 0.000208935
5 *6925:A1 0
6 *7348:Q 0.000189839
7 *1147:20 0.000366729
8 *1147:19 0.000470876
9 *1147:17 0.000267799
10 *1147:11 0.000368745
11 *6924:C *6924:A 0.000175485
12 *6925:A2 *1147:11 7.24449e-05
13 *6925:A3 *1147:11 2.692e-05
14 *6925:B1 *6892:B 9.97706e-05
15 *6925:B1 *6924:A 8.15817e-05
16 *6925:B1 *1147:17 0.000596133
17 *6925:B1 *1147:20 0.000113953
18 *6926:B *1147:11 6.92705e-05
19 *558:49 *6928:A1 0.000377273
20 *708:30 *1147:11 0
21 *708:41 *6928:A1 0.000148823
22 *966:8 *6928:A1 1.40733e-05
23 *966:12 *6924:A 1.46079e-05
24 *966:12 *6928:A1 9.86329e-05
25 *966:12 *1147:20 4.3116e-06
*RES
1 *7348:Q *1147:11 23.6017
2 *1147:11 *6925:A1 9.24915
3 *1147:11 *1147:17 6.82404
4 *1147:17 *1147:19 4.5
5 *1147:19 *1147:20 4.73876
6 *1147:20 *6924:A 20.0474
7 *1147:20 *6891:B 13.7491
8 *1147:19 *6928:A1 24.6152
9 *1147:17 *6892:B 11.6605
*END
*D_NET *1148 0.00203197
*CONN
*I *6928:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6892:A I *D sky130_fd_sc_hd__nand2_1
*I *6891:A I *D sky130_fd_sc_hd__or3_1
*I *7349:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6928:B1 0
2 *6892:A 5.21979e-05
3 *6891:A 0.000266896
4 *7349:Q 0.000229533
5 *1148:8 0.000617239
6 *1148:6 0.000527678
7 *6894:A1 *6891:A 2.15184e-05
8 *6894:A2 *6892:A 7.48633e-05
9 *6924:B *6891:A 0.000173163
10 *6928:A2 *1148:8 6.31954e-05
11 *6928:A3 *1148:8 5.68225e-06
12 *966:8 *1148:6 0
13 *966:8 *1148:8 0
14 *966:12 *6891:A 0
15 *966:12 *1148:8 0
*RES
1 *7349:Q *1148:6 19.3184
2 *1148:6 *1148:8 7.23027
3 *1148:8 *6891:A 20.3233
4 *1148:8 *6892:A 15.5817
5 *1148:6 *6928:B1 13.7491
*END
*D_NET *1149 0.00757933
*CONN
*I *6908:A1 I *D sky130_fd_sc_hd__o31ai_2
*I *6753:A I *D sky130_fd_sc_hd__and2_1
*I *6749:A I *D sky130_fd_sc_hd__nand2_2
*I *6879:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7344:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6908:A1 2.06324e-05
2 *6753:A 0
3 *6749:A 5.97064e-05
4 *6879:A 5.21949e-05
5 *7344:Q 0.000959579
6 *1149:29 0.00143071
7 *1149:20 0.00152434
8 *1149:7 0.00114447
9 *6879:A *1154:8 7.12632e-06
10 *6879:A *1154:40 1.69821e-05
11 *1149:29 *6582:A 0.000216203
12 *1149:29 *1154:14 0.000128584
13 *6747:B *1149:29 0.000109002
14 *6753:B *1149:29 0
15 *6895:B *6879:A 3.31882e-05
16 *6895:B *1149:20 9.40059e-05
17 *6898:C1 *6749:A 0.000176388
18 *6902:C1 *1149:29 0
19 *6908:A3 *1149:20 6.50727e-05
20 *7344:D *1149:7 3.18826e-06
21 *483:8 *1149:29 0.000160617
22 *525:54 *1149:29 0
23 *541:5 *1149:20 3.40288e-05
24 *541:5 *1149:29 0.000281447
25 *608:10 *6749:A 0.000392721
26 *702:43 *6879:A 0.000101133
27 *702:43 *1149:20 0.000101133
28 *705:7 *1149:29 0.000207266
29 *706:23 *1149:29 0
30 *1096:565 *1149:7 6.64943e-06
31 *1096:570 *1149:7 0.00025296
*RES
1 *7344:Q *1149:7 28.3376
2 *1149:7 *6879:A 15.5811
3 *1149:7 *1149:20 9.29792
4 *1149:20 *1149:29 44.0168
5 *1149:29 *6749:A 14.4576
6 *1149:29 *6753:A 9.24915
7 *1149:20 *6908:A1 9.82786
*END
*D_NET *1150 0.0010148
*CONN
*I *6612:A I *D sky130_fd_sc_hd__and2_2
*I *6881:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *7336:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6612:A 9.16517e-05
2 *6881:B1 1.26312e-05
3 *7336:Q 0.000145292
4 *1150:8 0.000249575
5 *6880:B *1150:8 7.86847e-05
6 *6906:B *6881:B1 6.50727e-05
7 *7336:D *1150:8 3.25584e-05
8 *962:45 *6881:B1 2.65831e-05
9 *1111:14 *6612:A 4.87805e-05
10 *1111:14 *1150:8 2.33334e-05
11 *1131:46 *6612:A 0.0001829
12 *1131:46 *1150:8 5.77352e-05
*RES
1 *7336:Q *1150:8 17.2744
2 *1150:8 *6881:B1 14.4725
3 *1150:8 *6612:A 17.2421
*END
*D_NET *1151 0.00300953
*CONN
*I *6759:A I *D sky130_fd_sc_hd__or2b_1
*I *6745:B I *D sky130_fd_sc_hd__nor2_1
*I *6758:B_N I *D sky130_fd_sc_hd__or2b_2
*I *6886:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7337:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6759:A 0.000194977
2 *6745:B 0.000139949
3 *6758:B_N 9.85479e-05
4 *6886:A1 0.000113695
5 *7337:Q 0
6 *1151:23 0.000347887
7 *1151:8 0.000271052
8 *1151:5 7.17702e-05
9 *6886:A2 *6758:B_N 0.000107496
10 *6886:A2 *6886:A1 0.000211478
11 *6897:A2 *6745:B 1.61631e-05
12 *523:15 *6758:B_N 7.92757e-06
13 *523:15 *6886:A1 1.00981e-05
14 *538:21 *6759:A 0.000164829
15 *557:54 *6745:B 0.00035144
16 *561:8 *6759:A 0.000238537
17 *561:8 *1151:8 0.000169108
18 *561:8 *1151:23 8.52652e-05
19 *586:52 *6759:A 0.000132307
20 *586:52 *1151:8 0.000169108
21 *586:52 *1151:23 7.81379e-05
22 *1114:44 *6759:A 2.97587e-05
*RES
1 *7337:Q *1151:5 13.7491
2 *1151:5 *1151:8 7.57775
3 *1151:8 *6886:A1 12.191
4 *1151:8 *6758:B_N 11.6605
5 *1151:5 *1151:23 1.41674
6 *1151:23 *6745:B 17.8002
7 *1151:23 *6759:A 19.9053
*END
*D_NET *1152 0.00480088
*CONN
*I *6888:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6758:A I *D sky130_fd_sc_hd__or2b_2
*I *6759:B_N I *D sky130_fd_sc_hd__or2b_1
*I *6745:A I *D sky130_fd_sc_hd__nor2_1
*I *7338:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6888:B1 4.40671e-05
2 *6758:A 0.000107907
3 *6759:B_N 3.20602e-05
4 *6745:A 7.45252e-05
5 *7338:Q 0.000486531
6 *1152:20 0.000192774
7 *1152:10 0.000590847
8 *1152:5 0.000994115
9 *6888:A2 *6888:B1 8.92568e-06
10 *6889:A1 *6888:B1 3.00073e-05
11 *6889:C1 *6759:B_N 0.000164815
12 *6889:C1 *1152:20 0.000193069
13 *6891:C *1152:5 5.04829e-06
14 *7183:A1 *6745:A 7.0954e-05
15 *7183:A1 *1152:10 0.000113362
16 *7338:CLK *1152:10 6.08467e-05
17 *7338:D *1152:10 3.79207e-05
18 *538:21 *6759:B_N 6.73022e-05
19 *538:21 *1152:20 7.89747e-05
20 *989:16 *6745:A 0.000169093
21 *989:16 *1152:10 0.000259599
22 *1011:18 *6758:A 0.000304983
23 *1044:18 *6888:B1 0.000101133
24 *1096:634 *1152:10 0.000307037
25 *1099:89 *6758:A 0.000304983
*RES
1 *7338:Q *1152:5 16.0732
2 *1152:5 *1152:10 14.9536
3 *1152:10 *6745:A 16.8269
4 *1152:10 *1152:20 6.88721
5 *1152:20 *6759:B_N 11.0817
6 *1152:20 *6758:A 23.8184
7 *1152:5 *6888:B1 20.0811
*END
*D_NET *1153 0.00175074
*CONN
*I *6902:A1 I *D sky130_fd_sc_hd__a211o_1
*I *6905:A I *D sky130_fd_sc_hd__and3_1
*I *7343:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6902:A1 0.000112874
2 *6905:A 0.000222861
3 *7343:Q 0
4 *1153:4 0.000335735
5 *6749:B *6905:A 0.000107496
6 *6902:A2 *6902:A1 1.07248e-05
7 *6905:B *6902:A1 0.000113968
8 *6905:B *6905:A 3.89644e-05
9 *547:39 *6905:A 0.00059339
10 *614:11 *6902:A1 5.58587e-05
11 *1011:18 *6902:A1 0.00015887
*RES
1 *7343:Q *1153:4 9.24915
2 *1153:4 *6905:A 17.4717
3 *1153:4 *6902:A1 22.6049
*END
*D_NET *1154 0.00549717
*CONN
*I *6911:B I *D sky130_fd_sc_hd__or4b_1
*I *6908:A2 I *D sky130_fd_sc_hd__o31ai_2
*I *6746:B_N I *D sky130_fd_sc_hd__or2b_1
*I *6582:B I *D sky130_fd_sc_hd__or2_1
*I *6884:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7339:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6911:B 0.000118297
2 *6908:A2 0
3 *6746:B_N 0
4 *6582:B 0.000180223
5 *6884:A 0
6 *7339:Q 0.000236055
7 *1154:40 0.000262431
8 *1154:24 0.000609904
9 *1154:14 0.00150244
10 *1154:8 0.00145295
11 *1154:24 *6746:A 6.47133e-05
12 *6747:B *1154:24 2.22923e-05
13 *6879:A *1154:8 7.12632e-06
14 *6879:A *1154:40 1.69821e-05
15 *6895:B *6911:B 1.07248e-05
16 *6895:B *1154:40 0.000174205
17 *6898:A2 *1154:14 0
18 *6898:A2 *1154:24 0
19 *6900:A1 *1154:24 1.82696e-05
20 *7339:D *1154:14 0.000203607
21 *525:54 *6582:B 4.44553e-05
22 *547:39 *1154:8 2.9373e-05
23 *599:22 *1154:24 0
24 *618:16 *1154:8 0
25 *618:20 *1154:8 0
26 *702:43 *1154:8 4.59164e-06
27 *705:8 *1154:14 7.13655e-06
28 *705:8 *1154:24 8.42781e-05
29 *705:28 *1154:24 7.84205e-05
30 *714:8 *6911:B 5.2092e-05
31 *714:10 *6911:B 0.000188012
32 *715:9 *1154:8 0
33 *966:12 *6911:B 0
34 *966:12 *1154:8 0
35 *966:12 *1154:40 0
36 *1149:29 *1154:14 0.000128584
*RES
1 *7339:Q *1154:8 19.2824
2 *1154:8 *1154:14 9.99621
3 *1154:14 *6884:A 13.7491
4 *1154:14 *1154:24 15.9206
5 *1154:24 *6582:B 22.6049
6 *1154:24 *6746:B_N 9.24915
7 *1154:8 *1154:40 4.11588
8 *1154:40 *6908:A2 13.7491
9 *1154:40 *6911:B 18.0727
*END
*D_NET *1155 0.00496244
*CONN
*I *6908:B1 I *D sky130_fd_sc_hd__o31ai_2
*I *6911:D_N I *D sky130_fd_sc_hd__or4b_1
*I *6746:A I *D sky130_fd_sc_hd__or2b_1
*I *6883:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6582:A I *D sky130_fd_sc_hd__or2_1
*I *7340:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6908:B1 0.000336966
2 *6911:D_N 0
3 *6746:A 0.000391358
4 *6883:A 0
5 *6582:A 0.000104826
6 *7340:Q 0
7 *1155:31 0.000716465
8 *1155:10 0.000157049
9 *1155:5 0.00111683
10 *1155:4 0.00105274
11 *6747:B *6582:A 0.000268798
12 *6911:A *6908:B1 6.50586e-05
13 *7340:D *1155:5 9.71182e-06
14 *541:5 *6582:A 0.000124853
15 *618:16 *6908:B1 0
16 *702:43 *6908:B1 3.1218e-05
17 *705:7 *6582:A 6.50727e-05
18 *705:28 *1155:10 0.000122098
19 *710:12 *1155:10 0.000118485
20 *1149:29 *6582:A 0.000216203
21 *1154:24 *6746:A 6.47133e-05
*RES
1 *7340:Q *1155:4 9.24915
2 *1155:4 *1155:5 9.59705
3 *1155:5 *1155:10 11.2472
4 *1155:10 *6582:A 15.5186
5 *1155:10 *6883:A 9.24915
6 *1155:5 *6746:A 16.0732
7 *1155:4 *1155:31 8.51196
8 *1155:31 *6911:D_N 9.24915
9 *1155:31 *6908:B1 25.6566
*END
*D_NET *1156 0.0237832
*CONN
*I *6776:A I *D sky130_fd_sc_hd__or2_1
*I *6599:A I *D sky130_fd_sc_hd__and2_1
*I *6627:B I *D sky130_fd_sc_hd__or3b_1
*I *7227:A0 I *D sky130_fd_sc_hd__mux2_1
*I *7415:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6776:A 0.000119344
2 *6599:A 0.000329222
3 *6627:B 0
4 *7227:A0 0.000386598
5 *7415:Q 0
6 *1156:55 0.00135973
7 *1156:40 0.00297085
8 *1156:39 0.00255553
9 *1156:29 0.000965916
10 *1156:20 0.00182849
11 *1156:4 0.00198371
12 *6599:A *1174:43 3.62225e-05
13 *1156:20 *6606:A 7.50872e-05
14 *1156:29 *6627:A 3.14978e-05
15 *1156:40 *6588:A 8.21849e-06
16 *1156:40 *1175:36 0.000615343
17 *1156:40 *1181:6 7.52686e-05
18 *1156:40 *1181:17 1.89331e-05
19 *1156:55 *6594:A 0.000933102
20 *1156:55 *1174:43 0.000362203
21 *6591:A *1156:55 0.000441022
22 *6592:B *6599:A 7.22498e-05
23 *6593:A *6599:A 0.000106845
24 *6606:C_N *1156:20 0.000115934
25 *6628:A *1156:29 3.82228e-05
26 *6628:C *6776:A 0.000118485
27 *6628:C *1156:29 1.19721e-05
28 *6628:D *1156:29 3.52453e-05
29 *6776:B *6776:A 0.000181416
30 *6776:B *1156:29 0.000217923
31 *6776:B *1156:39 2.95757e-05
32 *7030:B *6776:A 7.77309e-06
33 *7031:B *1156:39 5.65074e-05
34 *7032:C *1156:40 0.000143032
35 *7045:A_N *1156:40 0
36 *7092:A *1156:39 5.04829e-06
37 *7227:A1 *7227:A0 0
38 *7227:S *7227:A0 2.85139e-05
39 *7229:S *7227:A0 0
40 *7260:A2 *1156:40 8.62625e-06
41 *7263:A1 *1156:40 0.00034202
42 *7415:D *1156:20 6.5781e-05
43 *7536:A *1156:55 0.00018806
44 *7580:A *7227:A0 2.63143e-05
45 *444:8 *1156:40 0.000159249
46 *452:9 *7227:A0 1.43983e-05
47 *498:28 *1156:39 5.36397e-05
48 *515:6 *1156:20 1.79807e-05
49 *515:9 *1156:29 0.00020502
50 *521:6 *1156:40 0
51 *628:5 *6776:A 2.16355e-05
52 *632:8 *6776:A 0
53 *632:8 *1156:39 0
54 *632:12 *1156:39 0
55 *632:12 *1156:40 0
56 *817:6 *1156:39 8.93423e-05
57 *817:6 *1156:40 0.000252374
58 *817:8 *1156:40 0.000285645
59 *823:17 *1156:55 0.000213739
60 *969:20 *1156:40 0
61 *981:8 *1156:39 0.000742702
62 *981:8 *1156:40 0.00308021
63 *1054:14 *1156:55 0.000325312
64 *1056:10 *1156:40 0.000214289
65 *1096:1576 *1156:39 0.000449943
66 *1096:1588 *1156:39 0.000369345
67 *1097:11 *1156:55 0.000164843
68 *1103:11 *1156:39 7.98171e-06
69 *1105:26 *1156:20 0.000109497
70 *1125:18 *1156:55 0.000110199
*RES
1 *7415:Q *1156:4 9.24915
2 *1156:4 *7227:A0 25.2386
3 *1156:4 *1156:20 33.8789
4 *1156:20 *6627:B 9.24915
5 *1156:20 *1156:29 11.9028
6 *1156:29 *1156:39 32.2619
7 *1156:39 *1156:40 73.2554
8 *1156:40 *1156:55 47.3526
9 *1156:55 *6599:A 18.0384
10 *1156:29 *6776:A 17.9655
*END
*D_NET *1157 0.00824306
*CONN
*I *6602:D I *D sky130_fd_sc_hd__or4_1
*I *6626:C I *D sky130_fd_sc_hd__or3_1
*I *6777:A I *D sky130_fd_sc_hd__or2_1
*I *7229:A0 I *D sky130_fd_sc_hd__mux2_1
*I *7416:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6602:D 0.000739661
2 *6626:C 2.67245e-05
3 *6777:A 0
4 *7229:A0 0.000499933
5 *7416:Q 0
6 *1157:30 0.00112982
7 *1157:20 0.00115263
8 *1157:4 0.00128912
9 *6602:D *6602:A 0.000169041
10 *6602:D *6626:B 0
11 *6626:C *6626:A 6.50727e-05
12 *6626:C *6778:A 6.08467e-05
13 *1157:20 *6627:A 5.41377e-05
14 *1157:20 *6778:A 6.08467e-05
15 *1157:20 *1158:20 0.000242164
16 *1157:20 *1158:22 2.95757e-05
17 *1157:20 *1165:32 0.000208976
18 *1157:30 *6626:B 4.3116e-06
19 *1157:30 *6778:A 0.00017718
20 *6604:A1 *6602:D 0.000168812
21 *6604:B1 *6602:D 0.000253916
22 *6628:C *1157:30 0.000284063
23 *6629:A *1157:30 0.00011818
24 *6629:D *1157:30 0.000107496
25 *6777:B *1157:20 1.19721e-05
26 *6778:B *6602:D 0.000139435
27 *6778:B *1157:30 8.62625e-06
28 *6779:D *6626:C 1.41689e-05
29 *6780:A2 *1157:30 0.000568298
30 *7229:A1 *7229:A0 6.92705e-05
31 *445:23 *7229:A0 0
32 *512:8 *6602:D 0.00017056
33 *1020:34 *1157:30 7.34244e-05
34 *1021:11 *6626:C 0.000167076
35 *1109:21 *1157:20 0.00017772
*RES
1 *7416:Q *1157:4 9.24915
2 *1157:4 *7229:A0 29.1236
3 *1157:4 *1157:20 24.9996
4 *1157:20 *6777:A 9.24915
5 *1157:20 *1157:30 18.3025
6 *1157:30 *6626:C 15.5817
7 *1157:30 *6602:D 33.5709
*END
*D_NET *1158 0.00937016
*CONN
*I *6778:A I *D sky130_fd_sc_hd__nand2_1
*I *7030:D_N I *D sky130_fd_sc_hd__or4b_1
*I *6627:C_N I *D sky130_fd_sc_hd__or3b_1
*I *6606:A I *D sky130_fd_sc_hd__or3b_1
*I *7232:A0 I *D sky130_fd_sc_hd__mux2_1
*I *7417:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6778:A 0.000614644
2 *7030:D_N 0
3 *6627:C_N 8.16248e-05
4 *6606:A 0.00018078
5 *7232:A0 0.000325414
6 *7417:Q 0
7 *1158:40 0.000614644
8 *1158:22 0.000361412
9 *1158:20 0.0016887
10 *1158:4 0.00191511
11 *6606:A *6627:A 3.20069e-06
12 *6778:A *6626:B 2.22198e-05
13 *6778:A *7030:A 0.000167076
14 *1158:20 *1165:32 5.01524e-05
15 *1158:22 *6627:A 4.87198e-05
16 *6606:C_N *6606:A 0.00011818
17 *6607:A *6606:A 2.85274e-05
18 *6626:C *6778:A 6.08467e-05
19 *6628:C *6778:A 5.23713e-05
20 *6629:C *6778:A 5.1211e-05
21 *6629:D *6778:A 0.000207394
22 *6777:B *6778:A 3.31745e-05
23 *6777:B *1158:20 0.000195154
24 *6778:B *6778:A 0.0002817
25 *6779:D *6778:A 0.000430366
26 *6780:A2 *6778:A 0.00011289
27 *6780:B1 *6778:A 1.25165e-05
28 *7031:B *6778:A 8.65358e-05
29 *7232:S *7232:A0 1.36007e-05
30 *7503:A *7232:A0 1.5714e-05
31 *481:33 *6778:A 6.02789e-05
32 *497:20 *6606:A 0
33 *628:5 *6778:A 0.000271913
34 *1020:34 *7232:A0 3.73813e-05
35 *1021:11 *6778:A 6.24819e-05
36 *1102:9 *7232:A0 1.03403e-05
37 *1102:9 *1158:20 0.000569034
38 *1109:12 *7232:A0 0
39 *1156:20 *6606:A 7.50872e-05
40 *1157:20 *6778:A 6.08467e-05
41 *1157:20 *1158:20 0.000242164
42 *1157:20 *1158:22 2.95757e-05
43 *1157:30 *6778:A 0.00017718
*RES
1 *7417:Q *1158:4 9.24915
2 *1158:4 *7232:A0 23.3311
3 *1158:4 *1158:20 34.3619
4 *1158:20 *1158:22 2.6625
5 *1158:22 *6606:A 18.5201
6 *1158:22 *6627:C_N 15.5817
7 *1158:20 *1158:40 4.5
8 *1158:40 *7030:D_N 9.24915
9 *1158:40 *6778:A 42.0459
*END
*D_NET *1159 0.00610739
*CONN
*I *6779:A I *D sky130_fd_sc_hd__or4_1
*I *6626:A I *D sky130_fd_sc_hd__or3_1
*I *6603:A I *D sky130_fd_sc_hd__or4_1
*I *7234:A0 I *D sky130_fd_sc_hd__mux2_1
*I *7418:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6779:A 0
2 *6626:A 9.51216e-05
3 *6603:A 0
4 *7234:A0 0.00027947
5 *7418:Q 0
6 *1159:29 0.000479595
7 *1159:18 0.000927675
8 *1159:5 0.000822672
9 *6626:A *6626:B 0.000251669
10 *1159:18 *6603:C 0.000377259
11 *1159:18 *6622:D 0
12 *1159:18 *1162:31 0.000171273
13 *1159:18 *1163:20 0
14 *1159:29 *6626:B 1.07248e-05
15 *1159:29 *1162:31 0.000172326
16 *1159:29 *1165:27 0.00068818
17 *1159:29 *1165:30 5.41227e-05
18 *6604:C1 *1159:29 4.43826e-05
19 *6623:A2 *1159:29 0.000200236
20 *6626:C *6626:A 6.50727e-05
21 *6779:D *6626:A 0.000412644
22 *7234:A1 *7234:A0 6.64392e-05
23 *7234:S *7234:A0 5.28741e-05
24 *445:23 *7234:A0 0
25 *445:23 *1159:18 0
26 *494:8 *1159:29 0.000372943
27 *497:20 *1159:29 4.2814e-05
28 *513:9 *1159:29 2.82537e-05
29 *1021:11 *6626:A 3.56872e-05
30 *1023:5 *7234:A0 8.81193e-05
31 *1025:23 *1159:18 0.000310495
32 *1025:23 *1159:29 5.73392e-05
*RES
1 *7418:Q *1159:5 13.7491
2 *1159:5 *7234:A0 21.5719
3 *1159:5 *1159:18 22.1578
4 *1159:18 *6603:A 9.24915
5 *1159:18 *1159:29 25.9555
6 *1159:29 *6626:A 14.4335
7 *1159:29 *6779:A 9.24915
*END
*D_NET *1160 0.00405496
*CONN
*I *6603:B I *D sky130_fd_sc_hd__or4_1
*I *6622:A I *D sky130_fd_sc_hd__or4_1
*I *7236:A0 I *D sky130_fd_sc_hd__mux2_1
*I *7419:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6603:B 0.000206724
2 *6622:A 0
3 *7236:A0 0.000171774
4 *7419:Q 8.20544e-05
5 *1160:22 0.000786135
6 *1160:6 0.000833239
7 *6603:B *6602:A 0.000324151
8 *6603:B *6622:D 4.88955e-05
9 *6603:B *6623:B1 6.08697e-06
10 *6603:B *1164:18 0
11 *6603:B *1169:10 2.48286e-05
12 *1160:22 *6602:A 6.92705e-05
13 *1160:22 *6622:D 0.000175485
14 *7236:A1 *1160:22 0.000171288
15 *7236:S *7236:A0 6.50727e-05
16 *7418:CLK *7236:A0 0.000185642
17 *458:19 *7236:A0 6.50586e-05
18 *1104:9 *7236:A0 0.000536567
19 *1109:12 *7236:A0 0.000130547
20 *1109:12 *1160:6 0.000172144
21 *1131:34 *7236:A0 0
22 *1131:34 *1160:6 0
*RES
1 *7419:Q *1160:6 16.8269
2 *1160:6 *7236:A0 22.1265
3 *1160:6 *1160:22 19.2814
4 *1160:22 *6622:A 9.24915
5 *1160:22 *6603:B 24.4081
*END
*D_NET *1161 0.00504723
*CONN
*I *6603:C I *D sky130_fd_sc_hd__or4_1
*I *6622:B I *D sky130_fd_sc_hd__or4_1
*I *7238:A0 I *D sky130_fd_sc_hd__mux2_1
*I *7420:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6603:C 0.000117315
2 *6622:B 3.49164e-05
3 *7238:A0 0.000134945
4 *7420:Q 0
5 *1161:18 0.000726567
6 *1161:4 0.000709281
7 *6622:B *6622:D 1.07248e-05
8 *6622:B *1163:20 0.000130777
9 *6622:B *1164:18 0.000116454
10 *1161:18 *7240:A0 3.31745e-05
11 *1161:18 *1163:20 0.00034638
12 *1161:18 *1164:18 0.000353507
13 *7238:A1 *7238:A0 6.92705e-05
14 *7240:S *1161:18 0.00027329
15 *7241:A *1161:18 0.000324151
16 *458:5 *7238:A0 0.000377245
17 *458:19 *7238:A0 1.67988e-05
18 *1036:11 *1161:18 0.0002333
19 *1038:32 *7238:A0 0.000487686
20 *1109:12 *7238:A0 0.00017419
21 *1131:34 *7238:A0 0
22 *1159:18 *6603:C 0.000377259
*RES
1 *7420:Q *1161:4 9.24915
2 *1161:4 *7238:A0 26.4871
3 *1161:4 *1161:18 24.9337
4 *1161:18 *6622:B 15.9964
5 *1161:18 *6603:C 17.8002
*END
*D_NET *1162 0.00676154
*CONN
*I *6626:B I *D sky130_fd_sc_hd__or3_1
*I *6779:B I *D sky130_fd_sc_hd__or4_1
*I *6603:D I *D sky130_fd_sc_hd__or4_1
*I *7240:A0 I *D sky130_fd_sc_hd__mux2_1
*I *7421:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6626:B 0.000217033
2 *6779:B 0
3 *6603:D 0
4 *7240:A0 0.000252221
5 *7421:Q 0.000537699
6 *1162:31 0.00083575
7 *1162:20 0.000822266
8 *1162:5 0.000993469
9 *6626:B *1165:30 1.2693e-05
10 *6626:B *1165:32 2.22342e-05
11 *1162:20 *1164:18 0
12 *1162:20 *1169:10 6.7384e-05
13 *1162:31 *6623:B1 0.000110682
14 *1162:31 *1169:10 6.23202e-05
15 *6602:D *6626:B 0
16 *6623:A2 *1162:31 3.66465e-05
17 *6626:A *6626:B 0.000251669
18 *6778:A *6626:B 2.22198e-05
19 *6779:D *6626:B 6.50586e-05
20 *6779:D *1162:31 0.000158371
21 *6780:B1 *6626:B 8.62625e-06
22 *7240:S *7240:A0 0.000118166
23 *7421:CLK *7240:A0 4.58003e-05
24 *7421:CLK *1162:5 5.04829e-06
25 *7421:D *1162:5 3.44759e-05
26 *494:8 *1162:31 0.000158233
27 *497:20 *6626:B 7.14746e-05
28 *497:20 *1162:31 0.000162402
29 *512:8 *6626:B 2.33193e-05
30 *513:9 *1162:31 0.000195154
31 *1021:11 *6626:B 0.000251669
32 *1025:23 *1162:31 0.000319954
33 *1036:11 *7240:A0 0.000373467
34 *1036:11 *1162:5 0.000107704
35 *1096:1636 *1162:20 2.652e-05
36 *1157:30 *6626:B 4.3116e-06
37 *1159:18 *1162:31 0.000171273
38 *1159:29 *6626:B 1.07248e-05
39 *1159:29 *1162:31 0.000172326
40 *1161:18 *7240:A0 3.31745e-05
*RES
1 *7421:Q *1162:5 17.737
2 *1162:5 *7240:A0 16.5313
3 *1162:5 *1162:20 14.154
4 *1162:20 *6603:D 9.24915
5 *1162:20 *1162:31 29.4491
6 *1162:31 *6779:B 9.24915
7 *1162:31 *6626:B 34.4806
*END
*D_NET *1163 0.00424205
*CONN
*I *6602:A I *D sky130_fd_sc_hd__or4_1
*I *6622:C I *D sky130_fd_sc_hd__or4_1
*I *7243:A0 I *D sky130_fd_sc_hd__mux2_1
*I *7422:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6602:A 0.000118758
2 *6622:C 0
3 *7243:A0 0.000195019
4 *7422:Q 0.000233357
5 *1163:20 0.000625663
6 *1163:9 0.000935282
7 *6602:A *6602:B 1.92336e-05
8 *1163:9 *1169:10 2.692e-05
9 *1163:20 *6622:D 2.19276e-05
10 *1163:20 *1164:18 0.000187106
11 *6602:D *6602:A 0.000169041
12 *6603:B *6602:A 0.000324151
13 *6622:B *1163:20 0.000130777
14 *7240:S *1163:20 0
15 *7241:A *1163:20 0.000226845
16 *7243:S *7243:A0 5.56461e-05
17 *512:8 *6602:A 0.000340156
18 *1043:5 *7243:A0 2.41916e-05
19 *1043:5 *1163:9 5.63674e-05
20 *1079:11 *7243:A0 5.2472e-05
21 *1079:11 *1163:20 4.07664e-05
22 *1096:1645 *1163:9 4.27148e-05
23 *1159:18 *1163:20 0
24 *1160:22 *6602:A 6.92705e-05
25 *1161:18 *1163:20 0.00034638
*RES
1 *7422:Q *1163:9 24.1322
2 *1163:9 *7243:A0 14.4094
3 *1163:9 *1163:20 26.1963
4 *1163:20 *6622:C 9.24915
5 *1163:20 *6602:A 16.2661
*END
*D_NET *1164 0.00656897
*CONN
*I *6602:B I *D sky130_fd_sc_hd__or4_1
*I *6622:D I *D sky130_fd_sc_hd__or4_1
*I *7245:A0 I *D sky130_fd_sc_hd__mux2_1
*I *7423:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6602:B 0.000197862
2 *6622:D 0.000144556
3 *7245:A0 0.000243072
4 *7423:Q 0
5 *1164:18 0.00146801
6 *1164:4 0.00136867
7 *1164:18 *1169:10 0
8 *6602:A *6602:B 1.92336e-05
9 *6603:B *6622:D 4.88955e-05
10 *6603:B *1164:18 0
11 *6622:B *6622:D 1.07248e-05
12 *6622:B *1164:18 0.000116454
13 *7243:A1 *1164:18 0.000678596
14 *7428:CLK *1164:18 3.92275e-05
15 *7586:A *7245:A0 0.000377259
16 *7587:A *1164:18 6.84622e-05
17 *512:8 *6602:B 0.000275256
18 *1027:13 *1164:18 0.000260614
19 *1041:23 *1164:18 0.000122083
20 *1043:8 *7245:A0 0
21 *1096:1636 *1164:18 3.90891e-05
22 *1096:1645 *1164:18 0.000163877
23 *1109:12 *7245:A0 0.000188997
24 *1159:18 *6622:D 0
25 *1160:22 *6622:D 0.000175485
26 *1161:18 *1164:18 0.000353507
27 *1162:20 *1164:18 0
28 *1163:20 *6622:D 2.19276e-05
29 *1163:20 *1164:18 0.000187106
*RES
1 *7423:Q *1164:4 9.24915
2 *1164:4 *7245:A0 25.7932
3 *1164:4 *1164:18 41.9618
4 *1164:18 *6622:D 17.9683
5 *1164:18 *6602:B 17.2456
*END
*D_NET *1165 0.011538
*CONN
*I *6601:A I *D sky130_fd_sc_hd__or4_1
*I *6779:C I *D sky130_fd_sc_hd__or4_1
*I *7030:A I *D sky130_fd_sc_hd__or4b_1
*I *6627:A I *D sky130_fd_sc_hd__or3b_1
*I *7247:A0 I *D sky130_fd_sc_hd__mux2_1
*I *7424:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6601:A 0.000241267
2 *6779:C 0
3 *7030:A 2.08357e-05
4 *6627:A 0.000114746
5 *7247:A0 0.000540979
6 *7424:Q 0
7 *1165:32 0.00041452
8 *1165:30 0.000300775
9 *1165:27 0.00142851
10 *1165:23 0.00166795
11 *1165:18 0.0012627
12 *1165:4 0.00130113
13 *6604:B1 *1165:27 0.000116971
14 *6604:C1 *1165:27 7.69735e-05
15 *6606:A *6627:A 3.20069e-06
16 *6626:B *1165:30 1.2693e-05
17 *6626:B *1165:32 2.22342e-05
18 *6777:B *7030:A 0.00011818
19 *6778:A *7030:A 0.000167076
20 *7247:A1 *7247:A0 6.50727e-05
21 *7247:S *7247:A0 1.19721e-05
22 *7254:A1 *6601:A 0.000367268
23 *7254:S *6601:A 8.52802e-05
24 *7254:S *1165:18 0.000170577
25 *494:8 *6601:A 0
26 *494:8 *1165:27 0.000698918
27 *497:20 *6627:A 4.58259e-05
28 *497:20 *1165:27 0.000122098
29 *497:20 *1165:32 0.000136463
30 *512:8 *1165:27 0
31 *513:9 *1165:30 7.30564e-05
32 *513:9 *1165:32 0.000190042
33 *628:5 *7030:A 4.88955e-05
34 *1021:11 *1165:27 0.000111722
35 *1028:21 *1165:18 0.000288051
36 *1086:8 *6601:A 2.79471e-05
37 *1086:8 *1165:18 7.58739e-05
38 *1109:10 *7247:A0 0
39 *1131:36 *7247:A0 7.23866e-05
40 *1156:29 *6627:A 3.14978e-05
41 *1157:20 *6627:A 5.41377e-05
42 *1157:20 *1165:32 0.000208976
43 *1158:20 *1165:32 5.01524e-05
44 *1158:22 *6627:A 4.87198e-05
45 *1159:29 *1165:27 0.00068818
46 *1159:29 *1165:30 5.41227e-05
*RES
1 *7424:Q *1165:4 9.24915
2 *1165:4 *7247:A0 27.5963
3 *1165:4 *1165:18 24.9393
4 *1165:18 *1165:23 12.4964
5 *1165:23 *1165:27 47.059
6 *1165:27 *1165:30 5.91674
7 *1165:30 *1165:32 10.137
8 *1165:32 *6627:A 17.5503
9 *1165:32 *7030:A 15.5817
10 *1165:30 *6779:C 13.7491
11 *1165:18 *6601:A 22.1181
*END
*D_NET *1166 0.00379273
*CONN
*I *6601:B I *D sky130_fd_sc_hd__or4_1
*I *7249:A0 I *D sky130_fd_sc_hd__mux2_1
*I *7425:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6601:B 0.00122688
2 *7249:A0 0.000234128
3 *7425:Q 0
4 *1166:4 0.00146101
5 *6601:B *6601:C 7.88559e-05
6 *6601:B *7251:A0 0.000108989
7 *7247:A1 *7249:A0 0
8 *7249:A1 *7249:A0 3.14978e-05
9 *7251:S *6601:B 1.61631e-05
10 *7251:S *7249:A0 5.8256e-05
11 *7426:CLK *6601:B 0.000102797
12 *7426:D *6601:B 4.05943e-06
13 *464:31 *7249:A0 2.42138e-05
14 *1084:10 *6601:B 7.50722e-05
15 *1109:10 *7249:A0 0.000370801
16 *1131:36 *7249:A0 0
*RES
1 *7425:Q *1166:4 9.24915
2 *1166:4 *7249:A0 25.9325
3 *1166:4 *6601:B 37.7508
*END
*D_NET *1167 0.00117793
*CONN
*I *6601:C I *D sky130_fd_sc_hd__or4_1
*I *7251:A0 I *D sky130_fd_sc_hd__mux2_1
*I *7426:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6601:C 0.000168066
2 *7251:A0 0.00017541
3 *7426:Q 0.000123742
4 *1167:8 0.000467218
5 *6601:B *6601:C 7.88559e-05
6 *6601:B *7251:A0 0.000108989
7 *7251:S *7251:A0 5.56461e-05
8 *1035:16 *1167:8 0
9 *1084:10 *1167:8 0
*RES
1 *7426:Q *1167:8 20.9116
2 *1167:8 *7251:A0 14.964
3 *1167:8 *6601:C 13.8789
*END
*D_NET *1168 0.00209401
*CONN
*I *6601:D I *D sky130_fd_sc_hd__or4_1
*I *7254:A0 I *D sky130_fd_sc_hd__mux2_1
*I *7427:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6601:D 4.81763e-05
2 *7254:A0 0.000181361
3 *7427:Q 0.000583914
4 *1168:7 0.000813452
5 *7427:D *1168:7 0.000144899
6 *1035:16 *6601:D 4.09467e-05
7 *1035:16 *7254:A0 0.000120294
8 *1086:8 *6601:D 4.27003e-05
9 *1086:8 *7254:A0 0.000118268
*RES
1 *7427:Q *1168:7 22.237
2 *1168:7 *7254:A0 19.7659
3 *1168:7 *6601:D 15.5811
*END
*D_NET *1169 0.00441462
*CONN
*I *7256:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6602:C I *D sky130_fd_sc_hd__or4_1
*I *6623:B1 I *D sky130_fd_sc_hd__a21o_1
*I *7428:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7256:A0 1.59645e-05
2 *6602:C 0
3 *6623:B1 0.000398799
4 *7428:Q 0.000273875
5 *1169:10 0.00127764
6 *1169:8 0.00116868
7 *6603:B *6623:B1 6.08697e-06
8 *6603:B *1169:10 2.48286e-05
9 *6623:A2 *6623:B1 6.50586e-05
10 *7254:S *1169:8 4.20662e-05
11 *7254:S *1169:10 9.22013e-06
12 *7395:CLK *7256:A0 1.43983e-05
13 *7421:D *1169:10 0
14 *470:8 *1169:10 0.00027774
15 *494:8 *1169:10 0
16 *497:20 *6623:B1 6.08467e-05
17 *1023:5 *6623:B1 5.4225e-05
18 *1027:13 *7256:A0 6.50727e-05
19 *1035:13 *1169:8 0.000317721
20 *1041:23 *1169:8 0
21 *1041:23 *1169:10 0
22 *1086:8 *1169:8 7.50872e-05
23 *1096:1636 *1169:10 0
24 *1096:1645 *1169:10 0
25 *1162:20 *1169:10 6.7384e-05
26 *1162:31 *6623:B1 0.000110682
27 *1162:31 *1169:10 6.23202e-05
28 *1163:9 *1169:10 2.692e-05
29 *1164:18 *1169:10 0
*RES
1 *7428:Q *1169:8 19.4928
2 *1169:8 *1169:10 23.4251
3 *1169:10 *6623:B1 24.4758
4 *1169:10 *6602:C 13.7491
5 *1169:8 *7256:A0 14.4725
*END
*D_NET *1170 0.00348943
*CONN
*I *6581:B1 I *D sky130_fd_sc_hd__o21a_1
*I *7210:A0 I *D sky130_fd_sc_hd__mux2_1
*I *7407:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6581:B1 0.000265863
2 *7210:A0 0.000217947
3 *7407:Q 0
4 *1170:4 0.00048381
5 *7210:S *7210:A0 0.000122378
6 *442:10 *7210:A0 5.04879e-05
7 *1030:9 *7210:A0 0.00115441
8 *1126:5 *7210:A0 0.000460662
9 *1127:11 *6581:B1 0
10 *1127:18 *6581:B1 0.000733876
*RES
1 *7407:Q *1170:4 9.24915
2 *1170:4 *7210:A0 32.8665
3 *1170:4 *6581:B1 18.171
*END
*D_NET *1171 0.0101335
*CONN
*I *6579:A I *D sky130_fd_sc_hd__and2_1
*I *7032:A I *D sky130_fd_sc_hd__nand4_4
*I *6621:A I *D sky130_fd_sc_hd__or4b_2
*I *7212:A0 I *D sky130_fd_sc_hd__mux2_1
*I *7408:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6579:A 0.000247897
2 *7032:A 0.000301601
3 *6621:A 2.60774e-05
4 *7212:A0 0.000305312
5 *7408:Q 0
6 *1171:45 0.00105748
7 *1171:32 0.00225729
8 *1171:4 0.00202854
9 *1171:32 *6575:A 5.68225e-06
10 *1171:32 *1174:20 0.000183016
11 *1171:32 *1174:25 2.22119e-05
12 *1171:32 *1176:11 0.000311108
13 *1171:45 *1174:20 4.33979e-05
14 *6577:B *1171:45 7.77309e-06
15 *6621:C *1171:32 0.000111722
16 *7025:C *1171:32 0.000107496
17 *7032:B *7032:A 0.000127171
18 *7039:D *7032:A 0.000205006
19 *7100:A2 *6579:A 5.80222e-05
20 *7208:C *1171:45 1.77537e-06
21 *7212:S *7212:A0 0.00011818
22 *7214:A1 *7212:A0 6.46135e-05
23 *446:10 *7212:A0 0
24 *446:12 *7212:A0 0
25 *478:23 *1171:45 0.00035712
26 *510:10 *1171:32 8.22032e-05
27 *515:6 *6579:A 0.000116956
28 *515:6 *1171:32 0.000160384
29 *515:6 *1171:45 0.000171298
30 *812:11 *6621:A 0.000107496
31 *812:11 *1171:32 5.05252e-05
32 *834:13 *6621:A 0.000107496
33 *834:18 *1171:32 0.000167702
34 *1049:19 *6579:A 0
35 *1049:19 *1171:45 0
36 *1090:11 *1171:32 0.000113968
37 *1112:21 *6579:A 5.07314e-05
38 *1123:9 *7032:A 0.00105624
*RES
1 *7408:Q *1171:4 9.24915
2 *1171:4 *7212:A0 26.0719
3 *1171:4 *1171:32 46.5934
4 *1171:32 *6621:A 15.0271
5 *1171:32 *1171:45 14.5094
6 *1171:45 *7032:A 26.1433
7 *1171:45 *6579:A 21.8422
*END
*D_NET *1172 0.00608806
*CONN
*I *7214:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6588:A I *D sky130_fd_sc_hd__and2_1
*I *6625:A I *D sky130_fd_sc_hd__or2_1
*I *7409:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7214:A0 0.000609752
2 *6588:A 0.000460966
3 *6625:A 0.000103206
4 *7409:Q 0
5 *1172:9 0.0012915
6 *1172:5 0.00133708
7 *6588:A *1173:44 0.000394418
8 *6588:A *1174:28 1.64718e-05
9 *6588:A *1175:36 3.31736e-05
10 *6625:A *6625:B 9.63545e-05
11 *1172:9 *1173:17 0.000660629
12 *1172:9 *1173:44 4.33655e-05
13 *7204:A *7214:A0 9.60216e-05
14 *7204:A *1172:9 0.00010623
15 *7214:S *7214:A0 7.76105e-06
16 *7217:A *7214:A0 6.36477e-05
17 *7260:A2 *6588:A 4.81012e-05
18 *7261:B *6588:A 7.50722e-05
19 *7431:D *1172:9 0.000171639
20 *233:21 *7214:A0 6.07931e-05
21 *233:21 *1172:9 5.10931e-05
22 *442:10 *7214:A0 6.71192e-05
23 *834:18 *6625:A 1.32509e-05
24 *1000:9 *6625:A 0.00026821
25 *1017:20 *6588:A 3.99086e-06
26 *1156:40 *6588:A 8.21849e-06
*RES
1 *7409:Q *1172:5 13.7491
2 *1172:5 *1172:9 18.1475
3 *1172:9 *6625:A 22.9879
4 *1172:9 *6588:A 28.8393
5 *1172:5 *7214:A0 25.1726
*END
*D_NET *1173 0.0109191
*CONN
*I *7216:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6590:A I *D sky130_fd_sc_hd__and2_1
*I *6625:B I *D sky130_fd_sc_hd__or2_1
*I *7410:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7216:A0 0.000597842
2 *6590:A 0
3 *6625:B 8.38584e-05
4 *7410:Q 0
5 *1173:44 0.00130477
6 *1173:17 0.00214029
7 *1173:4 0.00134951
8 *1173:44 *1174:28 0.000117032
9 wb_stall_o *1173:17 0
10 *6588:A *1173:44 0.000394418
11 *6625:A *6625:B 9.63545e-05
12 *7215:A *7216:A0 2.65904e-05
13 *7217:A *7216:A0 0.000530137
14 *7261:B *1173:44 2.04806e-05
15 *7405:D *1173:44 4.75026e-06
16 *7409:D *1173:17 0.000109889
17 *7431:D *1173:17 0.000171639
18 *7462:A *1173:44 7.13655e-06
19 *7578:A *1173:17 0.000231743
20 *7578:A *1173:44 4.00959e-05
21 *225:8 *7216:A0 0.000188845
22 *225:8 *1173:17 0
23 *834:18 *6625:B 0.0002212
24 *982:27 *1173:44 0.000914589
25 *1017:20 *1173:44 0.000115615
26 *1057:14 *1173:44 1.91391e-05
27 *1096:24 *1173:17 2.01653e-05
28 *1096:71 *1173:17 0.00033061
29 *1096:1332 *7216:A0 0
30 *1096:1332 *1173:17 0.000129477
31 *1096:1339 *7216:A0 0
32 *1098:11 *1173:17 0.000228593
33 *1098:33 *1173:44 0.000779019
34 *1135:36 *1173:44 2.56868e-05
35 *1136:33 *1173:44 1.56407e-05
36 *1172:9 *1173:17 0.000660629
37 *1172:9 *1173:44 4.33655e-05
*RES
1 *7410:Q *1173:4 9.24915
2 *1173:4 *1173:17 35.3658
3 *1173:17 *6625:B 22.1574
4 *1173:17 *1173:44 47.2316
5 *1173:44 *6590:A 9.24915
6 *1173:4 *7216:A0 32.0248
*END
*D_NET *1174 0.0164372
*CONN
*I *6620:A1 I *D sky130_fd_sc_hd__o32a_1
*I *6592:A I *D sky130_fd_sc_hd__and2_1
*I *7218:A0 I *D sky130_fd_sc_hd__mux2_1
*I *7411:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6620:A1 0
2 *6592:A 0
3 *7218:A0 0.000508114
4 *7411:Q 0
5 *1174:43 0.00102505
6 *1174:28 0.00241618
7 *1174:25 0.00160881
8 *1174:20 0.00166953
9 *1174:4 0.00195997
10 *1174:20 *6575:A 0.000169093
11 *1174:25 *6620:A2 0.000213725
12 *1174:25 *6620:A3 0
13 *1174:25 *1175:36 0
14 *1174:25 *1176:11 7.97098e-06
15 *1174:28 *1175:36 0.0006845
16 *1174:28 *1182:9 0.000681021
17 *6588:A *1174:28 1.64718e-05
18 *6591:A *1174:43 0.000436825
19 *6599:A *1174:43 3.62225e-05
20 *6621:D_N *1174:20 0.000113197
21 *7073:A2 *1174:28 0.000212506
22 *7091:A2 *1174:28 7.65861e-05
23 *7207:A2 *1174:28 0
24 *7405:CLK *1174:43 0.000262457
25 *7405:D *1174:43 1.87611e-05
26 *7411:D *7218:A0 2.55536e-05
27 *7430:D *1174:28 0
28 *7450:A *1174:28 0.000160384
29 *7498:A *1174:43 1.87469e-05
30 *446:10 *7218:A0 7.21868e-05
31 *510:10 *1174:20 0.000144546
32 *515:6 *1174:20 1.23455e-05
33 *515:6 *1174:28 0.00018794
34 *812:11 *1174:20 5.93461e-05
35 *834:13 *1174:20 0.000220183
36 *834:18 *1174:20 0
37 *844:8 *1174:28 0.000137921
38 *844:10 *1174:28 0.000169108
39 *878:5 *1174:20 8.26551e-05
40 *1000:9 *1174:28 0
41 *1017:8 *1174:43 0.000520031
42 *1056:10 *1174:28 0
43 *1056:10 *1174:43 8.62625e-06
44 *1096:1392 *7218:A0 0.000636352
45 *1125:18 *1174:43 0.00113643
46 *1135:36 *1174:28 0
47 *1156:55 *1174:43 0.000362203
48 *1171:32 *1174:20 0.000183016
49 *1171:32 *1174:25 2.22119e-05
50 *1171:45 *1174:20 4.33979e-05
51 *1173:44 *1174:28 0.000117032
*RES
1 *7411:Q *1174:4 9.24915
2 *1174:4 *7218:A0 27.5991
3 *1174:4 *1174:20 35.6708
4 *1174:20 *1174:25 8.84038
5 *1174:25 *1174:28 49.9335
6 *1174:28 *1174:43 41.7827
7 *1174:43 *6592:A 9.24915
8 *1174:20 *6620:A1 9.24915
*END
*D_NET *1175 0.017964
*CONN
*I *6594:A I *D sky130_fd_sc_hd__and2_1
*I *6620:A2 I *D sky130_fd_sc_hd__o32a_1
*I *7221:A0 I *D sky130_fd_sc_hd__mux2_1
*I *7412:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6594:A 0.000427902
2 *6620:A2 7.41775e-05
3 *7221:A0 0.000252444
4 *7412:Q 0
5 *1175:36 0.00143131
6 *1175:21 0.00219124
7 *1175:4 0.0013661
8 *6620:A2 *6620:A3 0.00011818
9 *6620:A2 *1176:11 7.92757e-06
10 *6620:A2 *1176:27 9.82896e-06
11 *7221:A0 *1177:22 4.90829e-05
12 *1175:21 *6576:A 5.05252e-05
13 *1175:36 *6576:A 0.000118568
14 *1175:36 *1181:6 0.000284048
15 *1175:36 *1181:17 0.00116486
16 *6577:B *1175:21 0.000163912
17 *6580:A *1175:21 0.000118166
18 *6588:A *1175:36 3.31736e-05
19 *6605:B_N *1175:21 1.5613e-05
20 *6620:B1 *6620:A2 0.000122378
21 *6620:B1 *1175:21 1.61631e-05
22 *7100:B1 *7221:A0 7.24155e-05
23 *7221:S *7221:A0 0.000122378
24 *7261:B *1175:36 0.000130777
25 *7430:D *1175:36 0.000284048
26 *7462:A *6594:A 0.000158451
27 *7607:A *7221:A0 0.00113724
28 *444:11 *6594:A 0.000211492
29 *479:5 *1175:21 9.55447e-05
30 *479:16 *1175:21 0.00014934
31 *480:10 *1175:21 0.000268812
32 *502:37 *6594:A 0.00100167
33 *812:16 *1175:21 0.000589048
34 *823:17 *6594:A 0.000101446
35 *981:8 *1175:21 0.000986036
36 *1061:11 *6594:A 6.36477e-05
37 *1097:11 *6594:A 0.000215704
38 *1098:33 *6594:A 0.000445155
39 *1098:44 *6594:A 0.00103423
40 *1123:9 *7221:A0 0.0004343
41 *1156:40 *1175:36 0.000615343
42 *1156:55 *6594:A 0.000933102
43 *1174:25 *6620:A2 0.000213725
44 *1174:25 *1175:36 0
45 *1174:28 *1175:36 0.0006845
*RES
1 *7412:Q *1175:4 9.24915
2 *1175:4 *7221:A0 33.697
3 *1175:4 *1175:21 45.1117
4 *1175:21 *6620:A2 12.7456
5 *1175:21 *1175:36 46.3108
6 *1175:36 *6594:A 41.0935
*END
*D_NET *1176 0.0172121
*CONN
*I *7223:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6596:A I *D sky130_fd_sc_hd__and2_1
*I *6620:A3 I *D sky130_fd_sc_hd__o32a_1
*I *7413:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7223:A0 0.000280797
2 *6596:A 0
3 *6620:A3 4.05443e-05
4 *7413:Q 8.37853e-05
5 *1176:31 0.00113561
6 *1176:27 0.00248422
7 *1176:11 0.00239576
8 *1176:10 0.00188182
9 *1176:7 0.00123979
10 *1176:27 *6576:A 0.000154145
11 *1176:27 *7024:A 9.84918e-05
12 *1176:27 *7064:C_N 0.000449119
13 *6596:B *1176:31 6.50727e-05
14 *6599:B *1176:31 2.95757e-05
15 *6620:A2 *6620:A3 0.00011818
16 *6620:A2 *1176:11 7.92757e-06
17 *6620:A2 *1176:27 9.82896e-06
18 *6620:B1 *6620:A3 3.75603e-05
19 *6620:B1 *1176:27 5.0715e-05
20 *7058:B2 *1176:27 0.000557409
21 *7064:B *1176:27 6.92705e-05
22 *7065:A *1176:31 0.000921673
23 *7070:A1 *1176:31 0
24 *7074:B2 *1176:31 5.29763e-05
25 *7221:S *7223:A0 0
26 *7221:S *1176:10 0
27 *7222:A *1176:10 0
28 *7223:S *7223:A0 0.000164829
29 *7224:A *7223:A0 4.33819e-05
30 *7282:D *1176:31 0.000101148
31 *7379:CLK *1176:10 0.000112356
32 *7411:D *1176:10 0
33 *7495:A *7223:A0 0
34 *7519:A *1176:10 0
35 *7520:A *1176:10 0.000138046
36 *7608:A *7223:A0 0.000525939
37 *446:10 *1176:10 0
38 *479:5 *1176:27 6.22703e-05
39 *486:16 *1176:31 0.000560954
40 *486:18 *1176:31 0.00129771
41 *510:10 *1176:11 6.50727e-05
42 *568:11 *1176:31 0.000379553
43 *578:8 *1176:31 0
44 *848:5 *1176:27 0.000381824
45 *849:10 *1176:31 0.000109855
46 *850:12 *1176:31 0.000163997
47 *931:8 *1176:31 0
48 *1063:62 *1176:31 0
49 *1090:11 *1176:11 1.43848e-05
50 *1096:1402 *1176:10 0.00015326
51 *1096:1412 *7223:A0 2.72089e-05
52 *1096:1412 *1176:10 0.000138109
53 *1101:13 *7223:A0 6.44576e-05
54 *1112:21 *1176:7 1.92793e-05
55 *1125:42 *1176:27 0.000205101
56 *1131:24 *7223:A0 0
57 *1171:32 *1176:11 0.000311108
58 *1174:25 *6620:A3 0
59 *1174:25 *1176:11 7.97098e-06
*RES
1 *7413:Q *1176:7 15.0271
2 *1176:7 *1176:10 29.5861
3 *1176:10 *1176:11 24.0167
4 *1176:11 *6620:A3 11.5158
5 *1176:11 *1176:27 45.2031
6 *1176:27 *1176:31 48.5806
7 *1176:31 *6596:A 9.24915
8 *1176:7 *7223:A0 23.7875
*END
*D_NET *1177 0.00691649
*CONN
*I *6598:B1 I *D sky130_fd_sc_hd__o21a_2
*I *7225:A0 I *D sky130_fd_sc_hd__mux2_1
*I *7414:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6598:B1 0.000377746
2 *7225:A0 0.000249658
3 *7414:Q 0
4 *1177:22 0.00179516
5 *1177:19 0.00185683
6 *1177:4 0.000689078
7 *7100:A1 *1177:22 0
8 *7100:B1 *1177:22 0
9 *7221:A0 *1177:22 4.90829e-05
10 *7379:D *6598:B1 0.000741304
11 *7380:D *1177:22 6.80864e-05
12 *7383:CLK *1177:22 0.000170607
13 *7608:A *1177:22 0
14 *442:15 *1177:22 0
15 *445:14 *1177:22 0.000296286
16 *452:18 *7225:A0 1.79672e-05
17 *975:7 *6598:B1 6.99486e-05
18 *1048:19 *1177:22 0
19 *1049:7 *6598:B1 1.43983e-05
20 *1096:1566 *1177:22 0.000311593
21 *1112:20 *7225:A0 3.34802e-05
22 *1114:25 *7225:A0 1.4479e-05
23 *1114:25 *1177:19 0.000160786
*RES
1 *7414:Q *1177:4 9.24915
2 *1177:4 *7225:A0 22.6077
3 *1177:4 *1177:19 15.2304
4 *1177:19 *1177:22 44.1199
5 *1177:22 *6598:B1 21.6192
*END
*D_NET *1178 0.00518367
*CONN
*I *7064:C_N I *D sky130_fd_sc_hd__nor3b_2
*I *7024:A I *D sky130_fd_sc_hd__nand2_1
*I *7044:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7432:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7064:C_N 0.00015717
2 *7024:A 0.000302846
3 *7044:A 6.9516e-05
4 *7432:Q 0.000104112
5 *1178:17 0.000688638
6 *1178:6 0.00040225
7 *6702:B *7044:A 0.000583244
8 *7054:C1 *7024:A 0.000143032
9 *7058:A1 *1178:6 0.000167594
10 *7058:A1 *1178:17 8.52968e-05
11 *7060:A *7044:A 0.000530137
12 *7064:B *7064:C_N 6.50727e-05
13 *470:41 *7024:A 9.11425e-05
14 *634:15 *7064:C_N 0.000494871
15 *813:10 *7024:A 4.58003e-05
16 *829:8 *7024:A 2.97007e-05
17 *840:14 *7024:A 0.000289145
18 *848:5 *7064:C_N 0.000171273
19 *878:5 *7024:A 4.58003e-05
20 *963:17 *1178:6 0
21 *963:17 *1178:17 0
22 *1057:21 *1178:17 9.28327e-05
23 *1125:43 *1178:17 7.65861e-05
24 *1176:27 *7024:A 9.84918e-05
25 *1176:27 *7064:C_N 0.000449119
*RES
1 *7432:Q *1178:6 16.8269
2 *1178:6 *7044:A 20.0186
3 *1178:6 *1178:17 11.315
4 *1178:17 *7024:A 28.8687
5 *1178:17 *7064:C_N 18.4845
*END
*D_NET *1179 0.00302285
*CONN
*I *7101:A I *D sky130_fd_sc_hd__inv_2
*I *7105:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7433:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7101:A 0.000109685
2 *7105:A 0.000281191
3 *7433:Q 4.22122e-05
4 *1179:6 0.000433088
5 *6743:B *7105:A 0.000215155
6 *7102:A *7101:A 0.000116971
7 *599:7 *7105:A 0.000147325
8 *818:20 *7101:A 5.77352e-05
9 *818:20 *1179:6 0.000104731
10 *818:27 *7101:A 0.000148145
11 *835:25 *7101:A 4.06401e-05
12 *835:25 *1179:6 4.61732e-05
13 *880:5 *7105:A 0.00112225
14 *894:17 *7101:A 2.65667e-05
15 *897:29 *7101:A 6.50586e-05
16 *1105:27 *7105:A 6.59279e-05
*RES
1 *7433:Q *1179:6 15.5811
2 *1179:6 *7105:A 27.8313
3 *1179:6 *7101:A 18.3808
*END
*D_NET *1180 0.0013216
*CONN
*I *7150:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7147:C_N I *D sky130_fd_sc_hd__nor3b_4
*I *7434:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7150:A 0.000221985
2 *7147:C_N 0.00019139
3 *7434:Q 0
4 *1180:5 0.000413375
5 *7147:A *7147:C_N 0.000115329
6 *841:6 *7147:C_N 0.000226296
7 *841:6 *7150:A 0.000153225
*RES
1 *7434:Q *1180:5 13.7491
2 *1180:5 *7147:C_N 20.0687
3 *1180:5 *7150:A 19.3535
*END
*D_NET *1181 0.00581028
*CONN
*I *6576:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6598:A2 I *D sky130_fd_sc_hd__o21a_2
*I *6581:A2 I *D sky130_fd_sc_hd__o21a_1
*I *7430:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6576:A 0.000125165
2 *6598:A2 0.000232425
3 *6581:A2 0.000190614
4 *7430:Q 0.00013365
5 *1181:17 0.000816263
6 *1181:6 0.000782938
7 *6581:A2 *6581:A1 0.000222149
8 *6581:A2 *1182:9 0.000201774
9 *479:5 *6576:A 0.000154145
10 *479:16 *6576:A 3.31882e-05
11 *981:8 *6576:A 6.14273e-05
12 *981:8 *1181:17 0.000485312
13 *981:32 *6581:A2 0.000406808
14 *1127:18 *6581:A2 9.80747e-05
15 *1156:40 *1181:6 7.52686e-05
16 *1156:40 *1181:17 1.89331e-05
17 *1175:21 *6576:A 5.05252e-05
18 *1175:36 *6576:A 0.000118568
19 *1175:36 *1181:6 0.000284048
20 *1175:36 *1181:17 0.00116486
21 *1176:27 *6576:A 0.000154145
*RES
1 *7430:Q *1181:6 18.9032
2 *1181:6 *6581:A2 21.7065
3 *1181:6 *1181:17 20.9336
4 *1181:17 *6598:A2 18.3548
5 *1181:17 *6576:A 19.0748
*END
*D_NET *1182 0.0060461
*CONN
*I *6575:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6598:A1 I *D sky130_fd_sc_hd__o21a_2
*I *6581:A1 I *D sky130_fd_sc_hd__o21a_1
*I *7431:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6575:A 0.000194182
2 *6598:A1 0
3 *6581:A1 2.40619e-05
4 *7431:Q 0.000317931
5 *1182:22 0.000864826
6 *1182:9 0.00101264
7 *6581:A2 *6581:A1 0.000222149
8 *6581:A2 *1182:9 0.000201774
9 *6621:C *6575:A 6.08467e-05
10 *7073:A1 *1182:22 2.22923e-05
11 *7378:D *1182:22 3.77804e-05
12 *515:6 *6575:A 0.000378054
13 *515:6 *1182:9 0.000209326
14 *515:6 *1182:22 0.00108878
15 *834:18 *6575:A 0
16 *834:18 *1182:22 1.34066e-05
17 *1000:9 *1182:9 4.97328e-05
18 *1118:9 *6575:A 6.08467e-05
19 *1127:18 *6581:A1 0.000217937
20 *1127:18 *1182:9 0.000213739
21 *1171:32 *6575:A 5.68225e-06
22 *1174:20 *6575:A 0.000169093
23 *1174:28 *1182:9 0.000681021
*RES
1 *7431:Q *1182:9 32.8497
2 *1182:9 *6581:A1 11.6364
3 *1182:9 *1182:22 25.5069
4 *1182:22 *6598:A1 13.7491
5 *1182:22 *6575:A 21.2876
*END