blob: f2eb2809a3604bd0b435cf5e4fb9c06e3f44523b [file] [log] [blame]
*SPEF "ieee 1481-1999"
*DESIGN "Flash"
*DATE "11:11:11 Fri 11 11, 1111"
*VENDOR "OpenRCX"
*PROGRAM "Parallel Extraction"
*VERSION "1.0"
*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE"
*DIVIDER /
*DELIMITER :
*BUS_DELIMITER []
*T_UNIT 1 NS
*C_UNIT 1 PF
*R_UNIT 1 OHM
*L_UNIT 1 HENRY
*NAME_MAP
*1 net106
*2 flash_io0_read
*3 net153
*4 net107
*5 flash_io1_read
*6 net108
*7 net109
*8 net110
*9 net111
*10 net112
*11 net113
*12 net114
*13 net115
*14 net116
*15 net117
*16 net118
*17 net119
*18 sram_addr1[0]
*19 sram_addr1[1]
*20 sram_addr1[2]
*21 sram_addr1[3]
*22 sram_addr1[4]
*23 sram_addr1[5]
*24 sram_addr1[6]
*25 sram_addr1[7]
*26 sram_addr1[8]
*27 sram_clk0
*28 sram_clk1
*29 net154
*30 sram_csb1
*31 net120
*32 net130
*33 net131
*34 net132
*35 net133
*36 net134
*37 net135
*38 net136
*39 net137
*40 net138
*41 net139
*42 net121
*43 net140
*44 net141
*45 net142
*46 net143
*47 net144
*48 net145
*49 net146
*50 net147
*51 net148
*52 net149
*53 net122
*54 net150
*55 net151
*56 net123
*57 net124
*58 net125
*59 net126
*60 net127
*61 net128
*62 net129
*63 sram_dout0[0]
*64 sram_dout0[10]
*65 sram_dout0[11]
*66 sram_dout0[12]
*67 sram_dout0[13]
*68 sram_dout0[14]
*69 sram_dout0[15]
*70 sram_dout0[16]
*71 sram_dout0[17]
*72 sram_dout0[18]
*73 sram_dout0[19]
*74 sram_dout0[1]
*75 sram_dout0[20]
*76 sram_dout0[21]
*77 sram_dout0[22]
*78 sram_dout0[23]
*79 sram_dout0[24]
*80 sram_dout0[25]
*81 sram_dout0[26]
*82 sram_dout0[27]
*83 sram_dout0[28]
*84 sram_dout0[29]
*85 sram_dout0[2]
*86 sram_dout0[30]
*87 sram_dout0[31]
*88 sram_dout0[3]
*89 sram_dout0[4]
*90 sram_dout0[5]
*91 sram_dout0[6]
*92 sram_dout0[7]
*93 sram_dout0[8]
*94 sram_dout0[9]
*95 sram_dout1[0]
*96 sram_dout1[10]
*97 sram_dout1[11]
*98 sram_dout1[12]
*99 sram_dout1[13]
*100 sram_dout1[14]
*101 sram_dout1[15]
*102 sram_dout1[16]
*103 sram_dout1[17]
*104 sram_dout1[18]
*105 sram_dout1[19]
*106 sram_dout1[1]
*107 sram_dout1[20]
*108 sram_dout1[21]
*109 sram_dout1[22]
*110 sram_dout1[23]
*111 sram_dout1[24]
*112 sram_dout1[25]
*113 sram_dout1[26]
*114 sram_dout1[27]
*115 sram_dout1[28]
*116 sram_dout1[29]
*117 sram_dout1[2]
*118 sram_dout1[30]
*119 sram_dout1[31]
*120 sram_dout1[3]
*121 sram_dout1[4]
*122 sram_dout1[5]
*123 sram_dout1[6]
*124 sram_dout1[7]
*125 sram_dout1[8]
*126 sram_dout1[9]
*127 net155
*128 net156
*129 net157
*130 net158
*131 net159
*134 wb_ack_o
*135 wb_adr_i[0]
*136 wb_adr_i[10]
*137 wb_adr_i[11]
*138 wb_adr_i[12]
*139 wb_adr_i[13]
*140 wb_adr_i[14]
*141 wb_adr_i[15]
*142 wb_adr_i[16]
*143 wb_adr_i[17]
*144 wb_adr_i[18]
*145 wb_adr_i[19]
*146 wb_adr_i[1]
*147 wb_adr_i[20]
*148 wb_adr_i[21]
*149 wb_adr_i[22]
*150 wb_adr_i[23]
*151 wb_adr_i[2]
*152 wb_adr_i[3]
*153 wb_adr_i[4]
*154 wb_adr_i[5]
*155 wb_adr_i[6]
*156 wb_adr_i[7]
*157 wb_adr_i[8]
*158 wb_adr_i[9]
*159 wb_clk_i
*160 wb_cyc_i
*161 wb_data_i[0]
*162 wb_data_i[10]
*163 wb_data_i[11]
*164 wb_data_i[12]
*165 wb_data_i[13]
*166 wb_data_i[14]
*167 wb_data_i[15]
*168 wb_data_i[16]
*169 wb_data_i[17]
*170 wb_data_i[18]
*171 wb_data_i[19]
*172 wb_data_i[1]
*173 wb_data_i[20]
*174 wb_data_i[21]
*175 wb_data_i[22]
*176 wb_data_i[23]
*177 wb_data_i[24]
*178 wb_data_i[25]
*179 wb_data_i[26]
*180 wb_data_i[27]
*181 wb_data_i[28]
*182 wb_data_i[29]
*183 wb_data_i[2]
*184 wb_data_i[30]
*185 wb_data_i[31]
*186 wb_data_i[3]
*187 wb_data_i[4]
*188 wb_data_i[5]
*189 wb_data_i[6]
*190 wb_data_i[7]
*191 wb_data_i[8]
*192 wb_data_i[9]
*193 wb_data_o[0]
*194 wb_data_o[10]
*195 wb_data_o[11]
*196 wb_data_o[12]
*197 wb_data_o[13]
*198 wb_data_o[14]
*199 wb_data_o[15]
*200 wb_data_o[16]
*201 wb_data_o[17]
*202 wb_data_o[18]
*203 wb_data_o[19]
*204 wb_data_o[1]
*205 wb_data_o[20]
*206 wb_data_o[21]
*207 wb_data_o[22]
*208 wb_data_o[23]
*209 wb_data_o[24]
*210 wb_data_o[25]
*211 wb_data_o[26]
*212 wb_data_o[27]
*213 wb_data_o[28]
*214 wb_data_o[29]
*215 wb_data_o[2]
*216 wb_data_o[30]
*217 wb_data_o[31]
*218 wb_data_o[3]
*219 wb_data_o[4]
*220 wb_data_o[5]
*221 wb_data_o[6]
*222 wb_data_o[7]
*223 wb_data_o[8]
*224 wb_data_o[9]
*225 net152
*226 wb_rst_i
*227 wb_sel_i[0]
*228 wb_sel_i[1]
*229 wb_sel_i[2]
*230 wb_sel_i[3]
*231 wb_stall_o
*232 wb_stb_i
*233 wb_we_i
*234 _000_
*235 _001_
*236 _002_
*237 _003_
*238 _004_
*239 _005_
*240 _006_
*241 _007_
*242 _008_
*243 _009_
*244 _010_
*245 _011_
*246 _012_
*247 _013_
*248 _014_
*249 _015_
*250 _016_
*251 _017_
*252 _018_
*253 _019_
*254 _020_
*255 _021_
*256 _022_
*257 _023_
*258 _024_
*259 _025_
*260 _026_
*261 _027_
*262 _028_
*263 _029_
*264 _030_
*265 _031_
*266 _032_
*267 _033_
*268 _034_
*269 _035_
*270 _036_
*271 _037_
*272 _038_
*273 _039_
*274 _040_
*275 _041_
*276 _042_
*277 _043_
*278 _044_
*279 _045_
*280 _046_
*281 _047_
*282 _048_
*283 _049_
*284 _050_
*285 _051_
*286 _052_
*287 _053_
*288 _054_
*289 _055_
*290 _056_
*291 _057_
*292 _058_
*293 _059_
*294 _060_
*295 _061_
*296 _062_
*297 _063_
*298 _064_
*299 _065_
*300 _066_
*301 _067_
*302 _068_
*303 _069_
*304 _070_
*305 _071_
*306 _072_
*307 _073_
*308 _074_
*309 _075_
*310 _076_
*311 _077_
*312 _078_
*313 _079_
*314 _080_
*315 _081_
*316 _082_
*317 _083_
*318 _084_
*319 _085_
*320 _086_
*321 _087_
*322 _088_
*323 _089_
*324 _090_
*325 _091_
*326 _092_
*327 _093_
*328 _094_
*329 _095_
*330 _096_
*331 _097_
*332 _098_
*333 _099_
*334 _100_
*335 _101_
*336 _102_
*337 _103_
*338 _104_
*339 _105_
*340 _106_
*341 _107_
*342 _108_
*343 _109_
*344 _110_
*345 _111_
*346 _112_
*347 _113_
*348 _114_
*349 _115_
*350 net1
*351 net10
*352 net100
*353 net101
*354 net102
*355 net103
*356 net104
*357 net105
*358 net11
*359 net12
*360 net13
*361 net14
*362 net15
*363 net16
*364 net17
*365 net18
*366 net19
*367 net2
*368 net20
*369 net21
*370 net22
*371 net23
*372 net24
*373 net25
*374 net26
*375 net27
*376 net28
*377 net29
*378 net3
*379 net30
*380 net31
*381 net32
*382 net33
*383 net34
*384 net35
*385 net36
*386 net37
*387 net38
*388 net39
*389 net4
*390 net40
*391 net41
*392 net42
*393 net43
*394 net44
*395 net45
*396 net46
*397 net47
*398 net48
*399 net49
*400 net5
*401 net50
*402 net51
*403 net52
*404 net53
*405 net54
*406 net55
*407 net56
*408 net57
*409 net58
*410 net59
*411 net6
*412 net60
*413 net61
*414 net62
*415 net63
*416 net64
*417 net65
*418 net66
*419 net67
*420 net68
*421 net69
*422 net7
*423 net70
*424 net71
*425 net72
*426 net73
*427 net74
*428 net75
*429 net76
*430 net77
*431 net78
*432 net79
*433 net8
*434 net80
*435 net81
*436 net82
*437 net83
*438 net84
*439 net85
*440 net86
*441 net87
*442 net88
*443 net89
*444 net9
*445 net90
*446 net91
*447 net92
*448 net93
*449 net94
*450 net95
*451 net96
*452 net97
*453 net98
*454 net99
*455 wbFlashInterface\.currentAddress\[10\]
*456 wbFlashInterface\.currentAddress\[11\]
*457 wbFlashInterface\.currentAddress\[12\]
*458 wbFlashInterface\.currentAddress\[13\]
*459 wbFlashInterface\.currentAddress\[14\]
*460 wbFlashInterface\.currentAddress\[15\]
*461 wbFlashInterface\.currentAddress\[16\]
*462 wbFlashInterface\.currentAddress\[17\]
*463 wbFlashInterface\.currentAddress\[18\]
*464 wbFlashInterface\.currentAddress\[19\]
*465 wbFlashInterface\.currentAddress\[20\]
*466 wbFlashInterface\.currentAddress\[21\]
*467 wbFlashInterface\.currentAddress\[22\]
*468 wbFlashInterface\.currentAddress\[23\]
*469 wbFlashInterface\.currentAddress\[2\]
*470 wbFlashInterface\.currentAddress\[3\]
*471 wbFlashInterface\.currentAddress\[4\]
*472 wbFlashInterface\.currentAddress\[5\]
*473 wbFlashInterface\.currentAddress\[6\]
*474 wbFlashInterface\.currentAddress\[7\]
*475 wbFlashInterface\.currentAddress\[8\]
*476 wbFlashInterface\.currentAddress\[9\]
*477 wbFlashInterface\.state\[0\]
*478 wbFlashInterface\.state\[1\]
*479 FILLER_0_101
*480 FILLER_0_108
*481 FILLER_0_113
*482 FILLER_0_117
*483 FILLER_0_122
*484 FILLER_0_129
*485 FILLER_0_136
*486 FILLER_0_141
*487 FILLER_0_146
*488 FILLER_0_15
*489 FILLER_0_154
*490 FILLER_0_160
*491 FILLER_0_164
*492 FILLER_0_172
*493 FILLER_0_180
*494 FILLER_0_188
*495 FILLER_0_192
*496 FILLER_0_197
*497 FILLER_0_207
*498 FILLER_0_215
*499 FILLER_0_220
*500 FILLER_0_225
*501 FILLER_0_23
*502 FILLER_0_233
*503 FILLER_0_240
*504 FILLER_0_244
*505 FILLER_0_248
*506 FILLER_0_253
*507 FILLER_0_260
*508 FILLER_0_269
*509 FILLER_0_27
*510 FILLER_0_276
*511 FILLER_0_281
*512 FILLER_0_297
*513 FILLER_0_304
*514 FILLER_0_312
*515 FILLER_0_318
*516 FILLER_0_329
*517 FILLER_0_33
*518 FILLER_0_335
*519 FILLER_0_347
*520 FILLER_0_355
*521 FILLER_0_359
*522 FILLER_0_363
*523 FILLER_0_365
*524 FILLER_0_373
*525 FILLER_0_381
*526 FILLER_0_387
*527 FILLER_0_391
*528 FILLER_0_393
*529 FILLER_0_403
*530 FILLER_0_41
*531 FILLER_0_410
*532 FILLER_0_418
*533 FILLER_0_425
*534 FILLER_0_429
*535 FILLER_0_434
*536 FILLER_0_441
*537 FILLER_0_447
*538 FILLER_0_45
*539 FILLER_0_453
*540 FILLER_0_461
*541 FILLER_0_468
*542 FILLER_0_477
*543 FILLER_0_487
*544 FILLER_0_493
*545 FILLER_0_500
*546 FILLER_0_505
*547 FILLER_0_509
*548 FILLER_0_516
*549 FILLER_0_52
*550 FILLER_0_523
*551 FILLER_0_531
*552 FILLER_0_533
*553 FILLER_0_543
*554 FILLER_0_551
*555 FILLER_0_559
*556 FILLER_0_565
*557 FILLER_0_573
*558 FILLER_0_580
*559 FILLER_0_589
*560 FILLER_0_599
*561 FILLER_0_61
*562 FILLER_0_611
*563 FILLER_0_615
*564 FILLER_0_620
*565 FILLER_0_624
*566 FILLER_0_69
*567 FILLER_0_73
*568 FILLER_0_80
*569 FILLER_0_85
*570 FILLER_0_90
*571 FILLER_10_106
*572 FILLER_10_115
*573 FILLER_10_123
*574 FILLER_10_135
*575 FILLER_10_139
*576 FILLER_10_144
*577 FILLER_10_156
*578 FILLER_10_164
*579 FILLER_10_168
*580 FILLER_10_180
*581 FILLER_10_192
*582 FILLER_10_200
*583 FILLER_10_21
*584 FILLER_10_212
*585 FILLER_10_224
*586 FILLER_10_236
*587 FILLER_10_248
*588 FILLER_10_253
*589 FILLER_10_265
*590 FILLER_10_27
*591 FILLER_10_277
*592 FILLER_10_289
*593 FILLER_10_29
*594 FILLER_10_301
*595 FILLER_10_307
*596 FILLER_10_309
*597 FILLER_10_321
*598 FILLER_10_333
*599 FILLER_10_345
*600 FILLER_10_357
*601 FILLER_10_363
*602 FILLER_10_365
*603 FILLER_10_37
*604 FILLER_10_377
*605 FILLER_10_389
*606 FILLER_10_401
*607 FILLER_10_413
*608 FILLER_10_419
*609 FILLER_10_421
*610 FILLER_10_433
*611 FILLER_10_445
*612 FILLER_10_457
*613 FILLER_10_469
*614 FILLER_10_475
*615 FILLER_10_477
*616 FILLER_10_489
*617 FILLER_10_501
*618 FILLER_10_513
*619 FILLER_10_525
*620 FILLER_10_531
*621 FILLER_10_533
*622 FILLER_10_54
*623 FILLER_10_545
*624 FILLER_10_557
*625 FILLER_10_569
*626 FILLER_10_581
*627 FILLER_10_587
*628 FILLER_10_589
*629 FILLER_10_6
*630 FILLER_10_601
*631 FILLER_10_613
*632 FILLER_10_66
*633 FILLER_10_71
*634 FILLER_10_80
*635 FILLER_10_88
*636 FILLER_10_98
*637 FILLER_11_101
*638 FILLER_11_108
*639 FILLER_11_117
*640 FILLER_11_129
*641 FILLER_11_141
*642 FILLER_11_153
*643 FILLER_11_165
*644 FILLER_11_169
*645 FILLER_11_181
*646 FILLER_11_193
*647 FILLER_11_205
*648 FILLER_11_217
*649 FILLER_11_223
*650 FILLER_11_225
*651 FILLER_11_23
*652 FILLER_11_237
*653 FILLER_11_249
*654 FILLER_11_261
*655 FILLER_11_273
*656 FILLER_11_279
*657 FILLER_11_281
*658 FILLER_11_293
*659 FILLER_11_3
*660 FILLER_11_305
*661 FILLER_11_317
*662 FILLER_11_329
*663 FILLER_11_335
*664 FILLER_11_337
*665 FILLER_11_349
*666 FILLER_11_35
*667 FILLER_11_361
*668 FILLER_11_373
*669 FILLER_11_385
*670 FILLER_11_391
*671 FILLER_11_393
*672 FILLER_11_405
*673 FILLER_11_417
*674 FILLER_11_429
*675 FILLER_11_441
*676 FILLER_11_447
*677 FILLER_11_449
*678 FILLER_11_45
*679 FILLER_11_461
*680 FILLER_11_473
*681 FILLER_11_485
*682 FILLER_11_497
*683 FILLER_11_503
*684 FILLER_11_505
*685 FILLER_11_517
*686 FILLER_11_529
*687 FILLER_11_53
*688 FILLER_11_541
*689 FILLER_11_553
*690 FILLER_11_559
*691 FILLER_11_561
*692 FILLER_11_57
*693 FILLER_11_573
*694 FILLER_11_585
*695 FILLER_11_597
*696 FILLER_11_609
*697 FILLER_11_615
*698 FILLER_11_617
*699 FILLER_11_65
*700 FILLER_11_71
*701 FILLER_11_80
*702 FILLER_11_89
*703 FILLER_12_105
*704 FILLER_12_11
*705 FILLER_12_111
*706 FILLER_12_123
*707 FILLER_12_135
*708 FILLER_12_139
*709 FILLER_12_141
*710 FILLER_12_15
*711 FILLER_12_153
*712 FILLER_12_165
*713 FILLER_12_177
*714 FILLER_12_189
*715 FILLER_12_195
*716 FILLER_12_197
*717 FILLER_12_209
*718 FILLER_12_221
*719 FILLER_12_233
*720 FILLER_12_245
*721 FILLER_12_251
*722 FILLER_12_253
*723 FILLER_12_265
*724 FILLER_12_27
*725 FILLER_12_277
*726 FILLER_12_289
*727 FILLER_12_29
*728 FILLER_12_301
*729 FILLER_12_307
*730 FILLER_12_309
*731 FILLER_12_321
*732 FILLER_12_333
*733 FILLER_12_345
*734 FILLER_12_357
*735 FILLER_12_363
*736 FILLER_12_365
*737 FILLER_12_377
*738 FILLER_12_389
*739 FILLER_12_401
*740 FILLER_12_413
*741 FILLER_12_419
*742 FILLER_12_421
*743 FILLER_12_433
*744 FILLER_12_44
*745 FILLER_12_445
*746 FILLER_12_457
*747 FILLER_12_469
*748 FILLER_12_475
*749 FILLER_12_477
*750 FILLER_12_489
*751 FILLER_12_501
*752 FILLER_12_513
*753 FILLER_12_525
*754 FILLER_12_531
*755 FILLER_12_533
*756 FILLER_12_545
*757 FILLER_12_557
*758 FILLER_12_56
*759 FILLER_12_569
*760 FILLER_12_581
*761 FILLER_12_587
*762 FILLER_12_589
*763 FILLER_12_601
*764 FILLER_12_613
*765 FILLER_12_68
*766 FILLER_12_7
*767 FILLER_12_74
*768 FILLER_12_80
*769 FILLER_12_85
*770 FILLER_12_97
*771 FILLER_13_105
*772 FILLER_13_111
*773 FILLER_13_113
*774 FILLER_13_125
*775 FILLER_13_137
*776 FILLER_13_149
*777 FILLER_13_161
*778 FILLER_13_167
*779 FILLER_13_169
*780 FILLER_13_18
*781 FILLER_13_181
*782 FILLER_13_193
*783 FILLER_13_205
*784 FILLER_13_217
*785 FILLER_13_223
*786 FILLER_13_225
*787 FILLER_13_237
*788 FILLER_13_249
*789 FILLER_13_261
*790 FILLER_13_273
*791 FILLER_13_279
*792 FILLER_13_281
*793 FILLER_13_293
*794 FILLER_13_30
*795 FILLER_13_305
*796 FILLER_13_317
*797 FILLER_13_329
*798 FILLER_13_335
*799 FILLER_13_337
*800 FILLER_13_349
*801 FILLER_13_361
*802 FILLER_13_373
*803 FILLER_13_385
*804 FILLER_13_391
*805 FILLER_13_393
*806 FILLER_13_405
*807 FILLER_13_417
*808 FILLER_13_429
*809 FILLER_13_441
*810 FILLER_13_447
*811 FILLER_13_449
*812 FILLER_13_461
*813 FILLER_13_473
*814 FILLER_13_485
*815 FILLER_13_497
*816 FILLER_13_503
*817 FILLER_13_505
*818 FILLER_13_517
*819 FILLER_13_52
*820 FILLER_13_529
*821 FILLER_13_541
*822 FILLER_13_553
*823 FILLER_13_559
*824 FILLER_13_561
*825 FILLER_13_57
*826 FILLER_13_573
*827 FILLER_13_585
*828 FILLER_13_597
*829 FILLER_13_6
*830 FILLER_13_609
*831 FILLER_13_615
*832 FILLER_13_617
*833 FILLER_13_69
*834 FILLER_13_81
*835 FILLER_13_93
*836 FILLER_14_100
*837 FILLER_14_112
*838 FILLER_14_124
*839 FILLER_14_136
*840 FILLER_14_141
*841 FILLER_14_153
*842 FILLER_14_165
*843 FILLER_14_177
*844 FILLER_14_189
*845 FILLER_14_195
*846 FILLER_14_197
*847 FILLER_14_209
*848 FILLER_14_22
*849 FILLER_14_221
*850 FILLER_14_233
*851 FILLER_14_245
*852 FILLER_14_251
*853 FILLER_14_253
*854 FILLER_14_265
*855 FILLER_14_277
*856 FILLER_14_289
*857 FILLER_14_29
*858 FILLER_14_301
*859 FILLER_14_307
*860 FILLER_14_309
*861 FILLER_14_321
*862 FILLER_14_333
*863 FILLER_14_345
*864 FILLER_14_357
*865 FILLER_14_363
*866 FILLER_14_365
*867 FILLER_14_37
*868 FILLER_14_377
*869 FILLER_14_389
*870 FILLER_14_401
*871 FILLER_14_413
*872 FILLER_14_419
*873 FILLER_14_42
*874 FILLER_14_421
*875 FILLER_14_433
*876 FILLER_14_445
*877 FILLER_14_457
*878 FILLER_14_469
*879 FILLER_14_475
*880 FILLER_14_477
*881 FILLER_14_489
*882 FILLER_14_501
*883 FILLER_14_513
*884 FILLER_14_525
*885 FILLER_14_531
*886 FILLER_14_533
*887 FILLER_14_54
*888 FILLER_14_545
*889 FILLER_14_557
*890 FILLER_14_569
*891 FILLER_14_581
*892 FILLER_14_587
*893 FILLER_14_589
*894 FILLER_14_601
*895 FILLER_14_613
*896 FILLER_14_66
*897 FILLER_14_7
*898 FILLER_14_78
*899 FILLER_14_88
*900 FILLER_15_105
*901 FILLER_15_111
*902 FILLER_15_113
*903 FILLER_15_125
*904 FILLER_15_137
*905 FILLER_15_149
*906 FILLER_15_161
*907 FILLER_15_167
*908 FILLER_15_169
*909 FILLER_15_181
*910 FILLER_15_193
*911 FILLER_15_205
*912 FILLER_15_217
*913 FILLER_15_223
*914 FILLER_15_225
*915 FILLER_15_237
*916 FILLER_15_249
*917 FILLER_15_26
*918 FILLER_15_261
*919 FILLER_15_273
*920 FILLER_15_279
*921 FILLER_15_281
*922 FILLER_15_293
*923 FILLER_15_3
*924 FILLER_15_305
*925 FILLER_15_317
*926 FILLER_15_329
*927 FILLER_15_335
*928 FILLER_15_337
*929 FILLER_15_349
*930 FILLER_15_361
*931 FILLER_15_373
*932 FILLER_15_38
*933 FILLER_15_385
*934 FILLER_15_391
*935 FILLER_15_393
*936 FILLER_15_405
*937 FILLER_15_417
*938 FILLER_15_429
*939 FILLER_15_441
*940 FILLER_15_447
*941 FILLER_15_449
*942 FILLER_15_461
*943 FILLER_15_473
*944 FILLER_15_485
*945 FILLER_15_497
*946 FILLER_15_50
*947 FILLER_15_503
*948 FILLER_15_505
*949 FILLER_15_517
*950 FILLER_15_529
*951 FILLER_15_541
*952 FILLER_15_553
*953 FILLER_15_559
*954 FILLER_15_561
*955 FILLER_15_57
*956 FILLER_15_573
*957 FILLER_15_585
*958 FILLER_15_597
*959 FILLER_15_609
*960 FILLER_15_615
*961 FILLER_15_617
*962 FILLER_15_69
*963 FILLER_15_81
*964 FILLER_15_9
*965 FILLER_15_93
*966 FILLER_16_109
*967 FILLER_16_121
*968 FILLER_16_133
*969 FILLER_16_139
*970 FILLER_16_141
*971 FILLER_16_15
*972 FILLER_16_153
*973 FILLER_16_165
*974 FILLER_16_177
*975 FILLER_16_189
*976 FILLER_16_195
*977 FILLER_16_197
*978 FILLER_16_209
*979 FILLER_16_221
*980 FILLER_16_233
*981 FILLER_16_245
*982 FILLER_16_251
*983 FILLER_16_253
*984 FILLER_16_265
*985 FILLER_16_27
*986 FILLER_16_277
*987 FILLER_16_289
*988 FILLER_16_301
*989 FILLER_16_307
*990 FILLER_16_309
*991 FILLER_16_321
*992 FILLER_16_333
*993 FILLER_16_345
*994 FILLER_16_357
*995 FILLER_16_363
*996 FILLER_16_365
*997 FILLER_16_377
*998 FILLER_16_38
*999 FILLER_16_389
*1000 FILLER_16_401
*1001 FILLER_16_413
*1002 FILLER_16_419
*1003 FILLER_16_421
*1004 FILLER_16_433
*1005 FILLER_16_445
*1006 FILLER_16_457
*1007 FILLER_16_469
*1008 FILLER_16_475
*1009 FILLER_16_477
*1010 FILLER_16_489
*1011 FILLER_16_50
*1012 FILLER_16_501
*1013 FILLER_16_513
*1014 FILLER_16_525
*1015 FILLER_16_531
*1016 FILLER_16_533
*1017 FILLER_16_545
*1018 FILLER_16_557
*1019 FILLER_16_569
*1020 FILLER_16_581
*1021 FILLER_16_587
*1022 FILLER_16_589
*1023 FILLER_16_6
*1024 FILLER_16_601
*1025 FILLER_16_613
*1026 FILLER_16_62
*1027 FILLER_16_74
*1028 FILLER_16_82
*1029 FILLER_16_85
*1030 FILLER_16_97
*1031 FILLER_17_105
*1032 FILLER_17_111
*1033 FILLER_17_113
*1034 FILLER_17_125
*1035 FILLER_17_137
*1036 FILLER_17_149
*1037 FILLER_17_161
*1038 FILLER_17_167
*1039 FILLER_17_169
*1040 FILLER_17_18
*1041 FILLER_17_181
*1042 FILLER_17_193
*1043 FILLER_17_205
*1044 FILLER_17_217
*1045 FILLER_17_223
*1046 FILLER_17_225
*1047 FILLER_17_237
*1048 FILLER_17_249
*1049 FILLER_17_25
*1050 FILLER_17_261
*1051 FILLER_17_273
*1052 FILLER_17_279
*1053 FILLER_17_281
*1054 FILLER_17_293
*1055 FILLER_17_305
*1056 FILLER_17_317
*1057 FILLER_17_329
*1058 FILLER_17_335
*1059 FILLER_17_337
*1060 FILLER_17_349
*1061 FILLER_17_361
*1062 FILLER_17_373
*1063 FILLER_17_385
*1064 FILLER_17_391
*1065 FILLER_17_393
*1066 FILLER_17_405
*1067 FILLER_17_417
*1068 FILLER_17_429
*1069 FILLER_17_441
*1070 FILLER_17_447
*1071 FILLER_17_449
*1072 FILLER_17_45
*1073 FILLER_17_461
*1074 FILLER_17_473
*1075 FILLER_17_485
*1076 FILLER_17_497
*1077 FILLER_17_503
*1078 FILLER_17_505
*1079 FILLER_17_517
*1080 FILLER_17_529
*1081 FILLER_17_53
*1082 FILLER_17_541
*1083 FILLER_17_553
*1084 FILLER_17_559
*1085 FILLER_17_561
*1086 FILLER_17_57
*1087 FILLER_17_573
*1088 FILLER_17_585
*1089 FILLER_17_597
*1090 FILLER_17_6
*1091 FILLER_17_609
*1092 FILLER_17_615
*1093 FILLER_17_617
*1094 FILLER_17_69
*1095 FILLER_17_81
*1096 FILLER_17_93
*1097 FILLER_18_109
*1098 FILLER_18_11
*1099 FILLER_18_121
*1100 FILLER_18_133
*1101 FILLER_18_139
*1102 FILLER_18_141
*1103 FILLER_18_15
*1104 FILLER_18_153
*1105 FILLER_18_165
*1106 FILLER_18_177
*1107 FILLER_18_189
*1108 FILLER_18_195
*1109 FILLER_18_197
*1110 FILLER_18_209
*1111 FILLER_18_221
*1112 FILLER_18_233
*1113 FILLER_18_245
*1114 FILLER_18_251
*1115 FILLER_18_253
*1116 FILLER_18_265
*1117 FILLER_18_27
*1118 FILLER_18_277
*1119 FILLER_18_289
*1120 FILLER_18_29
*1121 FILLER_18_301
*1122 FILLER_18_307
*1123 FILLER_18_309
*1124 FILLER_18_321
*1125 FILLER_18_333
*1126 FILLER_18_345
*1127 FILLER_18_357
*1128 FILLER_18_363
*1129 FILLER_18_365
*1130 FILLER_18_37
*1131 FILLER_18_377
*1132 FILLER_18_389
*1133 FILLER_18_401
*1134 FILLER_18_413
*1135 FILLER_18_419
*1136 FILLER_18_421
*1137 FILLER_18_433
*1138 FILLER_18_445
*1139 FILLER_18_457
*1140 FILLER_18_469
*1141 FILLER_18_475
*1142 FILLER_18_477
*1143 FILLER_18_489
*1144 FILLER_18_49
*1145 FILLER_18_501
*1146 FILLER_18_513
*1147 FILLER_18_525
*1148 FILLER_18_531
*1149 FILLER_18_533
*1150 FILLER_18_545
*1151 FILLER_18_557
*1152 FILLER_18_569
*1153 FILLER_18_581
*1154 FILLER_18_587
*1155 FILLER_18_589
*1156 FILLER_18_601
*1157 FILLER_18_61
*1158 FILLER_18_613
*1159 FILLER_18_7
*1160 FILLER_18_73
*1161 FILLER_18_81
*1162 FILLER_18_85
*1163 FILLER_18_97
*1164 FILLER_19_105
*1165 FILLER_19_111
*1166 FILLER_19_113
*1167 FILLER_19_125
*1168 FILLER_19_137
*1169 FILLER_19_149
*1170 FILLER_19_161
*1171 FILLER_19_167
*1172 FILLER_19_169
*1173 FILLER_19_181
*1174 FILLER_19_193
*1175 FILLER_19_205
*1176 FILLER_19_217
*1177 FILLER_19_223
*1178 FILLER_19_225
*1179 FILLER_19_237
*1180 FILLER_19_24
*1181 FILLER_19_249
*1182 FILLER_19_261
*1183 FILLER_19_273
*1184 FILLER_19_279
*1185 FILLER_19_281
*1186 FILLER_19_293
*1187 FILLER_19_3
*1188 FILLER_19_305
*1189 FILLER_19_317
*1190 FILLER_19_329
*1191 FILLER_19_335
*1192 FILLER_19_337
*1193 FILLER_19_349
*1194 FILLER_19_36
*1195 FILLER_19_361
*1196 FILLER_19_373
*1197 FILLER_19_385
*1198 FILLER_19_391
*1199 FILLER_19_393
*1200 FILLER_19_405
*1201 FILLER_19_417
*1202 FILLER_19_429
*1203 FILLER_19_441
*1204 FILLER_19_447
*1205 FILLER_19_449
*1206 FILLER_19_461
*1207 FILLER_19_473
*1208 FILLER_19_48
*1209 FILLER_19_485
*1210 FILLER_19_497
*1211 FILLER_19_503
*1212 FILLER_19_505
*1213 FILLER_19_517
*1214 FILLER_19_529
*1215 FILLER_19_541
*1216 FILLER_19_553
*1217 FILLER_19_559
*1218 FILLER_19_561
*1219 FILLER_19_57
*1220 FILLER_19_573
*1221 FILLER_19_585
*1222 FILLER_19_597
*1223 FILLER_19_609
*1224 FILLER_19_615
*1225 FILLER_19_617
*1226 FILLER_19_69
*1227 FILLER_19_7
*1228 FILLER_19_81
*1229 FILLER_19_93
*1230 FILLER_1_10
*1231 FILLER_1_101
*1232 FILLER_1_105
*1233 FILLER_1_111
*1234 FILLER_1_113
*1235 FILLER_1_124
*1236 FILLER_1_136
*1237 FILLER_1_142
*1238 FILLER_1_154
*1239 FILLER_1_158
*1240 FILLER_1_166
*1241 FILLER_1_169
*1242 FILLER_1_18
*1243 FILLER_1_184
*1244 FILLER_1_196
*1245 FILLER_1_200
*1246 FILLER_1_211
*1247 FILLER_1_220
*1248 FILLER_1_228
*1249 FILLER_1_240
*1250 FILLER_1_244
*1251 FILLER_1_248
*1252 FILLER_1_260
*1253 FILLER_1_264
*1254 FILLER_1_276
*1255 FILLER_1_281
*1256 FILLER_1_293
*1257 FILLER_1_3
*1258 FILLER_1_301
*1259 FILLER_1_313
*1260 FILLER_1_321
*1261 FILLER_1_327
*1262 FILLER_1_335
*1263 FILLER_1_337
*1264 FILLER_1_343
*1265 FILLER_1_361
*1266 FILLER_1_373
*1267 FILLER_1_378
*1268 FILLER_1_390
*1269 FILLER_1_396
*1270 FILLER_1_408
*1271 FILLER_1_42
*1272 FILLER_1_423
*1273 FILLER_1_435
*1274 FILLER_1_447
*1275 FILLER_1_449
*1276 FILLER_1_455
*1277 FILLER_1_467
*1278 FILLER_1_471
*1279 FILLER_1_48
*1280 FILLER_1_486
*1281 FILLER_1_498
*1282 FILLER_1_508
*1283 FILLER_1_52
*1284 FILLER_1_520
*1285 FILLER_1_528
*1286 FILLER_1_534
*1287 FILLER_1_546
*1288 FILLER_1_550
*1289 FILLER_1_554
*1290 FILLER_1_561
*1291 FILLER_1_566
*1292 FILLER_1_57
*1293 FILLER_1_578
*1294 FILLER_1_582
*1295 FILLER_1_594
*1296 FILLER_1_598
*1297 FILLER_1_612
*1298 FILLER_1_617
*1299 FILLER_1_62
*1300 FILLER_1_621
*1301 FILLER_1_71
*1302 FILLER_1_79
*1303 FILLER_1_84
*1304 FILLER_1_93
*1305 FILLER_20_109
*1306 FILLER_20_11
*1307 FILLER_20_121
*1308 FILLER_20_133
*1309 FILLER_20_139
*1310 FILLER_20_141
*1311 FILLER_20_153
*1312 FILLER_20_165
*1313 FILLER_20_177
*1314 FILLER_20_189
*1315 FILLER_20_195
*1316 FILLER_20_197
*1317 FILLER_20_209
*1318 FILLER_20_21
*1319 FILLER_20_221
*1320 FILLER_20_233
*1321 FILLER_20_245
*1322 FILLER_20_251
*1323 FILLER_20_253
*1324 FILLER_20_265
*1325 FILLER_20_27
*1326 FILLER_20_277
*1327 FILLER_20_289
*1328 FILLER_20_301
*1329 FILLER_20_307
*1330 FILLER_20_309
*1331 FILLER_20_321
*1332 FILLER_20_333
*1333 FILLER_20_345
*1334 FILLER_20_357
*1335 FILLER_20_363
*1336 FILLER_20_365
*1337 FILLER_20_377
*1338 FILLER_20_38
*1339 FILLER_20_389
*1340 FILLER_20_401
*1341 FILLER_20_413
*1342 FILLER_20_419
*1343 FILLER_20_421
*1344 FILLER_20_433
*1345 FILLER_20_445
*1346 FILLER_20_457
*1347 FILLER_20_469
*1348 FILLER_20_475
*1349 FILLER_20_477
*1350 FILLER_20_489
*1351 FILLER_20_50
*1352 FILLER_20_501
*1353 FILLER_20_513
*1354 FILLER_20_525
*1355 FILLER_20_531
*1356 FILLER_20_533
*1357 FILLER_20_545
*1358 FILLER_20_557
*1359 FILLER_20_569
*1360 FILLER_20_581
*1361 FILLER_20_587
*1362 FILLER_20_589
*1363 FILLER_20_601
*1364 FILLER_20_613
*1365 FILLER_20_62
*1366 FILLER_20_7
*1367 FILLER_20_74
*1368 FILLER_20_82
*1369 FILLER_20_85
*1370 FILLER_20_97
*1371 FILLER_21_107
*1372 FILLER_21_111
*1373 FILLER_21_113
*1374 FILLER_21_125
*1375 FILLER_21_13
*1376 FILLER_21_137
*1377 FILLER_21_149
*1378 FILLER_21_161
*1379 FILLER_21_167
*1380 FILLER_21_169
*1381 FILLER_21_181
*1382 FILLER_21_193
*1383 FILLER_21_205
*1384 FILLER_21_217
*1385 FILLER_21_223
*1386 FILLER_21_225
*1387 FILLER_21_237
*1388 FILLER_21_249
*1389 FILLER_21_25
*1390 FILLER_21_261
*1391 FILLER_21_273
*1392 FILLER_21_279
*1393 FILLER_21_281
*1394 FILLER_21_293
*1395 FILLER_21_305
*1396 FILLER_21_317
*1397 FILLER_21_32
*1398 FILLER_21_329
*1399 FILLER_21_335
*1400 FILLER_21_337
*1401 FILLER_21_349
*1402 FILLER_21_361
*1403 FILLER_21_373
*1404 FILLER_21_385
*1405 FILLER_21_391
*1406 FILLER_21_393
*1407 FILLER_21_405
*1408 FILLER_21_417
*1409 FILLER_21_429
*1410 FILLER_21_441
*1411 FILLER_21_447
*1412 FILLER_21_449
*1413 FILLER_21_461
*1414 FILLER_21_473
*1415 FILLER_21_485
*1416 FILLER_21_497
*1417 FILLER_21_503
*1418 FILLER_21_505
*1419 FILLER_21_517
*1420 FILLER_21_52
*1421 FILLER_21_529
*1422 FILLER_21_541
*1423 FILLER_21_553
*1424 FILLER_21_559
*1425 FILLER_21_561
*1426 FILLER_21_57
*1427 FILLER_21_573
*1428 FILLER_21_585
*1429 FILLER_21_597
*1430 FILLER_21_6
*1431 FILLER_21_609
*1432 FILLER_21_615
*1433 FILLER_21_617
*1434 FILLER_21_65
*1435 FILLER_21_71
*1436 FILLER_21_83
*1437 FILLER_21_95
*1438 FILLER_22_101
*1439 FILLER_22_11
*1440 FILLER_22_113
*1441 FILLER_22_125
*1442 FILLER_22_129
*1443 FILLER_22_133
*1444 FILLER_22_139
*1445 FILLER_22_141
*1446 FILLER_22_153
*1447 FILLER_22_165
*1448 FILLER_22_177
*1449 FILLER_22_189
*1450 FILLER_22_195
*1451 FILLER_22_197
*1452 FILLER_22_209
*1453 FILLER_22_21
*1454 FILLER_22_221
*1455 FILLER_22_233
*1456 FILLER_22_245
*1457 FILLER_22_251
*1458 FILLER_22_253
*1459 FILLER_22_265
*1460 FILLER_22_27
*1461 FILLER_22_277
*1462 FILLER_22_289
*1463 FILLER_22_29
*1464 FILLER_22_301
*1465 FILLER_22_307
*1466 FILLER_22_309
*1467 FILLER_22_321
*1468 FILLER_22_33
*1469 FILLER_22_333
*1470 FILLER_22_345
*1471 FILLER_22_357
*1472 FILLER_22_363
*1473 FILLER_22_365
*1474 FILLER_22_37
*1475 FILLER_22_377
*1476 FILLER_22_389
*1477 FILLER_22_401
*1478 FILLER_22_413
*1479 FILLER_22_419
*1480 FILLER_22_421
*1481 FILLER_22_433
*1482 FILLER_22_445
*1483 FILLER_22_457
*1484 FILLER_22_469
*1485 FILLER_22_475
*1486 FILLER_22_477
*1487 FILLER_22_489
*1488 FILLER_22_49
*1489 FILLER_22_501
*1490 FILLER_22_513
*1491 FILLER_22_525
*1492 FILLER_22_531
*1493 FILLER_22_533
*1494 FILLER_22_545
*1495 FILLER_22_557
*1496 FILLER_22_569
*1497 FILLER_22_581
*1498 FILLER_22_587
*1499 FILLER_22_589
*1500 FILLER_22_60
*1501 FILLER_22_601
*1502 FILLER_22_613
*1503 FILLER_22_64
*1504 FILLER_22_7
*1505 FILLER_22_71
*1506 FILLER_22_80
*1507 FILLER_22_85
*1508 FILLER_22_89
*1509 FILLER_23_101
*1510 FILLER_23_109
*1511 FILLER_23_113
*1512 FILLER_23_125
*1513 FILLER_23_137
*1514 FILLER_23_149
*1515 FILLER_23_161
*1516 FILLER_23_167
*1517 FILLER_23_169
*1518 FILLER_23_181
*1519 FILLER_23_193
*1520 FILLER_23_205
*1521 FILLER_23_217
*1522 FILLER_23_223
*1523 FILLER_23_225
*1524 FILLER_23_237
*1525 FILLER_23_249
*1526 FILLER_23_25
*1527 FILLER_23_261
*1528 FILLER_23_273
*1529 FILLER_23_279
*1530 FILLER_23_281
*1531 FILLER_23_293
*1532 FILLER_23_3
*1533 FILLER_23_305
*1534 FILLER_23_317
*1535 FILLER_23_329
*1536 FILLER_23_335
*1537 FILLER_23_337
*1538 FILLER_23_349
*1539 FILLER_23_361
*1540 FILLER_23_37
*1541 FILLER_23_373
*1542 FILLER_23_385
*1543 FILLER_23_391
*1544 FILLER_23_393
*1545 FILLER_23_405
*1546 FILLER_23_417
*1547 FILLER_23_429
*1548 FILLER_23_441
*1549 FILLER_23_447
*1550 FILLER_23_449
*1551 FILLER_23_461
*1552 FILLER_23_473
*1553 FILLER_23_485
*1554 FILLER_23_49
*1555 FILLER_23_497
*1556 FILLER_23_503
*1557 FILLER_23_505
*1558 FILLER_23_517
*1559 FILLER_23_529
*1560 FILLER_23_541
*1561 FILLER_23_55
*1562 FILLER_23_553
*1563 FILLER_23_559
*1564 FILLER_23_561
*1565 FILLER_23_57
*1566 FILLER_23_573
*1567 FILLER_23_585
*1568 FILLER_23_597
*1569 FILLER_23_609
*1570 FILLER_23_615
*1571 FILLER_23_617
*1572 FILLER_23_70
*1573 FILLER_23_77
*1574 FILLER_23_89
*1575 FILLER_24_104
*1576 FILLER_24_11
*1577 FILLER_24_116
*1578 FILLER_24_128
*1579 FILLER_24_141
*1580 FILLER_24_15
*1581 FILLER_24_153
*1582 FILLER_24_165
*1583 FILLER_24_177
*1584 FILLER_24_189
*1585 FILLER_24_195
*1586 FILLER_24_197
*1587 FILLER_24_209
*1588 FILLER_24_221
*1589 FILLER_24_233
*1590 FILLER_24_245
*1591 FILLER_24_251
*1592 FILLER_24_253
*1593 FILLER_24_265
*1594 FILLER_24_27
*1595 FILLER_24_277
*1596 FILLER_24_289
*1597 FILLER_24_301
*1598 FILLER_24_307
*1599 FILLER_24_309
*1600 FILLER_24_32
*1601 FILLER_24_321
*1602 FILLER_24_333
*1603 FILLER_24_345
*1604 FILLER_24_357
*1605 FILLER_24_363
*1606 FILLER_24_365
*1607 FILLER_24_377
*1608 FILLER_24_389
*1609 FILLER_24_401
*1610 FILLER_24_413
*1611 FILLER_24_419
*1612 FILLER_24_421
*1613 FILLER_24_433
*1614 FILLER_24_44
*1615 FILLER_24_445
*1616 FILLER_24_457
*1617 FILLER_24_469
*1618 FILLER_24_475
*1619 FILLER_24_477
*1620 FILLER_24_489
*1621 FILLER_24_501
*1622 FILLER_24_513
*1623 FILLER_24_525
*1624 FILLER_24_531
*1625 FILLER_24_533
*1626 FILLER_24_545
*1627 FILLER_24_557
*1628 FILLER_24_56
*1629 FILLER_24_569
*1630 FILLER_24_581
*1631 FILLER_24_587
*1632 FILLER_24_589
*1633 FILLER_24_601
*1634 FILLER_24_613
*1635 FILLER_24_68
*1636 FILLER_24_7
*1637 FILLER_24_80
*1638 FILLER_24_85
*1639 FILLER_24_91
*1640 FILLER_24_95
*1641 FILLER_25_103
*1642 FILLER_25_111
*1643 FILLER_25_118
*1644 FILLER_25_130
*1645 FILLER_25_135
*1646 FILLER_25_147
*1647 FILLER_25_159
*1648 FILLER_25_167
*1649 FILLER_25_169
*1650 FILLER_25_18
*1651 FILLER_25_181
*1652 FILLER_25_193
*1653 FILLER_25_205
*1654 FILLER_25_217
*1655 FILLER_25_223
*1656 FILLER_25_225
*1657 FILLER_25_237
*1658 FILLER_25_249
*1659 FILLER_25_261
*1660 FILLER_25_273
*1661 FILLER_25_279
*1662 FILLER_25_281
*1663 FILLER_25_293
*1664 FILLER_25_305
*1665 FILLER_25_317
*1666 FILLER_25_329
*1667 FILLER_25_335
*1668 FILLER_25_337
*1669 FILLER_25_349
*1670 FILLER_25_361
*1671 FILLER_25_373
*1672 FILLER_25_385
*1673 FILLER_25_391
*1674 FILLER_25_393
*1675 FILLER_25_405
*1676 FILLER_25_417
*1677 FILLER_25_429
*1678 FILLER_25_441
*1679 FILLER_25_447
*1680 FILLER_25_449
*1681 FILLER_25_46
*1682 FILLER_25_461
*1683 FILLER_25_473
*1684 FILLER_25_485
*1685 FILLER_25_497
*1686 FILLER_25_503
*1687 FILLER_25_505
*1688 FILLER_25_517
*1689 FILLER_25_529
*1690 FILLER_25_54
*1691 FILLER_25_541
*1692 FILLER_25_553
*1693 FILLER_25_559
*1694 FILLER_25_561
*1695 FILLER_25_57
*1696 FILLER_25_573
*1697 FILLER_25_585
*1698 FILLER_25_597
*1699 FILLER_25_6
*1700 FILLER_25_609
*1701 FILLER_25_615
*1702 FILLER_25_617
*1703 FILLER_25_69
*1704 FILLER_25_81
*1705 FILLER_25_94
*1706 FILLER_26_104
*1707 FILLER_26_11
*1708 FILLER_26_116
*1709 FILLER_26_128
*1710 FILLER_26_141
*1711 FILLER_26_15
*1712 FILLER_26_153
*1713 FILLER_26_165
*1714 FILLER_26_177
*1715 FILLER_26_189
*1716 FILLER_26_195
*1717 FILLER_26_197
*1718 FILLER_26_209
*1719 FILLER_26_221
*1720 FILLER_26_233
*1721 FILLER_26_245
*1722 FILLER_26_251
*1723 FILLER_26_253
*1724 FILLER_26_265
*1725 FILLER_26_27
*1726 FILLER_26_277
*1727 FILLER_26_289
*1728 FILLER_26_301
*1729 FILLER_26_307
*1730 FILLER_26_309
*1731 FILLER_26_321
*1732 FILLER_26_333
*1733 FILLER_26_345
*1734 FILLER_26_357
*1735 FILLER_26_363
*1736 FILLER_26_365
*1737 FILLER_26_377
*1738 FILLER_26_38
*1739 FILLER_26_389
*1740 FILLER_26_401
*1741 FILLER_26_413
*1742 FILLER_26_419
*1743 FILLER_26_421
*1744 FILLER_26_433
*1745 FILLER_26_445
*1746 FILLER_26_457
*1747 FILLER_26_469
*1748 FILLER_26_475
*1749 FILLER_26_477
*1750 FILLER_26_489
*1751 FILLER_26_50
*1752 FILLER_26_501
*1753 FILLER_26_513
*1754 FILLER_26_525
*1755 FILLER_26_531
*1756 FILLER_26_533
*1757 FILLER_26_545
*1758 FILLER_26_557
*1759 FILLER_26_569
*1760 FILLER_26_581
*1761 FILLER_26_587
*1762 FILLER_26_589
*1763 FILLER_26_601
*1764 FILLER_26_613
*1765 FILLER_26_62
*1766 FILLER_26_7
*1767 FILLER_26_74
*1768 FILLER_26_82
*1769 FILLER_26_85
*1770 FILLER_26_93
*1771 FILLER_26_97
*1772 FILLER_27_103
*1773 FILLER_27_111
*1774 FILLER_27_113
*1775 FILLER_27_125
*1776 FILLER_27_137
*1777 FILLER_27_149
*1778 FILLER_27_161
*1779 FILLER_27_167
*1780 FILLER_27_169
*1781 FILLER_27_181
*1782 FILLER_27_193
*1783 FILLER_27_205
*1784 FILLER_27_217
*1785 FILLER_27_223
*1786 FILLER_27_225
*1787 FILLER_27_237
*1788 FILLER_27_249
*1789 FILLER_27_261
*1790 FILLER_27_273
*1791 FILLER_27_279
*1792 FILLER_27_281
*1793 FILLER_27_293
*1794 FILLER_27_30
*1795 FILLER_27_305
*1796 FILLER_27_317
*1797 FILLER_27_329
*1798 FILLER_27_335
*1799 FILLER_27_337
*1800 FILLER_27_349
*1801 FILLER_27_361
*1802 FILLER_27_373
*1803 FILLER_27_385
*1804 FILLER_27_391
*1805 FILLER_27_393
*1806 FILLER_27_405
*1807 FILLER_27_417
*1808 FILLER_27_42
*1809 FILLER_27_429
*1810 FILLER_27_441
*1811 FILLER_27_447
*1812 FILLER_27_449
*1813 FILLER_27_461
*1814 FILLER_27_473
*1815 FILLER_27_485
*1816 FILLER_27_497
*1817 FILLER_27_503
*1818 FILLER_27_505
*1819 FILLER_27_517
*1820 FILLER_27_529
*1821 FILLER_27_54
*1822 FILLER_27_541
*1823 FILLER_27_553
*1824 FILLER_27_559
*1825 FILLER_27_561
*1826 FILLER_27_57
*1827 FILLER_27_573
*1828 FILLER_27_585
*1829 FILLER_27_597
*1830 FILLER_27_6
*1831 FILLER_27_609
*1832 FILLER_27_615
*1833 FILLER_27_617
*1834 FILLER_27_69
*1835 FILLER_27_81
*1836 FILLER_28_10
*1837 FILLER_28_109
*1838 FILLER_28_121
*1839 FILLER_28_133
*1840 FILLER_28_139
*1841 FILLER_28_141
*1842 FILLER_28_153
*1843 FILLER_28_165
*1844 FILLER_28_177
*1845 FILLER_28_189
*1846 FILLER_28_195
*1847 FILLER_28_197
*1848 FILLER_28_209
*1849 FILLER_28_221
*1850 FILLER_28_23
*1851 FILLER_28_233
*1852 FILLER_28_245
*1853 FILLER_28_251
*1854 FILLER_28_253
*1855 FILLER_28_265
*1856 FILLER_28_27
*1857 FILLER_28_277
*1858 FILLER_28_289
*1859 FILLER_28_29
*1860 FILLER_28_3
*1861 FILLER_28_301
*1862 FILLER_28_307
*1863 FILLER_28_309
*1864 FILLER_28_321
*1865 FILLER_28_333
*1866 FILLER_28_345
*1867 FILLER_28_357
*1868 FILLER_28_363
*1869 FILLER_28_365
*1870 FILLER_28_377
*1871 FILLER_28_389
*1872 FILLER_28_401
*1873 FILLER_28_41
*1874 FILLER_28_413
*1875 FILLER_28_419
*1876 FILLER_28_421
*1877 FILLER_28_433
*1878 FILLER_28_445
*1879 FILLER_28_457
*1880 FILLER_28_469
*1881 FILLER_28_475
*1882 FILLER_28_477
*1883 FILLER_28_489
*1884 FILLER_28_501
*1885 FILLER_28_513
*1886 FILLER_28_525
*1887 FILLER_28_53
*1888 FILLER_28_531
*1889 FILLER_28_533
*1890 FILLER_28_545
*1891 FILLER_28_557
*1892 FILLER_28_569
*1893 FILLER_28_581
*1894 FILLER_28_587
*1895 FILLER_28_589
*1896 FILLER_28_601
*1897 FILLER_28_613
*1898 FILLER_28_65
*1899 FILLER_28_77
*1900 FILLER_28_83
*1901 FILLER_28_85
*1902 FILLER_28_97
*1903 FILLER_29_105
*1904 FILLER_29_11
*1905 FILLER_29_111
*1906 FILLER_29_113
*1907 FILLER_29_125
*1908 FILLER_29_137
*1909 FILLER_29_149
*1910 FILLER_29_15
*1911 FILLER_29_161
*1912 FILLER_29_167
*1913 FILLER_29_169
*1914 FILLER_29_181
*1915 FILLER_29_193
*1916 FILLER_29_205
*1917 FILLER_29_217
*1918 FILLER_29_223
*1919 FILLER_29_225
*1920 FILLER_29_237
*1921 FILLER_29_249
*1922 FILLER_29_261
*1923 FILLER_29_27
*1924 FILLER_29_273
*1925 FILLER_29_279
*1926 FILLER_29_281
*1927 FILLER_29_293
*1928 FILLER_29_305
*1929 FILLER_29_317
*1930 FILLER_29_329
*1931 FILLER_29_335
*1932 FILLER_29_337
*1933 FILLER_29_349
*1934 FILLER_29_361
*1935 FILLER_29_373
*1936 FILLER_29_385
*1937 FILLER_29_39
*1938 FILLER_29_391
*1939 FILLER_29_393
*1940 FILLER_29_405
*1941 FILLER_29_417
*1942 FILLER_29_429
*1943 FILLER_29_441
*1944 FILLER_29_447
*1945 FILLER_29_449
*1946 FILLER_29_461
*1947 FILLER_29_473
*1948 FILLER_29_485
*1949 FILLER_29_497
*1950 FILLER_29_503
*1951 FILLER_29_505
*1952 FILLER_29_51
*1953 FILLER_29_517
*1954 FILLER_29_529
*1955 FILLER_29_541
*1956 FILLER_29_55
*1957 FILLER_29_553
*1958 FILLER_29_559
*1959 FILLER_29_561
*1960 FILLER_29_57
*1961 FILLER_29_573
*1962 FILLER_29_585
*1963 FILLER_29_597
*1964 FILLER_29_609
*1965 FILLER_29_615
*1966 FILLER_29_617
*1967 FILLER_29_69
*1968 FILLER_29_7
*1969 FILLER_29_81
*1970 FILLER_29_93
*1971 FILLER_2_106
*1972 FILLER_2_11
*1973 FILLER_2_113
*1974 FILLER_2_117
*1975 FILLER_2_125
*1976 FILLER_2_134
*1977 FILLER_2_141
*1978 FILLER_2_15
*1979 FILLER_2_153
*1980 FILLER_2_165
*1981 FILLER_2_177
*1982 FILLER_2_189
*1983 FILLER_2_195
*1984 FILLER_2_197
*1985 FILLER_2_209
*1986 FILLER_2_221
*1987 FILLER_2_233
*1988 FILLER_2_24
*1989 FILLER_2_245
*1990 FILLER_2_251
*1991 FILLER_2_253
*1992 FILLER_2_265
*1993 FILLER_2_277
*1994 FILLER_2_289
*1995 FILLER_2_301
*1996 FILLER_2_307
*1997 FILLER_2_309
*1998 FILLER_2_321
*1999 FILLER_2_333
*2000 FILLER_2_345
*2001 FILLER_2_35
*2002 FILLER_2_357
*2003 FILLER_2_363
*2004 FILLER_2_365
*2005 FILLER_2_377
*2006 FILLER_2_389
*2007 FILLER_2_401
*2008 FILLER_2_413
*2009 FILLER_2_419
*2010 FILLER_2_42
*2011 FILLER_2_421
*2012 FILLER_2_433
*2013 FILLER_2_445
*2014 FILLER_2_457
*2015 FILLER_2_469
*2016 FILLER_2_475
*2017 FILLER_2_477
*2018 FILLER_2_489
*2019 FILLER_2_49
*2020 FILLER_2_501
*2021 FILLER_2_513
*2022 FILLER_2_525
*2023 FILLER_2_531
*2024 FILLER_2_533
*2025 FILLER_2_545
*2026 FILLER_2_557
*2027 FILLER_2_569
*2028 FILLER_2_581
*2029 FILLER_2_587
*2030 FILLER_2_589
*2031 FILLER_2_601
*2032 FILLER_2_613
*2033 FILLER_2_621
*2034 FILLER_2_69
*2035 FILLER_2_7
*2036 FILLER_2_76
*2037 FILLER_2_85
*2038 FILLER_2_94
*2039 FILLER_30_109
*2040 FILLER_30_121
*2041 FILLER_30_133
*2042 FILLER_30_139
*2043 FILLER_30_141
*2044 FILLER_30_153
*2045 FILLER_30_165
*2046 FILLER_30_17
*2047 FILLER_30_177
*2048 FILLER_30_189
*2049 FILLER_30_195
*2050 FILLER_30_197
*2051 FILLER_30_209
*2052 FILLER_30_221
*2053 FILLER_30_233
*2054 FILLER_30_245
*2055 FILLER_30_25
*2056 FILLER_30_251
*2057 FILLER_30_253
*2058 FILLER_30_265
*2059 FILLER_30_277
*2060 FILLER_30_289
*2061 FILLER_30_29
*2062 FILLER_30_301
*2063 FILLER_30_307
*2064 FILLER_30_309
*2065 FILLER_30_321
*2066 FILLER_30_333
*2067 FILLER_30_345
*2068 FILLER_30_357
*2069 FILLER_30_363
*2070 FILLER_30_365
*2071 FILLER_30_377
*2072 FILLER_30_389
*2073 FILLER_30_401
*2074 FILLER_30_41
*2075 FILLER_30_413
*2076 FILLER_30_419
*2077 FILLER_30_421
*2078 FILLER_30_433
*2079 FILLER_30_445
*2080 FILLER_30_457
*2081 FILLER_30_469
*2082 FILLER_30_475
*2083 FILLER_30_477
*2084 FILLER_30_489
*2085 FILLER_30_501
*2086 FILLER_30_513
*2087 FILLER_30_525
*2088 FILLER_30_53
*2089 FILLER_30_531
*2090 FILLER_30_533
*2091 FILLER_30_545
*2092 FILLER_30_557
*2093 FILLER_30_569
*2094 FILLER_30_581
*2095 FILLER_30_587
*2096 FILLER_30_589
*2097 FILLER_30_6
*2098 FILLER_30_601
*2099 FILLER_30_613
*2100 FILLER_30_65
*2101 FILLER_30_77
*2102 FILLER_30_83
*2103 FILLER_30_85
*2104 FILLER_30_97
*2105 FILLER_31_105
*2106 FILLER_31_111
*2107 FILLER_31_116
*2108 FILLER_31_128
*2109 FILLER_31_140
*2110 FILLER_31_152
*2111 FILLER_31_164
*2112 FILLER_31_169
*2113 FILLER_31_181
*2114 FILLER_31_193
*2115 FILLER_31_205
*2116 FILLER_31_217
*2117 FILLER_31_223
*2118 FILLER_31_225
*2119 FILLER_31_237
*2120 FILLER_31_249
*2121 FILLER_31_261
*2122 FILLER_31_273
*2123 FILLER_31_279
*2124 FILLER_31_281
*2125 FILLER_31_29
*2126 FILLER_31_293
*2127 FILLER_31_305
*2128 FILLER_31_317
*2129 FILLER_31_329
*2130 FILLER_31_335
*2131 FILLER_31_337
*2132 FILLER_31_349
*2133 FILLER_31_361
*2134 FILLER_31_373
*2135 FILLER_31_385
*2136 FILLER_31_391
*2137 FILLER_31_393
*2138 FILLER_31_405
*2139 FILLER_31_41
*2140 FILLER_31_417
*2141 FILLER_31_429
*2142 FILLER_31_441
*2143 FILLER_31_447
*2144 FILLER_31_449
*2145 FILLER_31_461
*2146 FILLER_31_473
*2147 FILLER_31_485
*2148 FILLER_31_497
*2149 FILLER_31_503
*2150 FILLER_31_505
*2151 FILLER_31_517
*2152 FILLER_31_529
*2153 FILLER_31_53
*2154 FILLER_31_541
*2155 FILLER_31_553
*2156 FILLER_31_559
*2157 FILLER_31_561
*2158 FILLER_31_57
*2159 FILLER_31_573
*2160 FILLER_31_585
*2161 FILLER_31_597
*2162 FILLER_31_609
*2163 FILLER_31_615
*2164 FILLER_31_617
*2165 FILLER_31_69
*2166 FILLER_31_7
*2167 FILLER_31_81
*2168 FILLER_31_93
*2169 FILLER_32_109
*2170 FILLER_32_12
*2171 FILLER_32_121
*2172 FILLER_32_133
*2173 FILLER_32_139
*2174 FILLER_32_141
*2175 FILLER_32_153
*2176 FILLER_32_165
*2177 FILLER_32_177
*2178 FILLER_32_189
*2179 FILLER_32_195
*2180 FILLER_32_197
*2181 FILLER_32_209
*2182 FILLER_32_22
*2183 FILLER_32_221
*2184 FILLER_32_233
*2185 FILLER_32_245
*2186 FILLER_32_251
*2187 FILLER_32_253
*2188 FILLER_32_265
*2189 FILLER_32_277
*2190 FILLER_32_289
*2191 FILLER_32_29
*2192 FILLER_32_301
*2193 FILLER_32_307
*2194 FILLER_32_309
*2195 FILLER_32_321
*2196 FILLER_32_333
*2197 FILLER_32_345
*2198 FILLER_32_357
*2199 FILLER_32_36
*2200 FILLER_32_363
*2201 FILLER_32_365
*2202 FILLER_32_377
*2203 FILLER_32_389
*2204 FILLER_32_401
*2205 FILLER_32_413
*2206 FILLER_32_419
*2207 FILLER_32_421
*2208 FILLER_32_433
*2209 FILLER_32_445
*2210 FILLER_32_457
*2211 FILLER_32_469
*2212 FILLER_32_475
*2213 FILLER_32_477
*2214 FILLER_32_48
*2215 FILLER_32_489
*2216 FILLER_32_501
*2217 FILLER_32_513
*2218 FILLER_32_525
*2219 FILLER_32_531
*2220 FILLER_32_533
*2221 FILLER_32_545
*2222 FILLER_32_557
*2223 FILLER_32_569
*2224 FILLER_32_581
*2225 FILLER_32_587
*2226 FILLER_32_589
*2227 FILLER_32_6
*2228 FILLER_32_60
*2229 FILLER_32_601
*2230 FILLER_32_613
*2231 FILLER_32_72
*2232 FILLER_32_85
*2233 FILLER_32_97
*2234 FILLER_33_105
*2235 FILLER_33_111
*2236 FILLER_33_113
*2237 FILLER_33_117
*2238 FILLER_33_129
*2239 FILLER_33_13
*2240 FILLER_33_141
*2241 FILLER_33_153
*2242 FILLER_33_165
*2243 FILLER_33_169
*2244 FILLER_33_181
*2245 FILLER_33_193
*2246 FILLER_33_205
*2247 FILLER_33_217
*2248 FILLER_33_223
*2249 FILLER_33_225
*2250 FILLER_33_23
*2251 FILLER_33_237
*2252 FILLER_33_249
*2253 FILLER_33_261
*2254 FILLER_33_273
*2255 FILLER_33_279
*2256 FILLER_33_281
*2257 FILLER_33_293
*2258 FILLER_33_305
*2259 FILLER_33_317
*2260 FILLER_33_329
*2261 FILLER_33_335
*2262 FILLER_33_337
*2263 FILLER_33_349
*2264 FILLER_33_361
*2265 FILLER_33_373
*2266 FILLER_33_385
*2267 FILLER_33_391
*2268 FILLER_33_393
*2269 FILLER_33_405
*2270 FILLER_33_417
*2271 FILLER_33_429
*2272 FILLER_33_43
*2273 FILLER_33_441
*2274 FILLER_33_447
*2275 FILLER_33_449
*2276 FILLER_33_461
*2277 FILLER_33_473
*2278 FILLER_33_485
*2279 FILLER_33_497
*2280 FILLER_33_503
*2281 FILLER_33_505
*2282 FILLER_33_517
*2283 FILLER_33_529
*2284 FILLER_33_541
*2285 FILLER_33_55
*2286 FILLER_33_553
*2287 FILLER_33_559
*2288 FILLER_33_561
*2289 FILLER_33_57
*2290 FILLER_33_573
*2291 FILLER_33_585
*2292 FILLER_33_597
*2293 FILLER_33_609
*2294 FILLER_33_615
*2295 FILLER_33_617
*2296 FILLER_33_69
*2297 FILLER_33_7
*2298 FILLER_33_81
*2299 FILLER_33_93
*2300 FILLER_34_109
*2301 FILLER_34_121
*2302 FILLER_34_133
*2303 FILLER_34_139
*2304 FILLER_34_141
*2305 FILLER_34_153
*2306 FILLER_34_165
*2307 FILLER_34_177
*2308 FILLER_34_18
*2309 FILLER_34_189
*2310 FILLER_34_195
*2311 FILLER_34_197
*2312 FILLER_34_209
*2313 FILLER_34_221
*2314 FILLER_34_233
*2315 FILLER_34_24
*2316 FILLER_34_245
*2317 FILLER_34_251
*2318 FILLER_34_253
*2319 FILLER_34_265
*2320 FILLER_34_277
*2321 FILLER_34_289
*2322 FILLER_34_301
*2323 FILLER_34_307
*2324 FILLER_34_309
*2325 FILLER_34_32
*2326 FILLER_34_321
*2327 FILLER_34_333
*2328 FILLER_34_345
*2329 FILLER_34_357
*2330 FILLER_34_363
*2331 FILLER_34_365
*2332 FILLER_34_377
*2333 FILLER_34_389
*2334 FILLER_34_401
*2335 FILLER_34_413
*2336 FILLER_34_419
*2337 FILLER_34_421
*2338 FILLER_34_433
*2339 FILLER_34_44
*2340 FILLER_34_445
*2341 FILLER_34_457
*2342 FILLER_34_469
*2343 FILLER_34_475
*2344 FILLER_34_477
*2345 FILLER_34_489
*2346 FILLER_34_501
*2347 FILLER_34_513
*2348 FILLER_34_525
*2349 FILLER_34_531
*2350 FILLER_34_533
*2351 FILLER_34_545
*2352 FILLER_34_557
*2353 FILLER_34_56
*2354 FILLER_34_569
*2355 FILLER_34_581
*2356 FILLER_34_587
*2357 FILLER_34_589
*2358 FILLER_34_6
*2359 FILLER_34_601
*2360 FILLER_34_613
*2361 FILLER_34_68
*2362 FILLER_34_80
*2363 FILLER_34_85
*2364 FILLER_34_97
*2365 FILLER_35_105
*2366 FILLER_35_111
*2367 FILLER_35_113
*2368 FILLER_35_118
*2369 FILLER_35_130
*2370 FILLER_35_142
*2371 FILLER_35_15
*2372 FILLER_35_154
*2373 FILLER_35_166
*2374 FILLER_35_169
*2375 FILLER_35_181
*2376 FILLER_35_193
*2377 FILLER_35_205
*2378 FILLER_35_217
*2379 FILLER_35_223
*2380 FILLER_35_225
*2381 FILLER_35_237
*2382 FILLER_35_249
*2383 FILLER_35_261
*2384 FILLER_35_27
*2385 FILLER_35_273
*2386 FILLER_35_279
*2387 FILLER_35_281
*2388 FILLER_35_293
*2389 FILLER_35_305
*2390 FILLER_35_317
*2391 FILLER_35_329
*2392 FILLER_35_335
*2393 FILLER_35_337
*2394 FILLER_35_349
*2395 FILLER_35_361
*2396 FILLER_35_373
*2397 FILLER_35_385
*2398 FILLER_35_391
*2399 FILLER_35_393
*2400 FILLER_35_405
*2401 FILLER_35_417
*2402 FILLER_35_429
*2403 FILLER_35_441
*2404 FILLER_35_447
*2405 FILLER_35_449
*2406 FILLER_35_461
*2407 FILLER_35_47
*2408 FILLER_35_473
*2409 FILLER_35_485
*2410 FILLER_35_497
*2411 FILLER_35_503
*2412 FILLER_35_505
*2413 FILLER_35_517
*2414 FILLER_35_529
*2415 FILLER_35_541
*2416 FILLER_35_55
*2417 FILLER_35_553
*2418 FILLER_35_559
*2419 FILLER_35_561
*2420 FILLER_35_57
*2421 FILLER_35_573
*2422 FILLER_35_585
*2423 FILLER_35_597
*2424 FILLER_35_609
*2425 FILLER_35_615
*2426 FILLER_35_617
*2427 FILLER_35_69
*2428 FILLER_35_7
*2429 FILLER_35_81
*2430 FILLER_35_93
*2431 FILLER_36_109
*2432 FILLER_36_121
*2433 FILLER_36_13
*2434 FILLER_36_133
*2435 FILLER_36_139
*2436 FILLER_36_141
*2437 FILLER_36_153
*2438 FILLER_36_165
*2439 FILLER_36_177
*2440 FILLER_36_189
*2441 FILLER_36_195
*2442 FILLER_36_197
*2443 FILLER_36_209
*2444 FILLER_36_221
*2445 FILLER_36_23
*2446 FILLER_36_233
*2447 FILLER_36_245
*2448 FILLER_36_251
*2449 FILLER_36_253
*2450 FILLER_36_265
*2451 FILLER_36_27
*2452 FILLER_36_277
*2453 FILLER_36_289
*2454 FILLER_36_29
*2455 FILLER_36_301
*2456 FILLER_36_307
*2457 FILLER_36_309
*2458 FILLER_36_321
*2459 FILLER_36_333
*2460 FILLER_36_345
*2461 FILLER_36_357
*2462 FILLER_36_363
*2463 FILLER_36_365
*2464 FILLER_36_377
*2465 FILLER_36_389
*2466 FILLER_36_401
*2467 FILLER_36_41
*2468 FILLER_36_413
*2469 FILLER_36_419
*2470 FILLER_36_421
*2471 FILLER_36_433
*2472 FILLER_36_445
*2473 FILLER_36_457
*2474 FILLER_36_469
*2475 FILLER_36_475
*2476 FILLER_36_477
*2477 FILLER_36_489
*2478 FILLER_36_501
*2479 FILLER_36_513
*2480 FILLER_36_525
*2481 FILLER_36_53
*2482 FILLER_36_531
*2483 FILLER_36_533
*2484 FILLER_36_545
*2485 FILLER_36_557
*2486 FILLER_36_569
*2487 FILLER_36_581
*2488 FILLER_36_587
*2489 FILLER_36_589
*2490 FILLER_36_601
*2491 FILLER_36_613
*2492 FILLER_36_65
*2493 FILLER_36_7
*2494 FILLER_36_77
*2495 FILLER_36_83
*2496 FILLER_36_85
*2497 FILLER_36_97
*2498 FILLER_37_105
*2499 FILLER_37_111
*2500 FILLER_37_113
*2501 FILLER_37_125
*2502 FILLER_37_137
*2503 FILLER_37_149
*2504 FILLER_37_161
*2505 FILLER_37_167
*2506 FILLER_37_169
*2507 FILLER_37_181
*2508 FILLER_37_193
*2509 FILLER_37_205
*2510 FILLER_37_217
*2511 FILLER_37_223
*2512 FILLER_37_225
*2513 FILLER_37_237
*2514 FILLER_37_249
*2515 FILLER_37_26
*2516 FILLER_37_261
*2517 FILLER_37_273
*2518 FILLER_37_279
*2519 FILLER_37_281
*2520 FILLER_37_293
*2521 FILLER_37_305
*2522 FILLER_37_317
*2523 FILLER_37_329
*2524 FILLER_37_335
*2525 FILLER_37_337
*2526 FILLER_37_349
*2527 FILLER_37_361
*2528 FILLER_37_373
*2529 FILLER_37_38
*2530 FILLER_37_385
*2531 FILLER_37_391
*2532 FILLER_37_393
*2533 FILLER_37_405
*2534 FILLER_37_417
*2535 FILLER_37_429
*2536 FILLER_37_441
*2537 FILLER_37_447
*2538 FILLER_37_449
*2539 FILLER_37_461
*2540 FILLER_37_473
*2541 FILLER_37_485
*2542 FILLER_37_497
*2543 FILLER_37_50
*2544 FILLER_37_503
*2545 FILLER_37_505
*2546 FILLER_37_517
*2547 FILLER_37_529
*2548 FILLER_37_541
*2549 FILLER_37_553
*2550 FILLER_37_559
*2551 FILLER_37_561
*2552 FILLER_37_57
*2553 FILLER_37_573
*2554 FILLER_37_585
*2555 FILLER_37_597
*2556 FILLER_37_6
*2557 FILLER_37_609
*2558 FILLER_37_615
*2559 FILLER_37_617
*2560 FILLER_37_69
*2561 FILLER_37_81
*2562 FILLER_37_93
*2563 FILLER_38_109
*2564 FILLER_38_121
*2565 FILLER_38_133
*2566 FILLER_38_139
*2567 FILLER_38_141
*2568 FILLER_38_15
*2569 FILLER_38_153
*2570 FILLER_38_165
*2571 FILLER_38_177
*2572 FILLER_38_189
*2573 FILLER_38_195
*2574 FILLER_38_197
*2575 FILLER_38_209
*2576 FILLER_38_221
*2577 FILLER_38_233
*2578 FILLER_38_245
*2579 FILLER_38_251
*2580 FILLER_38_253
*2581 FILLER_38_265
*2582 FILLER_38_27
*2583 FILLER_38_277
*2584 FILLER_38_289
*2585 FILLER_38_29
*2586 FILLER_38_301
*2587 FILLER_38_307
*2588 FILLER_38_309
*2589 FILLER_38_321
*2590 FILLER_38_333
*2591 FILLER_38_345
*2592 FILLER_38_357
*2593 FILLER_38_363
*2594 FILLER_38_365
*2595 FILLER_38_37
*2596 FILLER_38_377
*2597 FILLER_38_389
*2598 FILLER_38_401
*2599 FILLER_38_413
*2600 FILLER_38_419
*2601 FILLER_38_421
*2602 FILLER_38_433
*2603 FILLER_38_445
*2604 FILLER_38_457
*2605 FILLER_38_469
*2606 FILLER_38_475
*2607 FILLER_38_477
*2608 FILLER_38_489
*2609 FILLER_38_49
*2610 FILLER_38_501
*2611 FILLER_38_513
*2612 FILLER_38_525
*2613 FILLER_38_531
*2614 FILLER_38_533
*2615 FILLER_38_545
*2616 FILLER_38_557
*2617 FILLER_38_569
*2618 FILLER_38_581
*2619 FILLER_38_587
*2620 FILLER_38_589
*2621 FILLER_38_6
*2622 FILLER_38_601
*2623 FILLER_38_61
*2624 FILLER_38_613
*2625 FILLER_38_73
*2626 FILLER_38_81
*2627 FILLER_38_85
*2628 FILLER_38_97
*2629 FILLER_39_105
*2630 FILLER_39_111
*2631 FILLER_39_113
*2632 FILLER_39_125
*2633 FILLER_39_137
*2634 FILLER_39_149
*2635 FILLER_39_16
*2636 FILLER_39_161
*2637 FILLER_39_167
*2638 FILLER_39_169
*2639 FILLER_39_181
*2640 FILLER_39_193
*2641 FILLER_39_205
*2642 FILLER_39_217
*2643 FILLER_39_22
*2644 FILLER_39_223
*2645 FILLER_39_225
*2646 FILLER_39_237
*2647 FILLER_39_249
*2648 FILLER_39_26
*2649 FILLER_39_261
*2650 FILLER_39_273
*2651 FILLER_39_279
*2652 FILLER_39_281
*2653 FILLER_39_293
*2654 FILLER_39_305
*2655 FILLER_39_317
*2656 FILLER_39_329
*2657 FILLER_39_335
*2658 FILLER_39_337
*2659 FILLER_39_349
*2660 FILLER_39_36
*2661 FILLER_39_361
*2662 FILLER_39_373
*2663 FILLER_39_385
*2664 FILLER_39_391
*2665 FILLER_39_393
*2666 FILLER_39_405
*2667 FILLER_39_417
*2668 FILLER_39_429
*2669 FILLER_39_441
*2670 FILLER_39_447
*2671 FILLER_39_449
*2672 FILLER_39_461
*2673 FILLER_39_473
*2674 FILLER_39_48
*2675 FILLER_39_485
*2676 FILLER_39_497
*2677 FILLER_39_503
*2678 FILLER_39_505
*2679 FILLER_39_517
*2680 FILLER_39_529
*2681 FILLER_39_541
*2682 FILLER_39_553
*2683 FILLER_39_559
*2684 FILLER_39_561
*2685 FILLER_39_57
*2686 FILLER_39_573
*2687 FILLER_39_585
*2688 FILLER_39_597
*2689 FILLER_39_6
*2690 FILLER_39_609
*2691 FILLER_39_615
*2692 FILLER_39_617
*2693 FILLER_39_69
*2694 FILLER_39_81
*2695 FILLER_39_93
*2696 FILLER_3_11
*2697 FILLER_3_110
*2698 FILLER_3_113
*2699 FILLER_3_124
*2700 FILLER_3_136
*2701 FILLER_3_148
*2702 FILLER_3_15
*2703 FILLER_3_160
*2704 FILLER_3_169
*2705 FILLER_3_181
*2706 FILLER_3_193
*2707 FILLER_3_205
*2708 FILLER_3_217
*2709 FILLER_3_223
*2710 FILLER_3_225
*2711 FILLER_3_23
*2712 FILLER_3_237
*2713 FILLER_3_249
*2714 FILLER_3_261
*2715 FILLER_3_273
*2716 FILLER_3_279
*2717 FILLER_3_281
*2718 FILLER_3_293
*2719 FILLER_3_30
*2720 FILLER_3_305
*2721 FILLER_3_317
*2722 FILLER_3_329
*2723 FILLER_3_335
*2724 FILLER_3_337
*2725 FILLER_3_349
*2726 FILLER_3_361
*2727 FILLER_3_37
*2728 FILLER_3_373
*2729 FILLER_3_385
*2730 FILLER_3_391
*2731 FILLER_3_393
*2732 FILLER_3_405
*2733 FILLER_3_417
*2734 FILLER_3_429
*2735 FILLER_3_44
*2736 FILLER_3_441
*2737 FILLER_3_447
*2738 FILLER_3_449
*2739 FILLER_3_461
*2740 FILLER_3_473
*2741 FILLER_3_485
*2742 FILLER_3_497
*2743 FILLER_3_503
*2744 FILLER_3_505
*2745 FILLER_3_517
*2746 FILLER_3_529
*2747 FILLER_3_541
*2748 FILLER_3_553
*2749 FILLER_3_559
*2750 FILLER_3_561
*2751 FILLER_3_57
*2752 FILLER_3_573
*2753 FILLER_3_585
*2754 FILLER_3_597
*2755 FILLER_3_609
*2756 FILLER_3_615
*2757 FILLER_3_617
*2758 FILLER_3_69
*2759 FILLER_3_7
*2760 FILLER_3_75
*2761 FILLER_3_87
*2762 FILLER_3_98
*2763 FILLER_40_107
*2764 FILLER_40_119
*2765 FILLER_40_131
*2766 FILLER_40_139
*2767 FILLER_40_141
*2768 FILLER_40_153
*2769 FILLER_40_165
*2770 FILLER_40_177
*2771 FILLER_40_189
*2772 FILLER_40_195
*2773 FILLER_40_197
*2774 FILLER_40_209
*2775 FILLER_40_221
*2776 FILLER_40_233
*2777 FILLER_40_24
*2778 FILLER_40_245
*2779 FILLER_40_251
*2780 FILLER_40_253
*2781 FILLER_40_265
*2782 FILLER_40_277
*2783 FILLER_40_289
*2784 FILLER_40_301
*2785 FILLER_40_307
*2786 FILLER_40_309
*2787 FILLER_40_321
*2788 FILLER_40_333
*2789 FILLER_40_345
*2790 FILLER_40_357
*2791 FILLER_40_363
*2792 FILLER_40_365
*2793 FILLER_40_377
*2794 FILLER_40_389
*2795 FILLER_40_401
*2796 FILLER_40_413
*2797 FILLER_40_419
*2798 FILLER_40_421
*2799 FILLER_40_433
*2800 FILLER_40_445
*2801 FILLER_40_45
*2802 FILLER_40_457
*2803 FILLER_40_469
*2804 FILLER_40_475
*2805 FILLER_40_477
*2806 FILLER_40_489
*2807 FILLER_40_501
*2808 FILLER_40_513
*2809 FILLER_40_525
*2810 FILLER_40_531
*2811 FILLER_40_533
*2812 FILLER_40_545
*2813 FILLER_40_557
*2814 FILLER_40_569
*2815 FILLER_40_57
*2816 FILLER_40_581
*2817 FILLER_40_587
*2818 FILLER_40_589
*2819 FILLER_40_601
*2820 FILLER_40_613
*2821 FILLER_40_69
*2822 FILLER_40_7
*2823 FILLER_40_81
*2824 FILLER_40_85
*2825 FILLER_40_91
*2826 FILLER_40_95
*2827 FILLER_41_105
*2828 FILLER_41_111
*2829 FILLER_41_113
*2830 FILLER_41_125
*2831 FILLER_41_137
*2832 FILLER_41_149
*2833 FILLER_41_15
*2834 FILLER_41_161
*2835 FILLER_41_167
*2836 FILLER_41_169
*2837 FILLER_41_181
*2838 FILLER_41_193
*2839 FILLER_41_205
*2840 FILLER_41_217
*2841 FILLER_41_223
*2842 FILLER_41_225
*2843 FILLER_41_237
*2844 FILLER_41_249
*2845 FILLER_41_261
*2846 FILLER_41_27
*2847 FILLER_41_273
*2848 FILLER_41_279
*2849 FILLER_41_281
*2850 FILLER_41_293
*2851 FILLER_41_3
*2852 FILLER_41_305
*2853 FILLER_41_317
*2854 FILLER_41_329
*2855 FILLER_41_335
*2856 FILLER_41_337
*2857 FILLER_41_349
*2858 FILLER_41_361
*2859 FILLER_41_373
*2860 FILLER_41_38
*2861 FILLER_41_385
*2862 FILLER_41_391
*2863 FILLER_41_393
*2864 FILLER_41_405
*2865 FILLER_41_417
*2866 FILLER_41_429
*2867 FILLER_41_441
*2868 FILLER_41_447
*2869 FILLER_41_449
*2870 FILLER_41_46
*2871 FILLER_41_461
*2872 FILLER_41_473
*2873 FILLER_41_485
*2874 FILLER_41_497
*2875 FILLER_41_503
*2876 FILLER_41_505
*2877 FILLER_41_517
*2878 FILLER_41_529
*2879 FILLER_41_54
*2880 FILLER_41_541
*2881 FILLER_41_553
*2882 FILLER_41_559
*2883 FILLER_41_561
*2884 FILLER_41_57
*2885 FILLER_41_573
*2886 FILLER_41_585
*2887 FILLER_41_597
*2888 FILLER_41_609
*2889 FILLER_41_615
*2890 FILLER_41_617
*2891 FILLER_41_69
*2892 FILLER_41_81
*2893 FILLER_41_93
*2894 FILLER_42_109
*2895 FILLER_42_121
*2896 FILLER_42_133
*2897 FILLER_42_139
*2898 FILLER_42_141
*2899 FILLER_42_153
*2900 FILLER_42_165
*2901 FILLER_42_177
*2902 FILLER_42_189
*2903 FILLER_42_195
*2904 FILLER_42_197
*2905 FILLER_42_209
*2906 FILLER_42_22
*2907 FILLER_42_221
*2908 FILLER_42_233
*2909 FILLER_42_245
*2910 FILLER_42_251
*2911 FILLER_42_253
*2912 FILLER_42_265
*2913 FILLER_42_277
*2914 FILLER_42_289
*2915 FILLER_42_301
*2916 FILLER_42_307
*2917 FILLER_42_309
*2918 FILLER_42_321
*2919 FILLER_42_333
*2920 FILLER_42_345
*2921 FILLER_42_35
*2922 FILLER_42_357
*2923 FILLER_42_363
*2924 FILLER_42_365
*2925 FILLER_42_377
*2926 FILLER_42_389
*2927 FILLER_42_401
*2928 FILLER_42_413
*2929 FILLER_42_419
*2930 FILLER_42_421
*2931 FILLER_42_433
*2932 FILLER_42_445
*2933 FILLER_42_457
*2934 FILLER_42_469
*2935 FILLER_42_47
*2936 FILLER_42_475
*2937 FILLER_42_477
*2938 FILLER_42_489
*2939 FILLER_42_501
*2940 FILLER_42_513
*2941 FILLER_42_525
*2942 FILLER_42_531
*2943 FILLER_42_533
*2944 FILLER_42_545
*2945 FILLER_42_557
*2946 FILLER_42_569
*2947 FILLER_42_581
*2948 FILLER_42_587
*2949 FILLER_42_589
*2950 FILLER_42_59
*2951 FILLER_42_601
*2952 FILLER_42_613
*2953 FILLER_42_7
*2954 FILLER_42_71
*2955 FILLER_42_83
*2956 FILLER_42_85
*2957 FILLER_42_93
*2958 FILLER_42_97
*2959 FILLER_43_105
*2960 FILLER_43_111
*2961 FILLER_43_113
*2962 FILLER_43_125
*2963 FILLER_43_137
*2964 FILLER_43_149
*2965 FILLER_43_161
*2966 FILLER_43_167
*2967 FILLER_43_169
*2968 FILLER_43_181
*2969 FILLER_43_193
*2970 FILLER_43_205
*2971 FILLER_43_217
*2972 FILLER_43_223
*2973 FILLER_43_225
*2974 FILLER_43_237
*2975 FILLER_43_249
*2976 FILLER_43_26
*2977 FILLER_43_261
*2978 FILLER_43_273
*2979 FILLER_43_279
*2980 FILLER_43_281
*2981 FILLER_43_293
*2982 FILLER_43_305
*2983 FILLER_43_317
*2984 FILLER_43_329
*2985 FILLER_43_335
*2986 FILLER_43_337
*2987 FILLER_43_349
*2988 FILLER_43_361
*2989 FILLER_43_373
*2990 FILLER_43_385
*2991 FILLER_43_391
*2992 FILLER_43_393
*2993 FILLER_43_405
*2994 FILLER_43_41
*2995 FILLER_43_417
*2996 FILLER_43_429
*2997 FILLER_43_441
*2998 FILLER_43_447
*2999 FILLER_43_449
*3000 FILLER_43_461
*3001 FILLER_43_473
*3002 FILLER_43_485
*3003 FILLER_43_497
*3004 FILLER_43_503
*3005 FILLER_43_505
*3006 FILLER_43_517
*3007 FILLER_43_529
*3008 FILLER_43_53
*3009 FILLER_43_541
*3010 FILLER_43_553
*3011 FILLER_43_559
*3012 FILLER_43_561
*3013 FILLER_43_57
*3014 FILLER_43_573
*3015 FILLER_43_585
*3016 FILLER_43_597
*3017 FILLER_43_6
*3018 FILLER_43_609
*3019 FILLER_43_615
*3020 FILLER_43_617
*3021 FILLER_43_69
*3022 FILLER_43_81
*3023 FILLER_43_93
*3024 FILLER_44_109
*3025 FILLER_44_11
*3026 FILLER_44_121
*3027 FILLER_44_133
*3028 FILLER_44_139
*3029 FILLER_44_141
*3030 FILLER_44_15
*3031 FILLER_44_153
*3032 FILLER_44_165
*3033 FILLER_44_177
*3034 FILLER_44_189
*3035 FILLER_44_195
*3036 FILLER_44_197
*3037 FILLER_44_209
*3038 FILLER_44_221
*3039 FILLER_44_233
*3040 FILLER_44_245
*3041 FILLER_44_251
*3042 FILLER_44_253
*3043 FILLER_44_265
*3044 FILLER_44_27
*3045 FILLER_44_277
*3046 FILLER_44_289
*3047 FILLER_44_29
*3048 FILLER_44_301
*3049 FILLER_44_307
*3050 FILLER_44_309
*3051 FILLER_44_321
*3052 FILLER_44_333
*3053 FILLER_44_345
*3054 FILLER_44_357
*3055 FILLER_44_363
*3056 FILLER_44_365
*3057 FILLER_44_37
*3058 FILLER_44_377
*3059 FILLER_44_389
*3060 FILLER_44_401
*3061 FILLER_44_413
*3062 FILLER_44_419
*3063 FILLER_44_421
*3064 FILLER_44_433
*3065 FILLER_44_445
*3066 FILLER_44_457
*3067 FILLER_44_469
*3068 FILLER_44_475
*3069 FILLER_44_477
*3070 FILLER_44_489
*3071 FILLER_44_501
*3072 FILLER_44_513
*3073 FILLER_44_525
*3074 FILLER_44_531
*3075 FILLER_44_533
*3076 FILLER_44_54
*3077 FILLER_44_545
*3078 FILLER_44_557
*3079 FILLER_44_569
*3080 FILLER_44_581
*3081 FILLER_44_587
*3082 FILLER_44_589
*3083 FILLER_44_601
*3084 FILLER_44_613
*3085 FILLER_44_66
*3086 FILLER_44_7
*3087 FILLER_44_78
*3088 FILLER_44_85
*3089 FILLER_44_93
*3090 FILLER_44_97
*3091 FILLER_45_105
*3092 FILLER_45_111
*3093 FILLER_45_113
*3094 FILLER_45_125
*3095 FILLER_45_137
*3096 FILLER_45_149
*3097 FILLER_45_161
*3098 FILLER_45_167
*3099 FILLER_45_169
*3100 FILLER_45_181
*3101 FILLER_45_193
*3102 FILLER_45_205
*3103 FILLER_45_217
*3104 FILLER_45_22
*3105 FILLER_45_223
*3106 FILLER_45_225
*3107 FILLER_45_237
*3108 FILLER_45_249
*3109 FILLER_45_261
*3110 FILLER_45_273
*3111 FILLER_45_279
*3112 FILLER_45_281
*3113 FILLER_45_293
*3114 FILLER_45_305
*3115 FILLER_45_317
*3116 FILLER_45_329
*3117 FILLER_45_335
*3118 FILLER_45_337
*3119 FILLER_45_34
*3120 FILLER_45_349
*3121 FILLER_45_361
*3122 FILLER_45_373
*3123 FILLER_45_385
*3124 FILLER_45_391
*3125 FILLER_45_393
*3126 FILLER_45_40
*3127 FILLER_45_405
*3128 FILLER_45_417
*3129 FILLER_45_429
*3130 FILLER_45_441
*3131 FILLER_45_447
*3132 FILLER_45_449
*3133 FILLER_45_461
*3134 FILLER_45_473
*3135 FILLER_45_485
*3136 FILLER_45_497
*3137 FILLER_45_503
*3138 FILLER_45_505
*3139 FILLER_45_517
*3140 FILLER_45_52
*3141 FILLER_45_529
*3142 FILLER_45_541
*3143 FILLER_45_553
*3144 FILLER_45_559
*3145 FILLER_45_561
*3146 FILLER_45_57
*3147 FILLER_45_573
*3148 FILLER_45_585
*3149 FILLER_45_597
*3150 FILLER_45_609
*3151 FILLER_45_615
*3152 FILLER_45_617
*3153 FILLER_45_69
*3154 FILLER_45_7
*3155 FILLER_45_81
*3156 FILLER_45_93
*3157 FILLER_46_109
*3158 FILLER_46_121
*3159 FILLER_46_133
*3160 FILLER_46_139
*3161 FILLER_46_141
*3162 FILLER_46_153
*3163 FILLER_46_165
*3164 FILLER_46_177
*3165 FILLER_46_189
*3166 FILLER_46_195
*3167 FILLER_46_197
*3168 FILLER_46_209
*3169 FILLER_46_221
*3170 FILLER_46_233
*3171 FILLER_46_24
*3172 FILLER_46_245
*3173 FILLER_46_251
*3174 FILLER_46_253
*3175 FILLER_46_265
*3176 FILLER_46_277
*3177 FILLER_46_289
*3178 FILLER_46_29
*3179 FILLER_46_3
*3180 FILLER_46_301
*3181 FILLER_46_307
*3182 FILLER_46_309
*3183 FILLER_46_321
*3184 FILLER_46_333
*3185 FILLER_46_345
*3186 FILLER_46_357
*3187 FILLER_46_363
*3188 FILLER_46_365
*3189 FILLER_46_377
*3190 FILLER_46_389
*3191 FILLER_46_401
*3192 FILLER_46_41
*3193 FILLER_46_413
*3194 FILLER_46_419
*3195 FILLER_46_421
*3196 FILLER_46_433
*3197 FILLER_46_445
*3198 FILLER_46_457
*3199 FILLER_46_469
*3200 FILLER_46_475
*3201 FILLER_46_477
*3202 FILLER_46_489
*3203 FILLER_46_501
*3204 FILLER_46_513
*3205 FILLER_46_525
*3206 FILLER_46_53
*3207 FILLER_46_531
*3208 FILLER_46_533
*3209 FILLER_46_545
*3210 FILLER_46_557
*3211 FILLER_46_569
*3212 FILLER_46_581
*3213 FILLER_46_587
*3214 FILLER_46_589
*3215 FILLER_46_601
*3216 FILLER_46_613
*3217 FILLER_46_65
*3218 FILLER_46_7
*3219 FILLER_46_77
*3220 FILLER_46_83
*3221 FILLER_46_85
*3222 FILLER_46_93
*3223 FILLER_46_97
*3224 FILLER_47_105
*3225 FILLER_47_111
*3226 FILLER_47_113
*3227 FILLER_47_125
*3228 FILLER_47_137
*3229 FILLER_47_149
*3230 FILLER_47_15
*3231 FILLER_47_161
*3232 FILLER_47_167
*3233 FILLER_47_169
*3234 FILLER_47_181
*3235 FILLER_47_193
*3236 FILLER_47_205
*3237 FILLER_47_217
*3238 FILLER_47_22
*3239 FILLER_47_223
*3240 FILLER_47_225
*3241 FILLER_47_237
*3242 FILLER_47_249
*3243 FILLER_47_261
*3244 FILLER_47_273
*3245 FILLER_47_279
*3246 FILLER_47_281
*3247 FILLER_47_293
*3248 FILLER_47_30
*3249 FILLER_47_305
*3250 FILLER_47_317
*3251 FILLER_47_329
*3252 FILLER_47_335
*3253 FILLER_47_337
*3254 FILLER_47_349
*3255 FILLER_47_361
*3256 FILLER_47_373
*3257 FILLER_47_385
*3258 FILLER_47_391
*3259 FILLER_47_393
*3260 FILLER_47_405
*3261 FILLER_47_41
*3262 FILLER_47_417
*3263 FILLER_47_429
*3264 FILLER_47_441
*3265 FILLER_47_447
*3266 FILLER_47_449
*3267 FILLER_47_461
*3268 FILLER_47_473
*3269 FILLER_47_485
*3270 FILLER_47_497
*3271 FILLER_47_503
*3272 FILLER_47_505
*3273 FILLER_47_517
*3274 FILLER_47_529
*3275 FILLER_47_53
*3276 FILLER_47_541
*3277 FILLER_47_553
*3278 FILLER_47_559
*3279 FILLER_47_561
*3280 FILLER_47_57
*3281 FILLER_47_573
*3282 FILLER_47_585
*3283 FILLER_47_597
*3284 FILLER_47_6
*3285 FILLER_47_609
*3286 FILLER_47_615
*3287 FILLER_47_617
*3288 FILLER_47_69
*3289 FILLER_47_81
*3290 FILLER_47_93
*3291 FILLER_48_109
*3292 FILLER_48_121
*3293 FILLER_48_133
*3294 FILLER_48_139
*3295 FILLER_48_141
*3296 FILLER_48_153
*3297 FILLER_48_165
*3298 FILLER_48_177
*3299 FILLER_48_189
*3300 FILLER_48_19
*3301 FILLER_48_195
*3302 FILLER_48_197
*3303 FILLER_48_209
*3304 FILLER_48_221
*3305 FILLER_48_233
*3306 FILLER_48_245
*3307 FILLER_48_251
*3308 FILLER_48_253
*3309 FILLER_48_265
*3310 FILLER_48_27
*3311 FILLER_48_277
*3312 FILLER_48_289
*3313 FILLER_48_29
*3314 FILLER_48_301
*3315 FILLER_48_307
*3316 FILLER_48_309
*3317 FILLER_48_321
*3318 FILLER_48_333
*3319 FILLER_48_345
*3320 FILLER_48_35
*3321 FILLER_48_357
*3322 FILLER_48_363
*3323 FILLER_48_365
*3324 FILLER_48_377
*3325 FILLER_48_389
*3326 FILLER_48_401
*3327 FILLER_48_413
*3328 FILLER_48_419
*3329 FILLER_48_421
*3330 FILLER_48_433
*3331 FILLER_48_445
*3332 FILLER_48_457
*3333 FILLER_48_469
*3334 FILLER_48_475
*3335 FILLER_48_477
*3336 FILLER_48_489
*3337 FILLER_48_501
*3338 FILLER_48_513
*3339 FILLER_48_52
*3340 FILLER_48_525
*3341 FILLER_48_531
*3342 FILLER_48_533
*3343 FILLER_48_545
*3344 FILLER_48_557
*3345 FILLER_48_569
*3346 FILLER_48_581
*3347 FILLER_48_587
*3348 FILLER_48_589
*3349 FILLER_48_601
*3350 FILLER_48_613
*3351 FILLER_48_64
*3352 FILLER_48_7
*3353 FILLER_48_76
*3354 FILLER_48_85
*3355 FILLER_48_97
*3356 FILLER_49_102
*3357 FILLER_49_110
*3358 FILLER_49_113
*3359 FILLER_49_12
*3360 FILLER_49_125
*3361 FILLER_49_137
*3362 FILLER_49_149
*3363 FILLER_49_161
*3364 FILLER_49_167
*3365 FILLER_49_169
*3366 FILLER_49_181
*3367 FILLER_49_193
*3368 FILLER_49_205
*3369 FILLER_49_217
*3370 FILLER_49_22
*3371 FILLER_49_223
*3372 FILLER_49_225
*3373 FILLER_49_237
*3374 FILLER_49_249
*3375 FILLER_49_261
*3376 FILLER_49_273
*3377 FILLER_49_279
*3378 FILLER_49_281
*3379 FILLER_49_293
*3380 FILLER_49_305
*3381 FILLER_49_317
*3382 FILLER_49_329
*3383 FILLER_49_335
*3384 FILLER_49_337
*3385 FILLER_49_34
*3386 FILLER_49_349
*3387 FILLER_49_361
*3388 FILLER_49_373
*3389 FILLER_49_385
*3390 FILLER_49_39
*3391 FILLER_49_391
*3392 FILLER_49_393
*3393 FILLER_49_405
*3394 FILLER_49_417
*3395 FILLER_49_429
*3396 FILLER_49_441
*3397 FILLER_49_447
*3398 FILLER_49_449
*3399 FILLER_49_461
*3400 FILLER_49_473
*3401 FILLER_49_485
*3402 FILLER_49_497
*3403 FILLER_49_503
*3404 FILLER_49_505
*3405 FILLER_49_51
*3406 FILLER_49_517
*3407 FILLER_49_529
*3408 FILLER_49_541
*3409 FILLER_49_55
*3410 FILLER_49_553
*3411 FILLER_49_559
*3412 FILLER_49_561
*3413 FILLER_49_57
*3414 FILLER_49_573
*3415 FILLER_49_585
*3416 FILLER_49_597
*3417 FILLER_49_6
*3418 FILLER_49_609
*3419 FILLER_49_615
*3420 FILLER_49_617
*3421 FILLER_49_66
*3422 FILLER_49_78
*3423 FILLER_49_90
*3424 FILLER_4_101
*3425 FILLER_4_105
*3426 FILLER_4_111
*3427 FILLER_4_120
*3428 FILLER_4_128
*3429 FILLER_4_136
*3430 FILLER_4_146
*3431 FILLER_4_15
*3432 FILLER_4_158
*3433 FILLER_4_170
*3434 FILLER_4_182
*3435 FILLER_4_194
*3436 FILLER_4_197
*3437 FILLER_4_20
*3438 FILLER_4_209
*3439 FILLER_4_221
*3440 FILLER_4_233
*3441 FILLER_4_245
*3442 FILLER_4_251
*3443 FILLER_4_253
*3444 FILLER_4_265
*3445 FILLER_4_277
*3446 FILLER_4_289
*3447 FILLER_4_301
*3448 FILLER_4_307
*3449 FILLER_4_309
*3450 FILLER_4_321
*3451 FILLER_4_333
*3452 FILLER_4_345
*3453 FILLER_4_357
*3454 FILLER_4_363
*3455 FILLER_4_365
*3456 FILLER_4_377
*3457 FILLER_4_38
*3458 FILLER_4_389
*3459 FILLER_4_401
*3460 FILLER_4_413
*3461 FILLER_4_419
*3462 FILLER_4_42
*3463 FILLER_4_421
*3464 FILLER_4_433
*3465 FILLER_4_445
*3466 FILLER_4_457
*3467 FILLER_4_46
*3468 FILLER_4_469
*3469 FILLER_4_475
*3470 FILLER_4_477
*3471 FILLER_4_489
*3472 FILLER_4_501
*3473 FILLER_4_513
*3474 FILLER_4_525
*3475 FILLER_4_531
*3476 FILLER_4_533
*3477 FILLER_4_545
*3478 FILLER_4_557
*3479 FILLER_4_569
*3480 FILLER_4_58
*3481 FILLER_4_581
*3482 FILLER_4_587
*3483 FILLER_4_589
*3484 FILLER_4_601
*3485 FILLER_4_613
*3486 FILLER_4_7
*3487 FILLER_4_70
*3488 FILLER_4_82
*3489 FILLER_4_85
*3490 FILLER_4_97
*3491 FILLER_50_109
*3492 FILLER_50_121
*3493 FILLER_50_133
*3494 FILLER_50_139
*3495 FILLER_50_141
*3496 FILLER_50_153
*3497 FILLER_50_165
*3498 FILLER_50_177
*3499 FILLER_50_189
*3500 FILLER_50_195
*3501 FILLER_50_197
*3502 FILLER_50_209
*3503 FILLER_50_221
*3504 FILLER_50_233
*3505 FILLER_50_24
*3506 FILLER_50_245
*3507 FILLER_50_251
*3508 FILLER_50_253
*3509 FILLER_50_265
*3510 FILLER_50_277
*3511 FILLER_50_289
*3512 FILLER_50_29
*3513 FILLER_50_3
*3514 FILLER_50_301
*3515 FILLER_50_307
*3516 FILLER_50_309
*3517 FILLER_50_321
*3518 FILLER_50_333
*3519 FILLER_50_345
*3520 FILLER_50_357
*3521 FILLER_50_363
*3522 FILLER_50_365
*3523 FILLER_50_377
*3524 FILLER_50_38
*3525 FILLER_50_389
*3526 FILLER_50_401
*3527 FILLER_50_413
*3528 FILLER_50_419
*3529 FILLER_50_421
*3530 FILLER_50_433
*3531 FILLER_50_445
*3532 FILLER_50_457
*3533 FILLER_50_469
*3534 FILLER_50_475
*3535 FILLER_50_477
*3536 FILLER_50_489
*3537 FILLER_50_50
*3538 FILLER_50_501
*3539 FILLER_50_513
*3540 FILLER_50_525
*3541 FILLER_50_531
*3542 FILLER_50_533
*3543 FILLER_50_545
*3544 FILLER_50_557
*3545 FILLER_50_569
*3546 FILLER_50_581
*3547 FILLER_50_587
*3548 FILLER_50_589
*3549 FILLER_50_601
*3550 FILLER_50_613
*3551 FILLER_50_62
*3552 FILLER_50_7
*3553 FILLER_50_74
*3554 FILLER_50_82
*3555 FILLER_50_85
*3556 FILLER_50_97
*3557 FILLER_51_102
*3558 FILLER_51_11
*3559 FILLER_51_110
*3560 FILLER_51_113
*3561 FILLER_51_125
*3562 FILLER_51_137
*3563 FILLER_51_149
*3564 FILLER_51_15
*3565 FILLER_51_161
*3566 FILLER_51_167
*3567 FILLER_51_169
*3568 FILLER_51_181
*3569 FILLER_51_193
*3570 FILLER_51_205
*3571 FILLER_51_217
*3572 FILLER_51_223
*3573 FILLER_51_225
*3574 FILLER_51_237
*3575 FILLER_51_249
*3576 FILLER_51_261
*3577 FILLER_51_27
*3578 FILLER_51_273
*3579 FILLER_51_279
*3580 FILLER_51_281
*3581 FILLER_51_293
*3582 FILLER_51_305
*3583 FILLER_51_317
*3584 FILLER_51_329
*3585 FILLER_51_335
*3586 FILLER_51_337
*3587 FILLER_51_349
*3588 FILLER_51_361
*3589 FILLER_51_373
*3590 FILLER_51_385
*3591 FILLER_51_39
*3592 FILLER_51_391
*3593 FILLER_51_393
*3594 FILLER_51_405
*3595 FILLER_51_417
*3596 FILLER_51_429
*3597 FILLER_51_441
*3598 FILLER_51_447
*3599 FILLER_51_449
*3600 FILLER_51_461
*3601 FILLER_51_473
*3602 FILLER_51_485
*3603 FILLER_51_497
*3604 FILLER_51_503
*3605 FILLER_51_505
*3606 FILLER_51_51
*3607 FILLER_51_517
*3608 FILLER_51_529
*3609 FILLER_51_541
*3610 FILLER_51_55
*3611 FILLER_51_553
*3612 FILLER_51_559
*3613 FILLER_51_561
*3614 FILLER_51_57
*3615 FILLER_51_573
*3616 FILLER_51_585
*3617 FILLER_51_597
*3618 FILLER_51_609
*3619 FILLER_51_615
*3620 FILLER_51_617
*3621 FILLER_51_66
*3622 FILLER_51_7
*3623 FILLER_51_78
*3624 FILLER_51_90
*3625 FILLER_52_109
*3626 FILLER_52_121
*3627 FILLER_52_133
*3628 FILLER_52_139
*3629 FILLER_52_14
*3630 FILLER_52_141
*3631 FILLER_52_153
*3632 FILLER_52_165
*3633 FILLER_52_177
*3634 FILLER_52_189
*3635 FILLER_52_195
*3636 FILLER_52_197
*3637 FILLER_52_209
*3638 FILLER_52_221
*3639 FILLER_52_233
*3640 FILLER_52_24
*3641 FILLER_52_245
*3642 FILLER_52_251
*3643 FILLER_52_253
*3644 FILLER_52_265
*3645 FILLER_52_277
*3646 FILLER_52_289
*3647 FILLER_52_29
*3648 FILLER_52_301
*3649 FILLER_52_307
*3650 FILLER_52_309
*3651 FILLER_52_321
*3652 FILLER_52_333
*3653 FILLER_52_345
*3654 FILLER_52_357
*3655 FILLER_52_36
*3656 FILLER_52_363
*3657 FILLER_52_365
*3658 FILLER_52_377
*3659 FILLER_52_389
*3660 FILLER_52_401
*3661 FILLER_52_413
*3662 FILLER_52_419
*3663 FILLER_52_421
*3664 FILLER_52_433
*3665 FILLER_52_445
*3666 FILLER_52_457
*3667 FILLER_52_469
*3668 FILLER_52_475
*3669 FILLER_52_477
*3670 FILLER_52_489
*3671 FILLER_52_501
*3672 FILLER_52_513
*3673 FILLER_52_525
*3674 FILLER_52_531
*3675 FILLER_52_533
*3676 FILLER_52_545
*3677 FILLER_52_557
*3678 FILLER_52_56
*3679 FILLER_52_569
*3680 FILLER_52_581
*3681 FILLER_52_587
*3682 FILLER_52_589
*3683 FILLER_52_6
*3684 FILLER_52_601
*3685 FILLER_52_613
*3686 FILLER_52_68
*3687 FILLER_52_80
*3688 FILLER_52_85
*3689 FILLER_52_97
*3690 FILLER_53_102
*3691 FILLER_53_11
*3692 FILLER_53_110
*3693 FILLER_53_113
*3694 FILLER_53_125
*3695 FILLER_53_137
*3696 FILLER_53_149
*3697 FILLER_53_161
*3698 FILLER_53_167
*3699 FILLER_53_169
*3700 FILLER_53_181
*3701 FILLER_53_193
*3702 FILLER_53_205
*3703 FILLER_53_217
*3704 FILLER_53_223
*3705 FILLER_53_225
*3706 FILLER_53_237
*3707 FILLER_53_249
*3708 FILLER_53_261
*3709 FILLER_53_273
*3710 FILLER_53_279
*3711 FILLER_53_28
*3712 FILLER_53_281
*3713 FILLER_53_293
*3714 FILLER_53_305
*3715 FILLER_53_317
*3716 FILLER_53_329
*3717 FILLER_53_335
*3718 FILLER_53_337
*3719 FILLER_53_349
*3720 FILLER_53_361
*3721 FILLER_53_373
*3722 FILLER_53_385
*3723 FILLER_53_391
*3724 FILLER_53_393
*3725 FILLER_53_405
*3726 FILLER_53_41
*3727 FILLER_53_417
*3728 FILLER_53_429
*3729 FILLER_53_441
*3730 FILLER_53_447
*3731 FILLER_53_449
*3732 FILLER_53_461
*3733 FILLER_53_473
*3734 FILLER_53_485
*3735 FILLER_53_497
*3736 FILLER_53_503
*3737 FILLER_53_505
*3738 FILLER_53_517
*3739 FILLER_53_529
*3740 FILLER_53_53
*3741 FILLER_53_541
*3742 FILLER_53_553
*3743 FILLER_53_559
*3744 FILLER_53_561
*3745 FILLER_53_57
*3746 FILLER_53_573
*3747 FILLER_53_585
*3748 FILLER_53_597
*3749 FILLER_53_609
*3750 FILLER_53_615
*3751 FILLER_53_617
*3752 FILLER_53_66
*3753 FILLER_53_7
*3754 FILLER_53_78
*3755 FILLER_53_90
*3756 FILLER_54_109
*3757 FILLER_54_121
*3758 FILLER_54_133
*3759 FILLER_54_139
*3760 FILLER_54_14
*3761 FILLER_54_141
*3762 FILLER_54_153
*3763 FILLER_54_165
*3764 FILLER_54_177
*3765 FILLER_54_18
*3766 FILLER_54_189
*3767 FILLER_54_195
*3768 FILLER_54_197
*3769 FILLER_54_209
*3770 FILLER_54_221
*3771 FILLER_54_233
*3772 FILLER_54_245
*3773 FILLER_54_251
*3774 FILLER_54_253
*3775 FILLER_54_26
*3776 FILLER_54_265
*3777 FILLER_54_277
*3778 FILLER_54_289
*3779 FILLER_54_29
*3780 FILLER_54_301
*3781 FILLER_54_307
*3782 FILLER_54_309
*3783 FILLER_54_321
*3784 FILLER_54_333
*3785 FILLER_54_345
*3786 FILLER_54_357
*3787 FILLER_54_363
*3788 FILLER_54_365
*3789 FILLER_54_377
*3790 FILLER_54_389
*3791 FILLER_54_401
*3792 FILLER_54_41
*3793 FILLER_54_413
*3794 FILLER_54_419
*3795 FILLER_54_421
*3796 FILLER_54_433
*3797 FILLER_54_445
*3798 FILLER_54_457
*3799 FILLER_54_469
*3800 FILLER_54_475
*3801 FILLER_54_477
*3802 FILLER_54_489
*3803 FILLER_54_501
*3804 FILLER_54_513
*3805 FILLER_54_525
*3806 FILLER_54_53
*3807 FILLER_54_531
*3808 FILLER_54_533
*3809 FILLER_54_545
*3810 FILLER_54_557
*3811 FILLER_54_569
*3812 FILLER_54_581
*3813 FILLER_54_587
*3814 FILLER_54_589
*3815 FILLER_54_6
*3816 FILLER_54_601
*3817 FILLER_54_613
*3818 FILLER_54_65
*3819 FILLER_54_77
*3820 FILLER_54_83
*3821 FILLER_54_85
*3822 FILLER_54_97
*3823 FILLER_55_105
*3824 FILLER_55_111
*3825 FILLER_55_113
*3826 FILLER_55_125
*3827 FILLER_55_137
*3828 FILLER_55_149
*3829 FILLER_55_161
*3830 FILLER_55_167
*3831 FILLER_55_169
*3832 FILLER_55_181
*3833 FILLER_55_19
*3834 FILLER_55_193
*3835 FILLER_55_205
*3836 FILLER_55_217
*3837 FILLER_55_223
*3838 FILLER_55_225
*3839 FILLER_55_237
*3840 FILLER_55_249
*3841 FILLER_55_261
*3842 FILLER_55_273
*3843 FILLER_55_279
*3844 FILLER_55_281
*3845 FILLER_55_293
*3846 FILLER_55_305
*3847 FILLER_55_31
*3848 FILLER_55_317
*3849 FILLER_55_329
*3850 FILLER_55_335
*3851 FILLER_55_337
*3852 FILLER_55_349
*3853 FILLER_55_361
*3854 FILLER_55_373
*3855 FILLER_55_385
*3856 FILLER_55_391
*3857 FILLER_55_393
*3858 FILLER_55_405
*3859 FILLER_55_417
*3860 FILLER_55_429
*3861 FILLER_55_43
*3862 FILLER_55_441
*3863 FILLER_55_447
*3864 FILLER_55_449
*3865 FILLER_55_461
*3866 FILLER_55_473
*3867 FILLER_55_485
*3868 FILLER_55_497
*3869 FILLER_55_503
*3870 FILLER_55_505
*3871 FILLER_55_517
*3872 FILLER_55_529
*3873 FILLER_55_541
*3874 FILLER_55_55
*3875 FILLER_55_553
*3876 FILLER_55_559
*3877 FILLER_55_561
*3878 FILLER_55_57
*3879 FILLER_55_573
*3880 FILLER_55_585
*3881 FILLER_55_597
*3882 FILLER_55_609
*3883 FILLER_55_615
*3884 FILLER_55_617
*3885 FILLER_55_69
*3886 FILLER_55_7
*3887 FILLER_55_81
*3888 FILLER_55_93
*3889 FILLER_56_109
*3890 FILLER_56_121
*3891 FILLER_56_133
*3892 FILLER_56_139
*3893 FILLER_56_141
*3894 FILLER_56_15
*3895 FILLER_56_153
*3896 FILLER_56_165
*3897 FILLER_56_177
*3898 FILLER_56_189
*3899 FILLER_56_195
*3900 FILLER_56_197
*3901 FILLER_56_209
*3902 FILLER_56_221
*3903 FILLER_56_233
*3904 FILLER_56_245
*3905 FILLER_56_251
*3906 FILLER_56_253
*3907 FILLER_56_265
*3908 FILLER_56_27
*3909 FILLER_56_277
*3910 FILLER_56_289
*3911 FILLER_56_29
*3912 FILLER_56_301
*3913 FILLER_56_307
*3914 FILLER_56_309
*3915 FILLER_56_321
*3916 FILLER_56_333
*3917 FILLER_56_345
*3918 FILLER_56_357
*3919 FILLER_56_363
*3920 FILLER_56_365
*3921 FILLER_56_377
*3922 FILLER_56_389
*3923 FILLER_56_401
*3924 FILLER_56_41
*3925 FILLER_56_413
*3926 FILLER_56_419
*3927 FILLER_56_421
*3928 FILLER_56_433
*3929 FILLER_56_445
*3930 FILLER_56_457
*3931 FILLER_56_469
*3932 FILLER_56_475
*3933 FILLER_56_477
*3934 FILLER_56_489
*3935 FILLER_56_501
*3936 FILLER_56_513
*3937 FILLER_56_525
*3938 FILLER_56_53
*3939 FILLER_56_531
*3940 FILLER_56_533
*3941 FILLER_56_545
*3942 FILLER_56_557
*3943 FILLER_56_569
*3944 FILLER_56_581
*3945 FILLER_56_587
*3946 FILLER_56_589
*3947 FILLER_56_6
*3948 FILLER_56_601
*3949 FILLER_56_613
*3950 FILLER_56_65
*3951 FILLER_56_77
*3952 FILLER_56_83
*3953 FILLER_56_85
*3954 FILLER_56_97
*3955 FILLER_57_105
*3956 FILLER_57_11
*3957 FILLER_57_111
*3958 FILLER_57_113
*3959 FILLER_57_125
*3960 FILLER_57_137
*3961 FILLER_57_149
*3962 FILLER_57_15
*3963 FILLER_57_161
*3964 FILLER_57_167
*3965 FILLER_57_169
*3966 FILLER_57_181
*3967 FILLER_57_193
*3968 FILLER_57_205
*3969 FILLER_57_217
*3970 FILLER_57_223
*3971 FILLER_57_225
*3972 FILLER_57_237
*3973 FILLER_57_249
*3974 FILLER_57_261
*3975 FILLER_57_27
*3976 FILLER_57_273
*3977 FILLER_57_279
*3978 FILLER_57_281
*3979 FILLER_57_293
*3980 FILLER_57_305
*3981 FILLER_57_317
*3982 FILLER_57_329
*3983 FILLER_57_335
*3984 FILLER_57_337
*3985 FILLER_57_349
*3986 FILLER_57_361
*3987 FILLER_57_373
*3988 FILLER_57_385
*3989 FILLER_57_39
*3990 FILLER_57_391
*3991 FILLER_57_393
*3992 FILLER_57_405
*3993 FILLER_57_417
*3994 FILLER_57_429
*3995 FILLER_57_441
*3996 FILLER_57_447
*3997 FILLER_57_449
*3998 FILLER_57_461
*3999 FILLER_57_473
*4000 FILLER_57_485
*4001 FILLER_57_497
*4002 FILLER_57_503
*4003 FILLER_57_505
*4004 FILLER_57_51
*4005 FILLER_57_517
*4006 FILLER_57_529
*4007 FILLER_57_541
*4008 FILLER_57_55
*4009 FILLER_57_553
*4010 FILLER_57_559
*4011 FILLER_57_561
*4012 FILLER_57_57
*4013 FILLER_57_573
*4014 FILLER_57_585
*4015 FILLER_57_597
*4016 FILLER_57_609
*4017 FILLER_57_615
*4018 FILLER_57_617
*4019 FILLER_57_69
*4020 FILLER_57_7
*4021 FILLER_57_81
*4022 FILLER_57_93
*4023 FILLER_58_109
*4024 FILLER_58_121
*4025 FILLER_58_133
*4026 FILLER_58_139
*4027 FILLER_58_141
*4028 FILLER_58_15
*4029 FILLER_58_153
*4030 FILLER_58_165
*4031 FILLER_58_177
*4032 FILLER_58_189
*4033 FILLER_58_195
*4034 FILLER_58_197
*4035 FILLER_58_209
*4036 FILLER_58_221
*4037 FILLER_58_233
*4038 FILLER_58_245
*4039 FILLER_58_251
*4040 FILLER_58_253
*4041 FILLER_58_265
*4042 FILLER_58_27
*4043 FILLER_58_277
*4044 FILLER_58_289
*4045 FILLER_58_29
*4046 FILLER_58_3
*4047 FILLER_58_301
*4048 FILLER_58_307
*4049 FILLER_58_309
*4050 FILLER_58_321
*4051 FILLER_58_333
*4052 FILLER_58_345
*4053 FILLER_58_357
*4054 FILLER_58_363
*4055 FILLER_58_365
*4056 FILLER_58_377
*4057 FILLER_58_389
*4058 FILLER_58_401
*4059 FILLER_58_41
*4060 FILLER_58_413
*4061 FILLER_58_419
*4062 FILLER_58_421
*4063 FILLER_58_433
*4064 FILLER_58_445
*4065 FILLER_58_457
*4066 FILLER_58_469
*4067 FILLER_58_475
*4068 FILLER_58_477
*4069 FILLER_58_489
*4070 FILLER_58_501
*4071 FILLER_58_513
*4072 FILLER_58_525
*4073 FILLER_58_53
*4074 FILLER_58_531
*4075 FILLER_58_533
*4076 FILLER_58_545
*4077 FILLER_58_557
*4078 FILLER_58_569
*4079 FILLER_58_581
*4080 FILLER_58_587
*4081 FILLER_58_589
*4082 FILLER_58_601
*4083 FILLER_58_613
*4084 FILLER_58_65
*4085 FILLER_58_77
*4086 FILLER_58_83
*4087 FILLER_58_85
*4088 FILLER_58_97
*4089 FILLER_59_105
*4090 FILLER_59_111
*4091 FILLER_59_113
*4092 FILLER_59_125
*4093 FILLER_59_137
*4094 FILLER_59_149
*4095 FILLER_59_161
*4096 FILLER_59_167
*4097 FILLER_59_169
*4098 FILLER_59_181
*4099 FILLER_59_19
*4100 FILLER_59_193
*4101 FILLER_59_205
*4102 FILLER_59_217
*4103 FILLER_59_223
*4104 FILLER_59_225
*4105 FILLER_59_237
*4106 FILLER_59_249
*4107 FILLER_59_261
*4108 FILLER_59_273
*4109 FILLER_59_279
*4110 FILLER_59_281
*4111 FILLER_59_293
*4112 FILLER_59_305
*4113 FILLER_59_31
*4114 FILLER_59_317
*4115 FILLER_59_329
*4116 FILLER_59_335
*4117 FILLER_59_337
*4118 FILLER_59_349
*4119 FILLER_59_361
*4120 FILLER_59_373
*4121 FILLER_59_385
*4122 FILLER_59_391
*4123 FILLER_59_393
*4124 FILLER_59_405
*4125 FILLER_59_417
*4126 FILLER_59_429
*4127 FILLER_59_43
*4128 FILLER_59_441
*4129 FILLER_59_447
*4130 FILLER_59_449
*4131 FILLER_59_461
*4132 FILLER_59_473
*4133 FILLER_59_485
*4134 FILLER_59_497
*4135 FILLER_59_503
*4136 FILLER_59_505
*4137 FILLER_59_517
*4138 FILLER_59_529
*4139 FILLER_59_541
*4140 FILLER_59_55
*4141 FILLER_59_553
*4142 FILLER_59_559
*4143 FILLER_59_561
*4144 FILLER_59_57
*4145 FILLER_59_573
*4146 FILLER_59_585
*4147 FILLER_59_597
*4148 FILLER_59_609
*4149 FILLER_59_615
*4150 FILLER_59_617
*4151 FILLER_59_69
*4152 FILLER_59_7
*4153 FILLER_59_81
*4154 FILLER_59_93
*4155 FILLER_5_104
*4156 FILLER_5_118
*4157 FILLER_5_122
*4158 FILLER_5_126
*4159 FILLER_5_135
*4160 FILLER_5_144
*4161 FILLER_5_15
*4162 FILLER_5_153
*4163 FILLER_5_165
*4164 FILLER_5_169
*4165 FILLER_5_181
*4166 FILLER_5_19
*4167 FILLER_5_193
*4168 FILLER_5_205
*4169 FILLER_5_217
*4170 FILLER_5_223
*4171 FILLER_5_225
*4172 FILLER_5_23
*4173 FILLER_5_237
*4174 FILLER_5_249
*4175 FILLER_5_261
*4176 FILLER_5_273
*4177 FILLER_5_279
*4178 FILLER_5_281
*4179 FILLER_5_293
*4180 FILLER_5_305
*4181 FILLER_5_317
*4182 FILLER_5_329
*4183 FILLER_5_33
*4184 FILLER_5_335
*4185 FILLER_5_337
*4186 FILLER_5_349
*4187 FILLER_5_361
*4188 FILLER_5_373
*4189 FILLER_5_385
*4190 FILLER_5_391
*4191 FILLER_5_393
*4192 FILLER_5_405
*4193 FILLER_5_417
*4194 FILLER_5_429
*4195 FILLER_5_441
*4196 FILLER_5_447
*4197 FILLER_5_449
*4198 FILLER_5_45
*4199 FILLER_5_461
*4200 FILLER_5_473
*4201 FILLER_5_485
*4202 FILLER_5_497
*4203 FILLER_5_503
*4204 FILLER_5_505
*4205 FILLER_5_517
*4206 FILLER_5_529
*4207 FILLER_5_53
*4208 FILLER_5_541
*4209 FILLER_5_553
*4210 FILLER_5_559
*4211 FILLER_5_561
*4212 FILLER_5_57
*4213 FILLER_5_573
*4214 FILLER_5_585
*4215 FILLER_5_597
*4216 FILLER_5_6
*4217 FILLER_5_609
*4218 FILLER_5_615
*4219 FILLER_5_617
*4220 FILLER_5_72
*4221 FILLER_5_79
*4222 FILLER_5_90
*4223 FILLER_60_109
*4224 FILLER_60_121
*4225 FILLER_60_133
*4226 FILLER_60_139
*4227 FILLER_60_141
*4228 FILLER_60_153
*4229 FILLER_60_165
*4230 FILLER_60_177
*4231 FILLER_60_189
*4232 FILLER_60_19
*4233 FILLER_60_195
*4234 FILLER_60_197
*4235 FILLER_60_209
*4236 FILLER_60_221
*4237 FILLER_60_233
*4238 FILLER_60_245
*4239 FILLER_60_251
*4240 FILLER_60_253
*4241 FILLER_60_265
*4242 FILLER_60_27
*4243 FILLER_60_277
*4244 FILLER_60_289
*4245 FILLER_60_29
*4246 FILLER_60_301
*4247 FILLER_60_307
*4248 FILLER_60_309
*4249 FILLER_60_321
*4250 FILLER_60_333
*4251 FILLER_60_345
*4252 FILLER_60_357
*4253 FILLER_60_363
*4254 FILLER_60_365
*4255 FILLER_60_377
*4256 FILLER_60_389
*4257 FILLER_60_401
*4258 FILLER_60_41
*4259 FILLER_60_413
*4260 FILLER_60_419
*4261 FILLER_60_421
*4262 FILLER_60_433
*4263 FILLER_60_445
*4264 FILLER_60_457
*4265 FILLER_60_469
*4266 FILLER_60_475
*4267 FILLER_60_477
*4268 FILLER_60_489
*4269 FILLER_60_501
*4270 FILLER_60_513
*4271 FILLER_60_525
*4272 FILLER_60_53
*4273 FILLER_60_531
*4274 FILLER_60_533
*4275 FILLER_60_545
*4276 FILLER_60_557
*4277 FILLER_60_569
*4278 FILLER_60_581
*4279 FILLER_60_587
*4280 FILLER_60_589
*4281 FILLER_60_601
*4282 FILLER_60_613
*4283 FILLER_60_65
*4284 FILLER_60_7
*4285 FILLER_60_77
*4286 FILLER_60_83
*4287 FILLER_60_85
*4288 FILLER_60_97
*4289 FILLER_61_105
*4290 FILLER_61_111
*4291 FILLER_61_113
*4292 FILLER_61_125
*4293 FILLER_61_137
*4294 FILLER_61_149
*4295 FILLER_61_15
*4296 FILLER_61_161
*4297 FILLER_61_167
*4298 FILLER_61_169
*4299 FILLER_61_181
*4300 FILLER_61_193
*4301 FILLER_61_205
*4302 FILLER_61_217
*4303 FILLER_61_223
*4304 FILLER_61_225
*4305 FILLER_61_237
*4306 FILLER_61_249
*4307 FILLER_61_261
*4308 FILLER_61_27
*4309 FILLER_61_273
*4310 FILLER_61_279
*4311 FILLER_61_281
*4312 FILLER_61_293
*4313 FILLER_61_3
*4314 FILLER_61_305
*4315 FILLER_61_317
*4316 FILLER_61_329
*4317 FILLER_61_335
*4318 FILLER_61_337
*4319 FILLER_61_349
*4320 FILLER_61_361
*4321 FILLER_61_373
*4322 FILLER_61_385
*4323 FILLER_61_39
*4324 FILLER_61_391
*4325 FILLER_61_393
*4326 FILLER_61_405
*4327 FILLER_61_417
*4328 FILLER_61_429
*4329 FILLER_61_441
*4330 FILLER_61_447
*4331 FILLER_61_449
*4332 FILLER_61_461
*4333 FILLER_61_473
*4334 FILLER_61_485
*4335 FILLER_61_497
*4336 FILLER_61_503
*4337 FILLER_61_505
*4338 FILLER_61_51
*4339 FILLER_61_517
*4340 FILLER_61_529
*4341 FILLER_61_541
*4342 FILLER_61_55
*4343 FILLER_61_553
*4344 FILLER_61_559
*4345 FILLER_61_561
*4346 FILLER_61_57
*4347 FILLER_61_573
*4348 FILLER_61_585
*4349 FILLER_61_597
*4350 FILLER_61_609
*4351 FILLER_61_615
*4352 FILLER_61_617
*4353 FILLER_61_69
*4354 FILLER_61_81
*4355 FILLER_61_93
*4356 FILLER_62_109
*4357 FILLER_62_121
*4358 FILLER_62_133
*4359 FILLER_62_139
*4360 FILLER_62_141
*4361 FILLER_62_153
*4362 FILLER_62_165
*4363 FILLER_62_177
*4364 FILLER_62_189
*4365 FILLER_62_19
*4366 FILLER_62_195
*4367 FILLER_62_197
*4368 FILLER_62_209
*4369 FILLER_62_221
*4370 FILLER_62_233
*4371 FILLER_62_245
*4372 FILLER_62_251
*4373 FILLER_62_253
*4374 FILLER_62_265
*4375 FILLER_62_27
*4376 FILLER_62_277
*4377 FILLER_62_289
*4378 FILLER_62_29
*4379 FILLER_62_301
*4380 FILLER_62_307
*4381 FILLER_62_309
*4382 FILLER_62_321
*4383 FILLER_62_333
*4384 FILLER_62_345
*4385 FILLER_62_357
*4386 FILLER_62_363
*4387 FILLER_62_365
*4388 FILLER_62_377
*4389 FILLER_62_389
*4390 FILLER_62_401
*4391 FILLER_62_41
*4392 FILLER_62_413
*4393 FILLER_62_419
*4394 FILLER_62_421
*4395 FILLER_62_433
*4396 FILLER_62_445
*4397 FILLER_62_457
*4398 FILLER_62_469
*4399 FILLER_62_475
*4400 FILLER_62_477
*4401 FILLER_62_489
*4402 FILLER_62_501
*4403 FILLER_62_513
*4404 FILLER_62_525
*4405 FILLER_62_53
*4406 FILLER_62_531
*4407 FILLER_62_533
*4408 FILLER_62_545
*4409 FILLER_62_557
*4410 FILLER_62_569
*4411 FILLER_62_581
*4412 FILLER_62_587
*4413 FILLER_62_589
*4414 FILLER_62_601
*4415 FILLER_62_613
*4416 FILLER_62_65
*4417 FILLER_62_7
*4418 FILLER_62_77
*4419 FILLER_62_83
*4420 FILLER_62_85
*4421 FILLER_62_97
*4422 FILLER_63_105
*4423 FILLER_63_111
*4424 FILLER_63_113
*4425 FILLER_63_125
*4426 FILLER_63_137
*4427 FILLER_63_149
*4428 FILLER_63_15
*4429 FILLER_63_161
*4430 FILLER_63_167
*4431 FILLER_63_169
*4432 FILLER_63_181
*4433 FILLER_63_193
*4434 FILLER_63_205
*4435 FILLER_63_217
*4436 FILLER_63_223
*4437 FILLER_63_225
*4438 FILLER_63_237
*4439 FILLER_63_249
*4440 FILLER_63_261
*4441 FILLER_63_27
*4442 FILLER_63_273
*4443 FILLER_63_279
*4444 FILLER_63_281
*4445 FILLER_63_293
*4446 FILLER_63_305
*4447 FILLER_63_317
*4448 FILLER_63_329
*4449 FILLER_63_335
*4450 FILLER_63_337
*4451 FILLER_63_349
*4452 FILLER_63_361
*4453 FILLER_63_373
*4454 FILLER_63_385
*4455 FILLER_63_39
*4456 FILLER_63_391
*4457 FILLER_63_393
*4458 FILLER_63_405
*4459 FILLER_63_417
*4460 FILLER_63_429
*4461 FILLER_63_441
*4462 FILLER_63_447
*4463 FILLER_63_449
*4464 FILLER_63_461
*4465 FILLER_63_473
*4466 FILLER_63_485
*4467 FILLER_63_497
*4468 FILLER_63_503
*4469 FILLER_63_505
*4470 FILLER_63_51
*4471 FILLER_63_517
*4472 FILLER_63_529
*4473 FILLER_63_541
*4474 FILLER_63_55
*4475 FILLER_63_553
*4476 FILLER_63_559
*4477 FILLER_63_561
*4478 FILLER_63_57
*4479 FILLER_63_573
*4480 FILLER_63_585
*4481 FILLER_63_597
*4482 FILLER_63_609
*4483 FILLER_63_615
*4484 FILLER_63_617
*4485 FILLER_63_69
*4486 FILLER_63_7
*4487 FILLER_63_81
*4488 FILLER_63_93
*4489 FILLER_64_109
*4490 FILLER_64_113
*4491 FILLER_64_125
*4492 FILLER_64_137
*4493 FILLER_64_141
*4494 FILLER_64_15
*4495 FILLER_64_153
*4496 FILLER_64_165
*4497 FILLER_64_169
*4498 FILLER_64_181
*4499 FILLER_64_193
*4500 FILLER_64_200
*4501 FILLER_64_212
*4502 FILLER_64_225
*4503 FILLER_64_23
*4504 FILLER_64_237
*4505 FILLER_64_249
*4506 FILLER_64_253
*4507 FILLER_64_265
*4508 FILLER_64_27
*4509 FILLER_64_276
*4510 FILLER_64_281
*4511 FILLER_64_293
*4512 FILLER_64_305
*4513 FILLER_64_309
*4514 FILLER_64_32
*4515 FILLER_64_321
*4516 FILLER_64_333
*4517 FILLER_64_337
*4518 FILLER_64_349
*4519 FILLER_64_361
*4520 FILLER_64_365
*4521 FILLER_64_377
*4522 FILLER_64_389
*4523 FILLER_64_393
*4524 FILLER_64_405
*4525 FILLER_64_417
*4526 FILLER_64_421
*4527 FILLER_64_433
*4528 FILLER_64_439
*4529 FILLER_64_44
*4530 FILLER_64_447
*4531 FILLER_64_449
*4532 FILLER_64_461
*4533 FILLER_64_473
*4534 FILLER_64_477
*4535 FILLER_64_489
*4536 FILLER_64_501
*4537 FILLER_64_505
*4538 FILLER_64_517
*4539 FILLER_64_521
*4540 FILLER_64_529
*4541 FILLER_64_533
*4542 FILLER_64_545
*4543 FILLER_64_557
*4544 FILLER_64_561
*4545 FILLER_64_57
*4546 FILLER_64_573
*4547 FILLER_64_585
*4548 FILLER_64_589
*4549 FILLER_64_597
*4550 FILLER_64_602
*4551 FILLER_64_614
*4552 FILLER_64_617
*4553 FILLER_64_69
*4554 FILLER_64_7
*4555 FILLER_64_81
*4556 FILLER_64_85
*4557 FILLER_64_97
*4558 FILLER_6_110
*4559 FILLER_6_122
*4560 FILLER_6_13
*4561 FILLER_6_134
*4562 FILLER_6_151
*4563 FILLER_6_163
*4564 FILLER_6_175
*4565 FILLER_6_187
*4566 FILLER_6_195
*4567 FILLER_6_197
*4568 FILLER_6_20
*4569 FILLER_6_209
*4570 FILLER_6_221
*4571 FILLER_6_233
*4572 FILLER_6_245
*4573 FILLER_6_251
*4574 FILLER_6_253
*4575 FILLER_6_265
*4576 FILLER_6_277
*4577 FILLER_6_289
*4578 FILLER_6_29
*4579 FILLER_6_301
*4580 FILLER_6_307
*4581 FILLER_6_309
*4582 FILLER_6_321
*4583 FILLER_6_333
*4584 FILLER_6_345
*4585 FILLER_6_357
*4586 FILLER_6_36
*4587 FILLER_6_363
*4588 FILLER_6_365
*4589 FILLER_6_377
*4590 FILLER_6_389
*4591 FILLER_6_401
*4592 FILLER_6_413
*4593 FILLER_6_419
*4594 FILLER_6_421
*4595 FILLER_6_43
*4596 FILLER_6_433
*4597 FILLER_6_445
*4598 FILLER_6_457
*4599 FILLER_6_469
*4600 FILLER_6_475
*4601 FILLER_6_477
*4602 FILLER_6_489
*4603 FILLER_6_501
*4604 FILLER_6_513
*4605 FILLER_6_525
*4606 FILLER_6_531
*4607 FILLER_6_533
*4608 FILLER_6_545
*4609 FILLER_6_557
*4610 FILLER_6_569
*4611 FILLER_6_581
*4612 FILLER_6_587
*4613 FILLER_6_589
*4614 FILLER_6_6
*4615 FILLER_6_601
*4616 FILLER_6_613
*4617 FILLER_6_71
*4618 FILLER_6_83
*4619 FILLER_6_85
*4620 FILLER_6_91
*4621 FILLER_6_96
*4622 FILLER_7_101
*4623 FILLER_7_106
*4624 FILLER_7_113
*4625 FILLER_7_125
*4626 FILLER_7_13
*4627 FILLER_7_133
*4628 FILLER_7_146
*4629 FILLER_7_158
*4630 FILLER_7_166
*4631 FILLER_7_169
*4632 FILLER_7_181
*4633 FILLER_7_193
*4634 FILLER_7_205
*4635 FILLER_7_217
*4636 FILLER_7_223
*4637 FILLER_7_225
*4638 FILLER_7_237
*4639 FILLER_7_249
*4640 FILLER_7_261
*4641 FILLER_7_273
*4642 FILLER_7_279
*4643 FILLER_7_281
*4644 FILLER_7_293
*4645 FILLER_7_30
*4646 FILLER_7_305
*4647 FILLER_7_317
*4648 FILLER_7_329
*4649 FILLER_7_335
*4650 FILLER_7_337
*4651 FILLER_7_349
*4652 FILLER_7_361
*4653 FILLER_7_373
*4654 FILLER_7_385
*4655 FILLER_7_391
*4656 FILLER_7_393
*4657 FILLER_7_40
*4658 FILLER_7_405
*4659 FILLER_7_417
*4660 FILLER_7_429
*4661 FILLER_7_441
*4662 FILLER_7_447
*4663 FILLER_7_449
*4664 FILLER_7_461
*4665 FILLER_7_473
*4666 FILLER_7_485
*4667 FILLER_7_497
*4668 FILLER_7_503
*4669 FILLER_7_505
*4670 FILLER_7_517
*4671 FILLER_7_52
*4672 FILLER_7_529
*4673 FILLER_7_541
*4674 FILLER_7_553
*4675 FILLER_7_559
*4676 FILLER_7_561
*4677 FILLER_7_57
*4678 FILLER_7_573
*4679 FILLER_7_585
*4680 FILLER_7_597
*4681 FILLER_7_609
*4682 FILLER_7_615
*4683 FILLER_7_617
*4684 FILLER_7_63
*4685 FILLER_7_69
*4686 FILLER_7_7
*4687 FILLER_7_78
*4688 FILLER_7_85
*4689 FILLER_7_97
*4690 FILLER_8_104
*4691 FILLER_8_108
*4692 FILLER_8_115
*4693 FILLER_8_127
*4694 FILLER_8_139
*4695 FILLER_8_151
*4696 FILLER_8_163
*4697 FILLER_8_175
*4698 FILLER_8_18
*4699 FILLER_8_187
*4700 FILLER_8_195
*4701 FILLER_8_197
*4702 FILLER_8_209
*4703 FILLER_8_221
*4704 FILLER_8_233
*4705 FILLER_8_24
*4706 FILLER_8_245
*4707 FILLER_8_251
*4708 FILLER_8_253
*4709 FILLER_8_265
*4710 FILLER_8_277
*4711 FILLER_8_289
*4712 FILLER_8_29
*4713 FILLER_8_301
*4714 FILLER_8_307
*4715 FILLER_8_309
*4716 FILLER_8_321
*4717 FILLER_8_333
*4718 FILLER_8_345
*4719 FILLER_8_357
*4720 FILLER_8_363
*4721 FILLER_8_365
*4722 FILLER_8_377
*4723 FILLER_8_389
*4724 FILLER_8_401
*4725 FILLER_8_41
*4726 FILLER_8_413
*4727 FILLER_8_419
*4728 FILLER_8_421
*4729 FILLER_8_433
*4730 FILLER_8_445
*4731 FILLER_8_457
*4732 FILLER_8_469
*4733 FILLER_8_475
*4734 FILLER_8_477
*4735 FILLER_8_489
*4736 FILLER_8_49
*4737 FILLER_8_501
*4738 FILLER_8_513
*4739 FILLER_8_525
*4740 FILLER_8_531
*4741 FILLER_8_533
*4742 FILLER_8_545
*4743 FILLER_8_55
*4744 FILLER_8_557
*4745 FILLER_8_569
*4746 FILLER_8_581
*4747 FILLER_8_587
*4748 FILLER_8_589
*4749 FILLER_8_6
*4750 FILLER_8_601
*4751 FILLER_8_613
*4752 FILLER_8_67
*4753 FILLER_8_80
*4754 FILLER_8_85
*4755 FILLER_8_97
*4756 FILLER_9_102
*4757 FILLER_9_108
*4758 FILLER_9_118
*4759 FILLER_9_127
*4760 FILLER_9_139
*4761 FILLER_9_151
*4762 FILLER_9_163
*4763 FILLER_9_167
*4764 FILLER_9_169
*4765 FILLER_9_181
*4766 FILLER_9_19
*4767 FILLER_9_193
*4768 FILLER_9_205
*4769 FILLER_9_217
*4770 FILLER_9_223
*4771 FILLER_9_225
*4772 FILLER_9_237
*4773 FILLER_9_249
*4774 FILLER_9_25
*4775 FILLER_9_261
*4776 FILLER_9_273
*4777 FILLER_9_279
*4778 FILLER_9_281
*4779 FILLER_9_293
*4780 FILLER_9_305
*4781 FILLER_9_317
*4782 FILLER_9_329
*4783 FILLER_9_335
*4784 FILLER_9_337
*4785 FILLER_9_349
*4786 FILLER_9_361
*4787 FILLER_9_37
*4788 FILLER_9_373
*4789 FILLER_9_385
*4790 FILLER_9_391
*4791 FILLER_9_393
*4792 FILLER_9_405
*4793 FILLER_9_41
*4794 FILLER_9_417
*4795 FILLER_9_429
*4796 FILLER_9_441
*4797 FILLER_9_447
*4798 FILLER_9_449
*4799 FILLER_9_461
*4800 FILLER_9_473
*4801 FILLER_9_485
*4802 FILLER_9_497
*4803 FILLER_9_503
*4804 FILLER_9_505
*4805 FILLER_9_517
*4806 FILLER_9_529
*4807 FILLER_9_53
*4808 FILLER_9_541
*4809 FILLER_9_553
*4810 FILLER_9_559
*4811 FILLER_9_561
*4812 FILLER_9_573
*4813 FILLER_9_585
*4814 FILLER_9_597
*4815 FILLER_9_609
*4816 FILLER_9_615
*4817 FILLER_9_617
*4818 FILLER_9_62
*4819 FILLER_9_68
*4820 FILLER_9_7
*4821 FILLER_9_74
*4822 FILLER_9_86
*4823 FILLER_9_98
*4824 Flash_106
*4825 Flash_107
*4826 Flash_108
*4827 Flash_109
*4828 Flash_110
*4829 Flash_111
*4830 Flash_112
*4831 Flash_113
*4832 Flash_114
*4833 Flash_115
*4834 Flash_116
*4835 Flash_117
*4836 Flash_118
*4837 Flash_119
*4838 Flash_120
*4839 Flash_121
*4840 Flash_122
*4841 Flash_123
*4842 Flash_124
*4843 Flash_125
*4844 Flash_126
*4845 Flash_127
*4846 Flash_128
*4847 Flash_129
*4848 Flash_130
*4849 Flash_131
*4850 Flash_132
*4851 Flash_133
*4852 Flash_134
*4853 Flash_135
*4854 Flash_136
*4855 Flash_137
*4856 Flash_138
*4857 Flash_139
*4858 Flash_140
*4859 Flash_141
*4860 Flash_142
*4861 Flash_143
*4862 Flash_144
*4863 Flash_145
*4864 Flash_146
*4865 Flash_147
*4866 Flash_148
*4867 Flash_149
*4868 Flash_150
*4869 Flash_151
*4870 Flash_152
*4871 Flash_153
*4872 Flash_154
*4873 Flash_155
*4874 Flash_156
*4875 Flash_157
*4876 Flash_158
*4877 Flash_159
*4878 INSDIODE2_0
*4879 INSDIODE2_1
*4880 INSDIODE2_2
*4881 INSDIODE2_3
*4882 INSDIODE2_4
*4883 PHY_0
*4884 PHY_1
*4885 PHY_10
*4886 PHY_100
*4887 PHY_101
*4888 PHY_102
*4889 PHY_103
*4890 PHY_104
*4891 PHY_105
*4892 PHY_106
*4893 PHY_107
*4894 PHY_108
*4895 PHY_109
*4896 PHY_11
*4897 PHY_110
*4898 PHY_111
*4899 PHY_112
*4900 PHY_113
*4901 PHY_114
*4902 PHY_115
*4903 PHY_116
*4904 PHY_117
*4905 PHY_118
*4906 PHY_119
*4907 PHY_12
*4908 PHY_120
*4909 PHY_121
*4910 PHY_122
*4911 PHY_123
*4912 PHY_124
*4913 PHY_125
*4914 PHY_126
*4915 PHY_127
*4916 PHY_128
*4917 PHY_129
*4918 PHY_13
*4919 PHY_14
*4920 PHY_15
*4921 PHY_16
*4922 PHY_17
*4923 PHY_18
*4924 PHY_19
*4925 PHY_2
*4926 PHY_20
*4927 PHY_21
*4928 PHY_22
*4929 PHY_23
*4930 PHY_24
*4931 PHY_25
*4932 PHY_26
*4933 PHY_27
*4934 PHY_28
*4935 PHY_29
*4936 PHY_3
*4937 PHY_30
*4938 PHY_31
*4939 PHY_32
*4940 PHY_33
*4941 PHY_34
*4942 PHY_35
*4943 PHY_36
*4944 PHY_37
*4945 PHY_38
*4946 PHY_39
*4947 PHY_4
*4948 PHY_40
*4949 PHY_41
*4950 PHY_42
*4951 PHY_43
*4952 PHY_44
*4953 PHY_45
*4954 PHY_46
*4955 PHY_47
*4956 PHY_48
*4957 PHY_49
*4958 PHY_5
*4959 PHY_50
*4960 PHY_51
*4961 PHY_52
*4962 PHY_53
*4963 PHY_54
*4964 PHY_55
*4965 PHY_56
*4966 PHY_57
*4967 PHY_58
*4968 PHY_59
*4969 PHY_6
*4970 PHY_60
*4971 PHY_61
*4972 PHY_62
*4973 PHY_63
*4974 PHY_64
*4975 PHY_65
*4976 PHY_66
*4977 PHY_67
*4978 PHY_68
*4979 PHY_69
*4980 PHY_7
*4981 PHY_70
*4982 PHY_71
*4983 PHY_72
*4984 PHY_73
*4985 PHY_74
*4986 PHY_75
*4987 PHY_76
*4988 PHY_77
*4989 PHY_78
*4990 PHY_79
*4991 PHY_8
*4992 PHY_80
*4993 PHY_81
*4994 PHY_82
*4995 PHY_83
*4996 PHY_84
*4997 PHY_85
*4998 PHY_86
*4999 PHY_87
*5000 PHY_88
*5001 PHY_89
*5002 PHY_9
*5003 PHY_90
*5004 PHY_91
*5005 PHY_92
*5006 PHY_93
*5007 PHY_94
*5008 PHY_95
*5009 PHY_96
*5010 PHY_97
*5011 PHY_98
*5012 PHY_99
*5013 TAP_130
*5014 TAP_131
*5015 TAP_132
*5016 TAP_133
*5017 TAP_134
*5018 TAP_135
*5019 TAP_136
*5020 TAP_137
*5021 TAP_138
*5022 TAP_139
*5023 TAP_140
*5024 TAP_141
*5025 TAP_142
*5026 TAP_143
*5027 TAP_144
*5028 TAP_145
*5029 TAP_146
*5030 TAP_147
*5031 TAP_148
*5032 TAP_149
*5033 TAP_150
*5034 TAP_151
*5035 TAP_152
*5036 TAP_153
*5037 TAP_154
*5038 TAP_155
*5039 TAP_156
*5040 TAP_157
*5041 TAP_158
*5042 TAP_159
*5043 TAP_160
*5044 TAP_161
*5045 TAP_162
*5046 TAP_163
*5047 TAP_164
*5048 TAP_165
*5049 TAP_166
*5050 TAP_167
*5051 TAP_168
*5052 TAP_169
*5053 TAP_170
*5054 TAP_171
*5055 TAP_172
*5056 TAP_173
*5057 TAP_174
*5058 TAP_175
*5059 TAP_176
*5060 TAP_177
*5061 TAP_178
*5062 TAP_179
*5063 TAP_180
*5064 TAP_181
*5065 TAP_182
*5066 TAP_183
*5067 TAP_184
*5068 TAP_185
*5069 TAP_186
*5070 TAP_187
*5071 TAP_188
*5072 TAP_189
*5073 TAP_190
*5074 TAP_191
*5075 TAP_192
*5076 TAP_193
*5077 TAP_194
*5078 TAP_195
*5079 TAP_196
*5080 TAP_197
*5081 TAP_198
*5082 TAP_199
*5083 TAP_200
*5084 TAP_201
*5085 TAP_202
*5086 TAP_203
*5087 TAP_204
*5088 TAP_205
*5089 TAP_206
*5090 TAP_207
*5091 TAP_208
*5092 TAP_209
*5093 TAP_210
*5094 TAP_211
*5095 TAP_212
*5096 TAP_213
*5097 TAP_214
*5098 TAP_215
*5099 TAP_216
*5100 TAP_217
*5101 TAP_218
*5102 TAP_219
*5103 TAP_220
*5104 TAP_221
*5105 TAP_222
*5106 TAP_223
*5107 TAP_224
*5108 TAP_225
*5109 TAP_226
*5110 TAP_227
*5111 TAP_228
*5112 TAP_229
*5113 TAP_230
*5114 TAP_231
*5115 TAP_232
*5116 TAP_233
*5117 TAP_234
*5118 TAP_235
*5119 TAP_236
*5120 TAP_237
*5121 TAP_238
*5122 TAP_239
*5123 TAP_240
*5124 TAP_241
*5125 TAP_242
*5126 TAP_243
*5127 TAP_244
*5128 TAP_245
*5129 TAP_246
*5130 TAP_247
*5131 TAP_248
*5132 TAP_249
*5133 TAP_250
*5134 TAP_251
*5135 TAP_252
*5136 TAP_253
*5137 TAP_254
*5138 TAP_255
*5139 TAP_256
*5140 TAP_257
*5141 TAP_258
*5142 TAP_259
*5143 TAP_260
*5144 TAP_261
*5145 TAP_262
*5146 TAP_263
*5147 TAP_264
*5148 TAP_265
*5149 TAP_266
*5150 TAP_267
*5151 TAP_268
*5152 TAP_269
*5153 TAP_270
*5154 TAP_271
*5155 TAP_272
*5156 TAP_273
*5157 TAP_274
*5158 TAP_275
*5159 TAP_276
*5160 TAP_277
*5161 TAP_278
*5162 TAP_279
*5163 TAP_280
*5164 TAP_281
*5165 TAP_282
*5166 TAP_283
*5167 TAP_284
*5168 TAP_285
*5169 TAP_286
*5170 TAP_287
*5171 TAP_288
*5172 TAP_289
*5173 TAP_290
*5174 TAP_291
*5175 TAP_292
*5176 TAP_293
*5177 TAP_294
*5178 TAP_295
*5179 TAP_296
*5180 TAP_297
*5181 TAP_298
*5182 TAP_299
*5183 TAP_300
*5184 TAP_301
*5185 TAP_302
*5186 TAP_303
*5187 TAP_304
*5188 TAP_305
*5189 TAP_306
*5190 TAP_307
*5191 TAP_308
*5192 TAP_309
*5193 TAP_310
*5194 TAP_311
*5195 TAP_312
*5196 TAP_313
*5197 TAP_314
*5198 TAP_315
*5199 TAP_316
*5200 TAP_317
*5201 TAP_318
*5202 TAP_319
*5203 TAP_320
*5204 TAP_321
*5205 TAP_322
*5206 TAP_323
*5207 TAP_324
*5208 TAP_325
*5209 TAP_326
*5210 TAP_327
*5211 TAP_328
*5212 TAP_329
*5213 TAP_330
*5214 TAP_331
*5215 TAP_332
*5216 TAP_333
*5217 TAP_334
*5218 TAP_335
*5219 TAP_336
*5220 TAP_337
*5221 TAP_338
*5222 TAP_339
*5223 TAP_340
*5224 TAP_341
*5225 TAP_342
*5226 TAP_343
*5227 TAP_344
*5228 TAP_345
*5229 TAP_346
*5230 TAP_347
*5231 TAP_348
*5232 TAP_349
*5233 TAP_350
*5234 TAP_351
*5235 TAP_352
*5236 TAP_353
*5237 TAP_354
*5238 TAP_355
*5239 TAP_356
*5240 TAP_357
*5241 TAP_358
*5242 TAP_359
*5243 TAP_360
*5244 TAP_361
*5245 TAP_362
*5246 TAP_363
*5247 TAP_364
*5248 TAP_365
*5249 TAP_366
*5250 TAP_367
*5251 TAP_368
*5252 TAP_369
*5253 TAP_370
*5254 TAP_371
*5255 TAP_372
*5256 TAP_373
*5257 TAP_374
*5258 TAP_375
*5259 TAP_376
*5260 TAP_377
*5261 TAP_378
*5262 TAP_379
*5263 TAP_380
*5264 TAP_381
*5265 TAP_382
*5266 TAP_383
*5267 TAP_384
*5268 TAP_385
*5269 TAP_386
*5270 TAP_387
*5271 TAP_388
*5272 TAP_389
*5273 TAP_390
*5274 TAP_391
*5275 TAP_392
*5276 TAP_393
*5277 TAP_394
*5278 TAP_395
*5279 TAP_396
*5280 TAP_397
*5281 TAP_398
*5282 TAP_399
*5283 TAP_400
*5284 TAP_401
*5285 TAP_402
*5286 TAP_403
*5287 TAP_404
*5288 TAP_405
*5289 TAP_406
*5290 TAP_407
*5291 TAP_408
*5292 TAP_409
*5293 TAP_410
*5294 TAP_411
*5295 TAP_412
*5296 TAP_413
*5297 TAP_414
*5298 TAP_415
*5299 TAP_416
*5300 TAP_417
*5301 TAP_418
*5302 TAP_419
*5303 TAP_420
*5304 TAP_421
*5305 TAP_422
*5306 TAP_423
*5307 TAP_424
*5308 TAP_425
*5309 TAP_426
*5310 TAP_427
*5311 TAP_428
*5312 TAP_429
*5313 TAP_430
*5314 TAP_431
*5315 TAP_432
*5316 TAP_433
*5317 TAP_434
*5318 TAP_435
*5319 TAP_436
*5320 TAP_437
*5321 TAP_438
*5322 TAP_439
*5323 TAP_440
*5324 TAP_441
*5325 TAP_442
*5326 TAP_443
*5327 TAP_444
*5328 TAP_445
*5329 TAP_446
*5330 TAP_447
*5331 TAP_448
*5332 TAP_449
*5333 TAP_450
*5334 TAP_451
*5335 TAP_452
*5336 TAP_453
*5337 TAP_454
*5338 TAP_455
*5339 TAP_456
*5340 TAP_457
*5341 TAP_458
*5342 TAP_459
*5343 TAP_460
*5344 TAP_461
*5345 TAP_462
*5346 TAP_463
*5347 TAP_464
*5348 TAP_465
*5349 TAP_466
*5350 TAP_467
*5351 TAP_468
*5352 TAP_469
*5353 TAP_470
*5354 TAP_471
*5355 TAP_472
*5356 TAP_473
*5357 TAP_474
*5358 TAP_475
*5359 TAP_476
*5360 TAP_477
*5361 TAP_478
*5362 TAP_479
*5363 TAP_480
*5364 TAP_481
*5365 TAP_482
*5366 TAP_483
*5367 TAP_484
*5368 TAP_485
*5369 TAP_486
*5370 TAP_487
*5371 TAP_488
*5372 TAP_489
*5373 TAP_490
*5374 TAP_491
*5375 TAP_492
*5376 TAP_493
*5377 TAP_494
*5378 TAP_495
*5379 TAP_496
*5380 TAP_497
*5381 TAP_498
*5382 TAP_499
*5383 TAP_500
*5384 TAP_501
*5385 TAP_502
*5386 TAP_503
*5387 TAP_504
*5388 TAP_505
*5389 TAP_506
*5390 TAP_507
*5391 TAP_508
*5392 TAP_509
*5393 TAP_510
*5394 TAP_511
*5395 TAP_512
*5396 TAP_513
*5397 TAP_514
*5398 TAP_515
*5399 TAP_516
*5400 TAP_517
*5401 TAP_518
*5402 TAP_519
*5403 TAP_520
*5404 TAP_521
*5405 TAP_522
*5406 TAP_523
*5407 TAP_524
*5408 TAP_525
*5409 TAP_526
*5410 TAP_527
*5411 TAP_528
*5412 TAP_529
*5413 TAP_530
*5414 TAP_531
*5415 TAP_532
*5416 TAP_533
*5417 TAP_534
*5418 TAP_535
*5419 TAP_536
*5420 TAP_537
*5421 TAP_538
*5422 TAP_539
*5423 TAP_540
*5424 TAP_541
*5425 TAP_542
*5426 TAP_543
*5427 TAP_544
*5428 TAP_545
*5429 TAP_546
*5430 TAP_547
*5431 TAP_548
*5432 TAP_549
*5433 TAP_550
*5434 TAP_551
*5435 TAP_552
*5436 TAP_553
*5437 TAP_554
*5438 TAP_555
*5439 TAP_556
*5440 TAP_557
*5441 TAP_558
*5442 TAP_559
*5443 TAP_560
*5444 TAP_561
*5445 TAP_562
*5446 TAP_563
*5447 TAP_564
*5448 TAP_565
*5449 TAP_566
*5450 TAP_567
*5451 TAP_568
*5452 TAP_569
*5453 TAP_570
*5454 TAP_571
*5455 TAP_572
*5456 TAP_573
*5457 TAP_574
*5458 TAP_575
*5459 TAP_576
*5460 TAP_577
*5461 TAP_578
*5462 TAP_579
*5463 TAP_580
*5464 TAP_581
*5465 TAP_582
*5466 TAP_583
*5467 TAP_584
*5468 TAP_585
*5469 TAP_586
*5470 TAP_587
*5471 TAP_588
*5472 TAP_589
*5473 TAP_590
*5474 TAP_591
*5475 TAP_592
*5476 TAP_593
*5477 TAP_594
*5478 TAP_595
*5479 TAP_596
*5480 TAP_597
*5481 TAP_598
*5482 TAP_599
*5483 TAP_600
*5484 TAP_601
*5485 TAP_602
*5486 TAP_603
*5487 TAP_604
*5488 TAP_605
*5489 TAP_606
*5490 TAP_607
*5491 TAP_608
*5492 TAP_609
*5493 TAP_610
*5494 TAP_611
*5495 TAP_612
*5496 TAP_613
*5497 TAP_614
*5498 TAP_615
*5499 TAP_616
*5500 TAP_617
*5501 TAP_618
*5502 TAP_619
*5503 TAP_620
*5504 TAP_621
*5505 TAP_622
*5506 TAP_623
*5507 TAP_624
*5508 TAP_625
*5509 TAP_626
*5510 TAP_627
*5511 TAP_628
*5512 TAP_629
*5513 TAP_630
*5514 TAP_631
*5515 TAP_632
*5516 TAP_633
*5517 TAP_634
*5518 TAP_635
*5519 TAP_636
*5520 TAP_637
*5521 TAP_638
*5522 TAP_639
*5523 TAP_640
*5524 TAP_641
*5525 TAP_642
*5526 TAP_643
*5527 TAP_644
*5528 TAP_645
*5529 TAP_646
*5530 TAP_647
*5531 TAP_648
*5532 TAP_649
*5533 TAP_650
*5534 TAP_651
*5535 TAP_652
*5536 TAP_653
*5537 TAP_654
*5538 TAP_655
*5539 TAP_656
*5540 TAP_657
*5541 TAP_658
*5542 TAP_659
*5543 TAP_660
*5544 TAP_661
*5545 TAP_662
*5546 TAP_663
*5547 TAP_664
*5548 TAP_665
*5549 TAP_666
*5550 TAP_667
*5551 TAP_668
*5552 TAP_669
*5553 TAP_670
*5554 TAP_671
*5555 TAP_672
*5556 TAP_673
*5557 TAP_674
*5558 TAP_675
*5559 TAP_676
*5560 TAP_677
*5561 TAP_678
*5562 TAP_679
*5563 TAP_680
*5564 TAP_681
*5565 TAP_682
*5566 TAP_683
*5567 TAP_684
*5568 TAP_685
*5569 TAP_686
*5570 TAP_687
*5571 TAP_688
*5572 TAP_689
*5573 TAP_690
*5574 TAP_691
*5575 TAP_692
*5576 TAP_693
*5577 TAP_694
*5578 TAP_695
*5579 TAP_696
*5580 TAP_697
*5581 TAP_698
*5582 TAP_699
*5583 TAP_700
*5584 TAP_701
*5585 TAP_702
*5586 TAP_703
*5587 TAP_704
*5588 TAP_705
*5589 TAP_706
*5590 TAP_707
*5591 TAP_708
*5592 TAP_709
*5593 TAP_710
*5594 TAP_711
*5595 TAP_712
*5596 TAP_713
*5597 TAP_714
*5598 TAP_715
*5599 TAP_716
*5600 TAP_717
*5601 TAP_718
*5602 TAP_719
*5603 TAP_720
*5604 TAP_721
*5605 TAP_722
*5606 TAP_723
*5607 TAP_724
*5608 TAP_725
*5609 TAP_726
*5610 TAP_727
*5611 TAP_728
*5612 TAP_729
*5613 TAP_730
*5614 TAP_731
*5615 TAP_732
*5616 TAP_733
*5617 TAP_734
*5618 TAP_735
*5619 TAP_736
*5620 TAP_737
*5621 TAP_738
*5622 TAP_739
*5623 TAP_740
*5624 TAP_741
*5625 TAP_742
*5626 TAP_743
*5627 TAP_744
*5628 TAP_745
*5629 TAP_746
*5630 TAP_747
*5631 TAP_748
*5632 TAP_749
*5633 TAP_750
*5634 TAP_751
*5635 TAP_752
*5636 TAP_753
*5637 TAP_754
*5638 TAP_755
*5639 TAP_756
*5640 TAP_757
*5641 TAP_758
*5642 TAP_759
*5643 TAP_760
*5644 TAP_761
*5645 TAP_762
*5646 TAP_763
*5647 TAP_764
*5648 TAP_765
*5649 TAP_766
*5650 TAP_767
*5651 TAP_768
*5652 TAP_769
*5653 TAP_770
*5654 TAP_771
*5655 TAP_772
*5656 TAP_773
*5657 TAP_774
*5658 TAP_775
*5659 TAP_776
*5660 TAP_777
*5661 TAP_778
*5662 TAP_779
*5663 TAP_780
*5664 TAP_781
*5665 TAP_782
*5666 TAP_783
*5667 TAP_784
*5668 TAP_785
*5669 TAP_786
*5670 TAP_787
*5671 TAP_788
*5672 TAP_789
*5673 TAP_790
*5674 TAP_791
*5675 TAP_792
*5676 TAP_793
*5677 TAP_794
*5678 TAP_795
*5679 TAP_796
*5680 TAP_797
*5681 TAP_798
*5682 TAP_799
*5683 TAP_800
*5684 TAP_801
*5685 TAP_802
*5686 TAP_803
*5687 TAP_804
*5688 TAP_805
*5689 TAP_806
*5690 TAP_807
*5691 TAP_808
*5692 TAP_809
*5693 TAP_810
*5694 TAP_811
*5695 TAP_812
*5696 TAP_813
*5697 TAP_814
*5698 TAP_815
*5699 TAP_816
*5700 TAP_817
*5701 TAP_818
*5702 TAP_819
*5703 TAP_820
*5704 TAP_821
*5705 TAP_822
*5706 TAP_823
*5707 TAP_824
*5708 TAP_825
*5709 TAP_826
*5710 TAP_827
*5711 TAP_828
*5712 TAP_829
*5713 TAP_830
*5714 TAP_831
*5715 TAP_832
*5716 TAP_833
*5717 TAP_834
*5718 TAP_835
*5719 TAP_836
*5720 TAP_837
*5721 TAP_838
*5722 TAP_839
*5723 TAP_840
*5724 TAP_841
*5725 TAP_842
*5726 TAP_843
*5727 TAP_844
*5728 TAP_845
*5729 TAP_846
*5730 TAP_847
*5731 TAP_848
*5732 TAP_849
*5733 TAP_850
*5734 TAP_851
*5735 TAP_852
*5736 TAP_853
*5737 TAP_854
*5738 TAP_855
*5739 TAP_856
*5740 TAP_857
*5741 TAP_858
*5742 TAP_859
*5743 TAP_860
*5744 TAP_861
*5745 TAP_862
*5746 TAP_863
*5747 TAP_864
*5748 TAP_865
*5749 TAP_866
*5750 _116_
*5751 _117_
*5752 _118_
*5753 _119_
*5754 _120_
*5755 _121_
*5756 _122_
*5757 _123_
*5758 _124_
*5759 _125_
*5760 _126_
*5761 _127_
*5762 _128_
*5763 _129_
*5764 _130_
*5765 _131_
*5766 _132_
*5767 _133_
*5768 _134_
*5769 _135_
*5770 _136_
*5771 _137_
*5772 _138_
*5773 _139_
*5774 _140_
*5775 _141_
*5776 _142_
*5777 _143_
*5778 _144_
*5779 _145_
*5780 _146_
*5781 _147_
*5782 _148_
*5783 _149_
*5784 _150_
*5785 _151_
*5786 _152_
*5787 _153_
*5788 _154_
*5789 _155_
*5790 _156_
*5791 _157_
*5792 _158_
*5793 _159_
*5794 _160_
*5795 _161_
*5796 _162_
*5797 _163_
*5798 _164_
*5799 _165_
*5800 _166_
*5801 _167_
*5802 _168_
*5803 _169_
*5804 _170_
*5805 _171_
*5806 _172_
*5807 _173_
*5808 _174_
*5809 _175_
*5810 _176_
*5811 _177_
*5812 _178_
*5813 _179_
*5814 _180_
*5815 _181_
*5816 _182_
*5817 _183_
*5818 _184_
*5819 _185_
*5820 _186_
*5821 _187_
*5822 _188_
*5823 _189_
*5824 _190_
*5825 _191_
*5826 _192_
*5827 _193_
*5828 _194_
*5829 _195_
*5830 _196_
*5831 _197_
*5832 _198_
*5833 _199_
*5834 _200_
*5835 _201_
*5836 _202_
*5837 _203_
*5838 _204_
*5839 _205_
*5840 _206_
*5841 _207_
*5842 _208_
*5843 _209_
*5844 _210_
*5845 _211_
*5846 _212_
*5847 _213_
*5848 _214_
*5849 _215_
*5850 _216_
*5851 _217_
*5852 _218_
*5853 _219_
*5854 _220_
*5855 _221_
*5856 _222_
*5857 _223_
*5858 _224_
*5859 _225_
*5860 _226_
*5861 _227_
*5862 _228_
*5863 _229_
*5864 _230_
*5865 _231_
*5866 _232_
*5867 _233_
*5868 _234_
*5869 _235_
*5870 _236_
*5871 _237_
*5872 _238_
*5873 _239_
*5874 _240_
*5875 _241_
*5876 _242_
*5877 _243_
*5878 _244_
*5879 _245_
*5880 _246_
*5881 _247_
*5882 _248_
*5883 _249_
*5884 _250_
*5885 _251_
*5886 _252_
*5887 _253_
*5888 _254_
*5889 _255_
*5890 _256_
*5891 _257_
*5892 _258_
*5893 _259_
*5894 _260_
*5895 _261_
*5896 _262_
*5897 _263_
*5898 _264_
*5899 _265_
*5900 _266_
*5901 _267_
*5902 _268_
*5903 _269_
*5904 _270_
*5905 _271_
*5906 _272_
*5907 _273_
*5908 _274_
*5909 _275_
*5910 _276_
*5911 _277_
*5912 _278_
*5913 _279_
*5914 _280_
*5915 _281_
*5916 _282_
*5917 _283_
*5918 _284_
*5919 _285_
*5920 _286_
*5921 _287_
*5922 _288_
*5923 _289_
*5924 _290_
*5925 _291_
*5926 _292_
*5927 _293_
*5928 _294_
*5929 _295_
*5930 _296_
*5931 _297_
*5932 _298_
*5933 _299_
*5934 _354_
*5935 _355_
*5936 input1
*5937 input10
*5938 input11
*5939 input12
*5940 input13
*5941 input14
*5942 input15
*5943 input16
*5944 input17
*5945 input18
*5946 input19
*5947 input2
*5948 input20
*5949 input21
*5950 input22
*5951 input23
*5952 input24
*5953 input25
*5954 input26
*5955 input27
*5956 input28
*5957 input29
*5958 input3
*5959 input30
*5960 input31
*5961 input32
*5962 input33
*5963 input34
*5964 input35
*5965 input36
*5966 input37
*5967 input38
*5968 input39
*5969 input4
*5970 input40
*5971 input41
*5972 input42
*5973 input43
*5974 input44
*5975 input45
*5976 input46
*5977 input47
*5978 input48
*5979 input49
*5980 input5
*5981 input50
*5982 input51
*5983 input52
*5984 input53
*5985 input54
*5986 input55
*5987 input56
*5988 input57
*5989 input58
*5990 input59
*5991 input6
*5992 input7
*5993 input8
*5994 input9
*5995 output100
*5996 output101
*5997 output102
*5998 output103
*5999 output104
*6000 output105
*6001 output60
*6002 output61
*6003 output62
*6004 output63
*6005 output64
*6006 output65
*6007 output66
*6008 output67
*6009 output68
*6010 output69
*6011 output70
*6012 output71
*6013 output72
*6014 output73
*6015 output74
*6016 output75
*6017 output76
*6018 output77
*6019 output78
*6020 output79
*6021 output80
*6022 output81
*6023 output82
*6024 output83
*6025 output84
*6026 output85
*6027 output86
*6028 output87
*6029 output88
*6030 output89
*6031 output90
*6032 output91
*6033 output92
*6034 output93
*6035 output94
*6036 output95
*6037 output96
*6038 output97
*6039 output98
*6040 output99
*PORTS
flash_csb O
flash_io0_read I
flash_io0_we O
flash_io0_write O
flash_io1_read I
flash_io1_we O
flash_io1_write O
flash_sck O
sram_addr0[0] O
sram_addr0[1] O
sram_addr0[2] O
sram_addr0[3] O
sram_addr0[4] O
sram_addr0[5] O
sram_addr0[6] O
sram_addr0[7] O
sram_addr0[8] O
sram_addr1[0] O
sram_addr1[1] O
sram_addr1[2] O
sram_addr1[3] O
sram_addr1[4] O
sram_addr1[5] O
sram_addr1[6] O
sram_addr1[7] O
sram_addr1[8] O
sram_clk0 O
sram_clk1 O
sram_csb0 O
sram_csb1 O
sram_din0[0] O
sram_din0[10] O
sram_din0[11] O
sram_din0[12] O
sram_din0[13] O
sram_din0[14] O
sram_din0[15] O
sram_din0[16] O
sram_din0[17] O
sram_din0[18] O
sram_din0[19] O
sram_din0[1] O
sram_din0[20] O
sram_din0[21] O
sram_din0[22] O
sram_din0[23] O
sram_din0[24] O
sram_din0[25] O
sram_din0[26] O
sram_din0[27] O
sram_din0[28] O
sram_din0[29] O
sram_din0[2] O
sram_din0[30] O
sram_din0[31] O
sram_din0[3] O
sram_din0[4] O
sram_din0[5] O
sram_din0[6] O
sram_din0[7] O
sram_din0[8] O
sram_din0[9] O
sram_dout0[0] I
sram_dout0[10] I
sram_dout0[11] I
sram_dout0[12] I
sram_dout0[13] I
sram_dout0[14] I
sram_dout0[15] I
sram_dout0[16] I
sram_dout0[17] I
sram_dout0[18] I
sram_dout0[19] I
sram_dout0[1] I
sram_dout0[20] I
sram_dout0[21] I
sram_dout0[22] I
sram_dout0[23] I
sram_dout0[24] I
sram_dout0[25] I
sram_dout0[26] I
sram_dout0[27] I
sram_dout0[28] I
sram_dout0[29] I
sram_dout0[2] I
sram_dout0[30] I
sram_dout0[31] I
sram_dout0[3] I
sram_dout0[4] I
sram_dout0[5] I
sram_dout0[6] I
sram_dout0[7] I
sram_dout0[8] I
sram_dout0[9] I
sram_dout1[0] I
sram_dout1[10] I
sram_dout1[11] I
sram_dout1[12] I
sram_dout1[13] I
sram_dout1[14] I
sram_dout1[15] I
sram_dout1[16] I
sram_dout1[17] I
sram_dout1[18] I
sram_dout1[19] I
sram_dout1[1] I
sram_dout1[20] I
sram_dout1[21] I
sram_dout1[22] I
sram_dout1[23] I
sram_dout1[24] I
sram_dout1[25] I
sram_dout1[26] I
sram_dout1[27] I
sram_dout1[28] I
sram_dout1[29] I
sram_dout1[2] I
sram_dout1[30] I
sram_dout1[31] I
sram_dout1[3] I
sram_dout1[4] I
sram_dout1[5] I
sram_dout1[6] I
sram_dout1[7] I
sram_dout1[8] I
sram_dout1[9] I
sram_web0 O
sram_wmask0[0] O
sram_wmask0[1] O
sram_wmask0[2] O
sram_wmask0[3] O
wb_ack_o O
wb_adr_i[0] I
wb_adr_i[10] I
wb_adr_i[11] I
wb_adr_i[12] I
wb_adr_i[13] I
wb_adr_i[14] I
wb_adr_i[15] I
wb_adr_i[16] I
wb_adr_i[17] I
wb_adr_i[18] I
wb_adr_i[19] I
wb_adr_i[1] I
wb_adr_i[20] I
wb_adr_i[21] I
wb_adr_i[22] I
wb_adr_i[23] I
wb_adr_i[2] I
wb_adr_i[3] I
wb_adr_i[4] I
wb_adr_i[5] I
wb_adr_i[6] I
wb_adr_i[7] I
wb_adr_i[8] I
wb_adr_i[9] I
wb_clk_i I
wb_cyc_i I
wb_data_i[0] I
wb_data_i[10] I
wb_data_i[11] I
wb_data_i[12] I
wb_data_i[13] I
wb_data_i[14] I
wb_data_i[15] I
wb_data_i[16] I
wb_data_i[17] I
wb_data_i[18] I
wb_data_i[19] I
wb_data_i[1] I
wb_data_i[20] I
wb_data_i[21] I
wb_data_i[22] I
wb_data_i[23] I
wb_data_i[24] I
wb_data_i[25] I
wb_data_i[26] I
wb_data_i[27] I
wb_data_i[28] I
wb_data_i[29] I
wb_data_i[2] I
wb_data_i[30] I
wb_data_i[31] I
wb_data_i[3] I
wb_data_i[4] I
wb_data_i[5] I
wb_data_i[6] I
wb_data_i[7] I
wb_data_i[8] I
wb_data_i[9] I
wb_data_o[0] O
wb_data_o[10] O
wb_data_o[11] O
wb_data_o[12] O
wb_data_o[13] O
wb_data_o[14] O
wb_data_o[15] O
wb_data_o[16] O
wb_data_o[17] O
wb_data_o[18] O
wb_data_o[19] O
wb_data_o[1] O
wb_data_o[20] O
wb_data_o[21] O
wb_data_o[22] O
wb_data_o[23] O
wb_data_o[24] O
wb_data_o[25] O
wb_data_o[26] O
wb_data_o[27] O
wb_data_o[28] O
wb_data_o[29] O
wb_data_o[2] O
wb_data_o[30] O
wb_data_o[31] O
wb_data_o[3] O
wb_data_o[4] O
wb_data_o[5] O
wb_data_o[6] O
wb_data_o[7] O
wb_data_o[8] O
wb_data_o[9] O
wb_error_o O
wb_rst_i I
wb_sel_i[0] I
wb_sel_i[1] I
wb_sel_i[2] I
wb_sel_i[3] I
wb_stall_o O
wb_stb_i I
wb_we_i I
*D_NET *1 0.00107328
*CONN
*P flash_csb O
*I *4824:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 flash_csb 0.000536638
2 *4824:LO 0.000536638
3 flash_csb wb_data_o[30] 0
4 flash_csb wb_data_o[31] 0
*RES
1 *4824:LO flash_csb 21.7893
*END
*D_NET *3 0.00119982
*CONN
*P flash_io0_we O
*I *4871:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 flash_io0_we 0.000599912
2 *4871:HI 0.000599912
*RES
1 *4871:HI flash_io0_we 23.9321
*END
*D_NET *4 0.00106908
*CONN
*P flash_io0_write O
*I *4825:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 flash_io0_write 0.000534541
2 *4825:LO 0.000534541
*RES
1 *4825:LO flash_io0_write 21.7893
*END
*D_NET *6 0.00106908
*CONN
*P flash_io1_we O
*I *4826:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 flash_io1_we 0.000534541
2 *4826:LO 0.000534541
*RES
1 *4826:LO flash_io1_we 21.7893
*END
*D_NET *7 0.00106908
*CONN
*P flash_io1_write O
*I *4827:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 flash_io1_write 0.000534541
2 *4827:LO 0.000534541
*RES
1 *4827:LO flash_io1_write 21.7893
*END
*D_NET *8 0.00106908
*CONN
*P flash_sck O
*I *4828:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 flash_sck 0.000534541
2 *4828:LO 0.000534541
*RES
1 *4828:LO flash_sck 21.7893
*END
*D_NET *9 0.00288173
*CONN
*P sram_addr0[0] O
*I *4829:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[0] 0.000768556
2 *4829:LO 0.000768556
3 sram_addr0[0] sram_web0 0
4 sram_addr0[0] wb_ack_o 0.000426282
5 sram_addr0[0] *5855:B 0.000151726
6 sram_addr0[0] *5988:A 0.000135554
7 sram_addr0[0] *5989:A 2.65e-05
8 sram_addr0[0] *5990:A 0.000578132
9 sram_addr0[0] *406:8 2.64257e-05
*RES
1 *4829:LO sram_addr0[0] 31.0214
*END
*D_NET *10 0.00124685
*CONN
*P sram_addr0[1] O
*I *4830:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[1] 0.000602096
2 *4830:LO 0.000602096
3 sram_addr0[1] sram_addr1[1] 0
4 sram_addr0[1] sram_din0[1] 0
5 sram_addr0[1] sram_wmask0[0] 0
6 sram_addr0[1] *5908:CLK 4.26566e-05
7 sram_addr0[1] *5936:A 0
8 sram_addr0[1] *5988:A 0
9 sram_addr0[1] *6002:A 0
*RES
1 *4830:LO sram_addr0[1] 23.0036
*END
*D_NET *11 0.00129463
*CONN
*P sram_addr0[2] O
*I *4831:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[2] 0.000625984
2 *4831:LO 0.000625984
3 sram_addr0[2] sram_addr1[2] 0
4 sram_addr0[2] sram_din0[2] 0
5 sram_addr0[2] sram_wmask0[1] 0
6 sram_addr0[2] *6003:A 0
7 sram_addr0[2] *270:19 4.26566e-05
8 sram_addr0[2] *364:36 0
9 sram_addr0[2] *364:38 0
*RES
1 *4831:LO sram_addr0[2] 23.0036
*END
*D_NET *12 0.00148577
*CONN
*P sram_addr0[3] O
*I *4832:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[3] 0.000387905
2 *4832:LO 0.000387905
3 sram_addr0[3] sram_addr1[3] 0
4 sram_addr0[3] sram_wmask0[2] 0
5 sram_addr0[3] *5818:A 0
6 sram_addr0[3] *5822:A 0.000274114
7 sram_addr0[3] *5826:A 0
8 sram_addr0[3] *363:7 0.000217923
9 sram_addr0[3] *364:31 0.000217923
*RES
1 *4832:LO sram_addr0[3] 21.5929
*END
*D_NET *13 0.00117041
*CONN
*P sram_addr0[4] O
*I *4833:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[4] 0.000467027
2 *4833:LO 0.000467027
3 sram_addr0[4] sram_addr1[4] 0
4 sram_addr0[4] sram_wmask0[3] 0
5 sram_addr0[4] *363:7 0.00011818
6 sram_addr0[4] *364:31 0.00011818
*RES
1 *4833:LO sram_addr0[4] 20.7714
*END
*D_NET *14 0.00106393
*CONN
*P sram_addr0[5] O
*I *4834:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[5] 0.000466894
2 *4834:LO 0.000466894
3 sram_addr0[5] sram_addr1[5] 0
4 sram_addr0[5] sram_din0[5] 0
5 sram_addr0[5] *5955:A 0
6 sram_addr0[5] *363:7 6.50727e-05
7 sram_addr0[5] *364:31 6.50727e-05
*RES
1 *4834:LO sram_addr0[5] 20.3607
*END
*D_NET *15 0.00152143
*CONN
*P sram_addr0[6] O
*I *4835:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[6] 0.000660716
2 *4835:LO 0.000660716
3 sram_addr0[6] sram_addr1[6] 0
4 sram_addr0[6] *5956:A 0
5 sram_addr0[6] *376:13 0
6 sram_addr0[6] *377:10 0.000167076
7 sram_addr0[6] *418:11 3.29231e-05
*RES
1 *4835:LO sram_addr0[6] 23.0036
*END
*D_NET *16 0.00139897
*CONN
*P sram_addr0[7] O
*I *4836:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[7] 0.000469791
2 *4836:LO 0.000469791
3 sram_addr0[7] sram_addr1[7] 0
4 sram_addr0[7] *5957:A 0
5 sram_addr0[7] *6009:A 0
6 sram_addr0[7] *358:16 1.90218e-05
7 sram_addr0[7] *363:7 0.000220183
8 sram_addr0[7] *364:31 0.000220183
9 sram_addr0[7] *365:21 0
*RES
1 *4836:LO sram_addr0[7] 21.5929
*END
*D_NET *17 0.00118673
*CONN
*P sram_addr0[8] O
*I *4837:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[8] 0.000467342
2 *4837:LO 0.000467342
3 sram_addr0[8] sram_addr1[8] 0
4 sram_addr0[8] *5959:A 0
5 sram_addr0[8] *363:7 0.000118166
6 sram_addr0[8] *364:30 1.5714e-05
7 sram_addr0[8] *364:31 0.000118166
8 sram_addr0[8] *380:14 0
*RES
1 *4837:LO sram_addr0[8] 20.7714
*END
*D_NET *18 0.00202127
*CONN
*P sram_addr1[0] O
*I *6001:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[0] 0.000501637
2 *6001:X 0.000501637
3 sram_addr1[0] sram_din0[0] 0
4 sram_addr1[0] wb_ack_o 0.000315009
5 sram_addr1[0] wb_stall_o 6.11074e-05
6 sram_addr1[0] *5987:A 0.000464814
7 sram_addr1[0] *6000:A 2.82583e-05
8 sram_addr1[0] *6001:A 0.000148806
*RES
1 *6001:X sram_addr1[0] 23.7
*END
*D_NET *19 0.00123673
*CONN
*P sram_addr1[1] O
*I *6002:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[1] 0.000562378
2 *6002:X 0.000562378
3 sram_addr1[1] sram_din0[1] 1.00846e-05
4 sram_addr1[1] *6002:A 9.9028e-05
5 sram_addr1[1] *363:13 2.86013e-06
6 sram_addr0[1] sram_addr1[1] 0
*RES
1 *6002:X sram_addr1[1] 21.8071
*END
*D_NET *20 0.00108249
*CONN
*P sram_addr1[2] O
*I *6003:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[2] 0.000455603
2 *6003:X 0.000455603
3 sram_addr1[2] sram_din0[2] 0
4 sram_addr1[2] *364:31 0.000171288
5 sram_addr0[2] sram_addr1[2] 0
*RES
1 *6003:X sram_addr1[2] 20.575
*END
*D_NET *21 0.00131286
*CONN
*P sram_addr1[3] O
*I *6004:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[3] 0.000414559
2 *6004:X 0.000414559
3 sram_addr1[3] sram_din0[3] 0
4 sram_addr1[3] *5793:A 6.60052e-05
5 sram_addr1[3] *5826:A 0.000252891
6 sram_addr1[3] *364:31 0.000164843
7 sram_addr0[3] sram_addr1[3] 0
*RES
1 *6004:X sram_addr1[3] 20.575
*END
*D_NET *22 0.00119179
*CONN
*P sram_addr1[4] O
*I *6005:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[4] 0.000510252
2 *6005:X 0.000510252
3 sram_addr1[4] sram_din0[4] 0
4 sram_addr1[4] *364:31 0.000171288
5 sram_addr0[4] sram_addr1[4] 0
*RES
1 *6005:X sram_addr1[4] 20.575
*END
*D_NET *23 0.00119179
*CONN
*P sram_addr1[5] O
*I *6006:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[5] 0.000510252
2 *6006:X 0.000510252
3 sram_addr1[5] sram_din0[5] 0
4 sram_addr1[5] *364:31 0.000171288
5 sram_addr0[5] sram_addr1[5] 0
*RES
1 *6006:X sram_addr1[5] 20.575
*END
*D_NET *24 0.00104784
*CONN
*P sram_addr1[6] O
*I *6007:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[6] 0.000408112
2 *6007:X 0.000408112
3 sram_addr1[6] sram_din0[6] 0.000231616
4 sram_addr0[6] sram_addr1[6] 0
*RES
1 *6007:X sram_addr1[6] 22.1643
*END
*D_NET *25 0.00117809
*CONN
*P sram_addr1[7] O
*I *6008:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[7] 0.0005034
2 *6008:X 0.0005034
3 sram_addr1[7] sram_din0[7] 0
4 sram_addr1[7] *364:31 0.000171288
5 sram_addr0[7] sram_addr1[7] 0
*RES
1 *6008:X sram_addr1[7] 20.575
*END
*D_NET *26 0.00118656
*CONN
*P sram_addr1[8] O
*I *6009:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[8] 0.000507313
2 *6009:X 0.000507313
3 sram_addr1[8] sram_din0[8] 0
4 sram_addr1[8] *364:30 0.000164843
5 sram_addr1[8] *380:14 7.08723e-06
6 sram_addr0[8] sram_addr1[8] 0
*RES
1 *6009:X sram_addr1[8] 20.575
*END
*D_NET *27 0.00200387
*CONN
*P sram_clk0 O
*I *6010:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_clk0 0.000977882
2 *6010:X 0.000977882
3 sram_clk0 sram_clk1 0
4 sram_clk0 *6010:A 4.81015e-05
*RES
1 *6010:X sram_clk0 30.3607
*END
*D_NET *28 0.00226673
*CONN
*P sram_clk1 O
*I *6011:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_clk1 0.000958094
2 *6011:X 0.000958094
3 sram_clk1 sram_csb0 0
4 sram_clk1 sram_csb1 0.000171288
5 sram_clk1 *284:17 7.97098e-06
6 sram_clk1 *406:15 0.000171288
7 sram_clk0 sram_clk1 0
*RES
1 *6011:X sram_clk1 30.7
*END
*D_NET *29 0.00362863
*CONN
*P sram_csb0 O
*I *4872:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_csb0 0.00131224
2 *4872:HI 0.00131224
3 sram_csb0 sram_csb1 2.27135e-05
4 sram_csb0 sram_web0 0
5 sram_csb0 wb_data_o[0] 0.000107981
6 sram_csb0 *5986:A 0.000272732
7 sram_csb0 *5989:A 0.000120546
8 sram_csb0 *409:8 6.12686e-06
9 sram_csb0 *424:14 0.000346871
10 sram_csb0 *426:18 0.000127179
11 sram_clk1 sram_csb0 0
*RES
1 *4872:HI sram_csb0 38.2714
*END
*D_NET *30 0.00146935
*CONN
*P sram_csb1 O
*I *6012:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_csb1 0.000547491
2 *6012:X 0.000547491
3 sram_csb1 sram_web0 0
4 sram_csb1 *5986:A 0.00018037
5 sram_clk1 sram_csb1 0.000171288
6 sram_csb0 sram_csb1 2.27135e-05
*RES
1 *6012:X sram_csb1 23.0036
*END
*D_NET *31 0.00177599
*CONN
*P sram_din0[0] O
*I *4838:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[0] 0.000660166
2 *4838:LO 0.000660166
3 sram_din0[0] wb_error_o 0.000397817
4 sram_din0[0] *5854:A1 0
5 sram_din0[0] *5909:D 4.12533e-05
6 sram_din0[0] *5936:A 0
7 sram_din0[0] *5987:A 0
8 sram_din0[0] *283:16 1.65872e-05
9 sram_addr1[0] sram_din0[0] 0
*RES
1 *4838:LO sram_din0[0] 26.45
*END
*D_NET *32 0.00218737
*CONN
*P sram_din0[10] O
*I *4848:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[10] 0.000510427
2 *4848:LO 0.000510427
3 sram_din0[10] *5947:A 0
4 sram_din0[10] *5961:A 0
5 sram_din0[10] *363:7 0.000583258
6 sram_din0[10] *364:30 0.000583258
7 sram_din0[10] *378:13 0
*RES
1 *4848:LO sram_din0[10] 24.4679
*END
*D_NET *33 0.00128851
*CONN
*P sram_din0[11] O
*I *4849:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[11] 0.000472967
2 *4849:LO 0.000472967
3 sram_din0[11] *5947:A 0
4 sram_din0[11] *5958:A 0
5 sram_din0[11] *363:7 0.000171288
6 sram_din0[11] *364:30 0.000171288
*RES
1 *4849:LO sram_din0[11] 21.1821
*END
*D_NET *34 0.0015317
*CONN
*P sram_din0[12] O
*I *4850:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[12] 0.000680204
2 *4850:LO 0.000680204
3 sram_din0[12] *5958:A 0
4 sram_din0[12] *373:15 0.000171288
*RES
1 *4850:LO sram_din0[12] 23.0036
*END
*D_NET *35 0.0014936
*CONN
*P sram_din0[13] O
*I *4851:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[13] 0.000667563
2 *4851:LO 0.000667563
3 sram_din0[13] *5969:A 0
4 sram_din0[13] *373:9 2.32834e-05
5 sram_din0[13] *389:8 0.000135188
*RES
1 *4851:LO sram_din0[13] 23.0036
*END
*D_NET *36 0.00126902
*CONN
*P sram_din0[14] O
*I *4852:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[14] 0.000463222
2 *4852:LO 0.000463222
3 sram_din0[14] *5980:A 0
4 sram_din0[14] *363:7 0.000171288
5 sram_din0[14] *364:30 0.000171288
*RES
1 *4852:LO sram_din0[14] 21.1821
*END
*D_NET *37 0.00171915
*CONN
*P sram_din0[15] O
*I *4853:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[15] 0.00075761
2 *4853:LO 0.00075761
3 sram_din0[15] *5991:A 0
4 sram_din0[15] *5992:A 0
5 sram_din0[15] *373:9 4.95737e-05
6 sram_din0[15] *411:8 0.000154357
*RES
1 *4853:LO sram_din0[15] 24.4857
*END
*D_NET *38 0.00180946
*CONN
*P sram_din0[16] O
*I *4854:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[16] 0.000821552
2 *4854:LO 0.000821552
3 sram_din0[16] *5992:A 0
4 sram_din0[16] *373:9 7.98549e-05
5 sram_din0[16] *422:8 8.65002e-05
*RES
1 *4854:LO sram_din0[16] 25.0571
*END
*D_NET *39 0.00159198
*CONN
*P sram_din0[17] O
*I *4855:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[17] 0.000438715
2 *4855:LO 0.000438715
3 sram_din0[17] *5993:A 0
4 sram_din0[17] *363:7 0.00033061
5 sram_din0[17] *364:19 0.00033061
6 sram_din0[17] *433:8 5.33266e-05
*RES
1 *4855:LO sram_din0[17] 22.4143
*END
*D_NET *40 0.00138563
*CONN
*P sram_din0[18] O
*I *4856:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[18] 0.000678123
2 *4856:LO 0.000678123
3 sram_din0[18] *5937:A 0
4 sram_din0[18] *5994:A 0
5 sram_din0[18] *362:14 0
6 sram_din0[18] *373:9 2.93863e-05
7 sram_din0[18] *444:8 0
*RES
1 *4856:LO sram_din0[18] 23.0036
*END
*D_NET *41 0.00145844
*CONN
*P sram_din0[19] O
*I *4857:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[19] 0.000451716
2 *4857:LO 0.000451716
3 sram_din0[19] *5937:A 0
4 sram_din0[19] *5938:A 0
5 sram_din0[19] *363:7 0.000277502
6 sram_din0[19] *364:19 0.000277502
*RES
1 *4857:LO sram_din0[19] 22.0036
*END
*D_NET *42 0.001361
*CONN
*P sram_din0[1] O
*I *4839:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[1] 0.000499273
2 *4839:LO 0.000499273
3 sram_din0[1] *6002:A 0.000277502
4 sram_din0[1] *360:12 0
5 sram_din0[1] *363:13 7.48633e-05
6 sram_addr0[1] sram_din0[1] 0
7 sram_addr1[1] sram_din0[1] 1.00846e-05
*RES
1 *4839:LO sram_din0[1] 23.1286
*END
*D_NET *43 0.00138508
*CONN
*P sram_din0[20] O
*I *4858:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[20] 0.000677845
2 *4858:LO 0.000677845
3 sram_din0[20] *5938:A 0
4 sram_din0[20] *5940:A 0
5 sram_din0[20] *373:9 2.93863e-05
*RES
1 *4858:LO sram_din0[20] 23.0036
*END
*D_NET *44 0.00134339
*CONN
*P sram_din0[21] O
*I *4859:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[21] 0.00064801
2 *4859:LO 0.00064801
3 sram_din0[21] *5940:A 0
4 sram_din0[21] *5941:A 0
5 sram_din0[21] *360:8 1.79807e-05
6 sram_din0[21] *373:9 2.93863e-05
*RES
1 *4859:LO sram_din0[21] 23.0036
*END
*D_NET *45 0.00139137
*CONN
*P sram_din0[22] O
*I *4860:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[22] 0.00065295
2 *4860:LO 0.00065295
3 sram_din0[22] *5941:A 0
4 sram_din0[22] *361:6 7.50722e-05
5 sram_din0[22] *373:5 7.1913e-06
6 sram_din0[22] *373:9 3.21004e-06
*RES
1 *4860:LO sram_din0[22] 23.0036
*END
*D_NET *46 0.00180211
*CONN
*P sram_din0[23] O
*I *4861:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[23] 0.000850854
2 *4861:LO 0.000850854
3 sram_din0[23] *5942:A 0
4 sram_din0[23] *362:14 6.81008e-05
5 sram_din0[23] *373:5 3.23019e-05
*RES
1 *4861:LO sram_din0[23] 25.4679
*END
*D_NET *47 0.00129512
*CONN
*P sram_din0[24] O
*I *4862:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[24] 0.0004931
2 *4862:LO 0.0004931
3 sram_din0[24] *5943:A 0
4 sram_din0[24] *364:9 0.000195621
5 sram_din0[24] *365:7 0.000113302
*RES
1 *4862:LO sram_din0[24] 23.2357
*END
*D_NET *48 0.00131053
*CONN
*P sram_din0[25] O
*I *4863:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[25] 0.000649996
2 *4863:LO 0.000649996
3 sram_din0[25] *5944:A 0
4 sram_din0[25] *373:5 1.05422e-05
*RES
1 *4863:LO sram_din0[25] 23.0036
*END
*D_NET *49 0.00160221
*CONN
*P sram_din0[26] O
*I *4864:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[26] 0.000788581
2 *4864:LO 0.000788581
3 sram_din0[26] *5945:A 0
4 sram_din0[26] *373:5 2.50487e-05
*RES
1 *4864:LO sram_din0[26] 24.6464
*END
*D_NET *50 0.0013235
*CONN
*P sram_din0[27] O
*I *4865:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[27] 0.000620052
2 *4865:LO 0.000620052
3 sram_din0[27] *5946:A 0
4 sram_din0[27] *366:8 7.50722e-05
5 sram_din0[27] *373:5 8.3247e-06
*RES
1 *4865:LO sram_din0[27] 23.0036
*END
*D_NET *51 0.00130394
*CONN
*P sram_din0[28] O
*I *4866:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[28] 0.000587262
2 *4866:LO 0.000587262
3 sram_din0[28] *5948:A 0
4 sram_din0[28] *5949:A 0
5 sram_din0[28] *368:8 0.000118873
6 sram_din0[28] *373:5 1.05422e-05
*RES
1 *4866:LO sram_din0[28] 23.0036
*END
*D_NET *52 0.00128872
*CONN
*P sram_din0[29] O
*I *4867:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[29] 0.000619024
2 *4867:LO 0.000619024
3 sram_din0[29] *5949:A 0
4 sram_din0[29] *5950:A 0
5 sram_din0[29] *369:11 4.01315e-05
6 sram_din0[29] *373:5 1.05422e-05
*RES
1 *4867:LO sram_din0[29] 23.0036
*END
*D_NET *53 0.0021482
*CONN
*P sram_din0[2] O
*I *4840:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[2] 0.000490857
2 *4840:LO 0.000490857
3 sram_din0[2] *6003:A 0
4 sram_din0[2] *358:22 0
5 sram_din0[2] *362:24 0.000583244
6 sram_din0[2] *363:7 0.000583244
7 sram_addr0[2] sram_din0[2] 0
8 sram_addr1[2] sram_din0[2] 0
*RES
1 *4840:LO sram_din0[2] 25.075
*END
*D_NET *54 0.00122281
*CONN
*P sram_din0[30] O
*I *4868:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[30] 0.000566705
2 *4868:LO 0.000566705
3 sram_din0[30] *5950:A 0
4 sram_din0[30] *5952:A 0
5 sram_din0[30] *370:8 8.93997e-05
*RES
1 *4868:LO sram_din0[30] 23.6464
*END
*D_NET *55 0.00148081
*CONN
*P sram_din0[31] O
*I *4869:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[31] 0.000699906
2 *4869:LO 0.000699906
3 sram_din0[31] *5952:A 0
4 sram_din0[31] *5953:A 8.09995e-05
*RES
1 *4869:LO sram_din0[31] 24.2357
*END
*D_NET *56 0.00170361
*CONN
*P sram_din0[3] O
*I *4841:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[3] 0.000429145
2 *4841:LO 0.000429145
3 sram_din0[3] *5793:A 5.68225e-06
4 sram_din0[3] *363:7 0.00033061
5 sram_din0[3] *364:31 0.00033061
6 sram_din0[3] *433:14 0.000178422
7 sram_addr1[3] sram_din0[3] 0
*RES
1 *4841:LO sram_din0[3] 22.4143
*END
*D_NET *57 0.0014176
*CONN
*P sram_din0[4] O
*I *4842:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[4] 0.000687473
2 *4842:LO 0.000687473
3 sram_din0[4] *5955:A 0
4 sram_din0[4] *375:17 4.26566e-05
5 sram_din0[4] *416:10 0
6 sram_addr1[4] sram_din0[4] 0
*RES
1 *4842:LO sram_din0[4] 23.0036
*END
*D_NET *58 0.00152151
*CONN
*P sram_din0[5] O
*I *4843:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[5] 0.000675113
2 *4843:LO 0.000675113
3 sram_din0[5] *376:13 0
4 sram_din0[5] *377:10 0.000171288
5 sram_din0[5] *417:10 0
6 sram_addr0[5] sram_din0[5] 0
7 sram_addr1[5] sram_din0[5] 0
*RES
1 *4843:LO sram_din0[5] 23.0036
*END
*D_NET *59 0.00159854
*CONN
*P sram_din0[6] O
*I *4844:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[6] 0.000597818
2 *4844:LO 0.000597818
3 sram_din0[6] *5957:A 0
4 sram_din0[6] *377:10 0.000171288
5 sram_din0[6] *418:11 0
6 sram_addr1[6] sram_din0[6] 0.000231616
*RES
1 *4844:LO sram_din0[6] 23.0036
*END
*D_NET *60 0.00164126
*CONN
*P sram_din0[7] O
*I *4845:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[7] 0.000466208
2 *4845:LO 0.000466208
3 sram_din0[7] *6009:A 4.76283e-05
4 sram_din0[7] *363:7 0.00033061
5 sram_din0[7] *364:31 0.00033061
6 sram_addr1[7] sram_din0[7] 0
*RES
1 *4845:LO sram_din0[7] 22.4143
*END
*D_NET *61 0.00154258
*CONN
*P sram_din0[8] O
*I *4846:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[8] 0.00065763
2 *4846:LO 0.00065763
3 sram_din0[8] *5960:A 0
4 sram_din0[8] *373:15 0.000171288
5 sram_din0[8] *380:14 5.60323e-05
6 sram_din0[8] *381:10 0
7 sram_addr1[8] sram_din0[8] 0
*RES
1 *4846:LO sram_din0[8] 23.0036
*END
*D_NET *62 0.0010639
*CONN
*P sram_din0[9] O
*I *4847:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[9] 0.000466892
2 *4847:LO 0.000466892
3 sram_din0[9] *5960:A 0
4 sram_din0[9] *5961:A 0
5 sram_din0[9] *363:7 6.50586e-05
6 sram_din0[9] *364:30 6.50586e-05
*RES
1 *4847:LO sram_din0[9] 20.3607
*END
*D_NET *95 0.00169541
*CONN
*P sram_dout1[0] I
*I *5936:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[0] 0.000702548
2 *5936:A 0.000702548
3 *5936:A sram_wmask0[0] 0
4 *5936:A wb_error_o 0.000171288
5 *5936:A *5763:A 5.56461e-05
6 *5936:A *5988:A 0
7 *5936:A *6000:A 6.33748e-05
8 sram_addr0[1] *5936:A 0
9 sram_din0[0] *5936:A 0
*RES
1 sram_dout1[0] *5936:A 26.7
*END
*D_NET *96 0.00135465
*CONN
*P sram_dout1[10] I
*I *5947:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 sram_dout1[10] 0.000644791
2 *5947:A 0.000644791
3 *5947:A *373:15 6.50727e-05
4 *5947:A *378:13 0
5 sram_din0[10] *5947:A 0
6 sram_din0[11] *5947:A 0
*RES
1 sram_dout1[10] *5947:A 22.7893
*END
*D_NET *97 0.00106389
*CONN
*P sram_dout1[11] I
*I *5958:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 sram_dout1[11] 0.000466874
2 *5958:A 0.000466874
3 *5958:A *363:7 6.50727e-05
4 *5958:A *364:30 6.50727e-05
5 sram_din0[11] *5958:A 0
6 sram_din0[12] *5958:A 0
*RES
1 sram_dout1[11] *5958:A 20.3607
*END
*D_NET *98 0.00127485
*CONN
*P sram_dout1[12] I
*I *5969:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 sram_dout1[12] 0.000466136
2 *5969:A 0.000466136
3 *5969:A *363:7 0.000171288
4 *5969:A *364:30 0.000171288
5 sram_din0[13] *5969:A 0
*RES
1 sram_dout1[12] *5969:A 21.1821
*END
*D_NET *99 0.00128544
*CONN
*P sram_dout1[13] I
*I *5980:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 sram_dout1[13] 0.00062943
2 *5980:A 0.00062943
3 *5980:A *373:9 2.65831e-05
4 *5980:A *389:8 0
5 sram_din0[14] *5980:A 0
*RES
1 sram_dout1[13] *5980:A 22.7893
*END
*D_NET *100 0.00122874
*CONN
*P sram_dout1[14] I
*I *5991:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 sram_dout1[14] 0.000506138
2 *5991:A 0.000506138
3 *5991:A *364:19 0.000216467
4 *5991:A *411:8 0
5 sram_din0[15] *5991:A 0
*RES
1 sram_dout1[14] *5991:A 21.2893
*END
*D_NET *101 0.00103632
*CONN
*P sram_dout1[15] I
*I *5992:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_dout1[15] 0.00042654
2 *5992:A 0.00042654
3 *5992:A *363:7 6.50727e-05
4 *5992:A *364:19 6.50727e-05
5 *5992:A *422:8 5.30921e-05
6 sram_din0[15] *5992:A 0
7 sram_din0[16] *5992:A 0
*RES
1 sram_dout1[15] *5992:A 20.3607
*END
*D_NET *102 0.00102731
*CONN
*P sram_dout1[16] I
*I *5993:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_dout1[16] 0.000433127
2 *5993:A 0.000433127
3 *5993:A *363:7 6.50727e-05
4 *5993:A *364:19 6.50727e-05
5 *5993:A *433:8 3.09155e-05
6 sram_din0[17] *5993:A 0
*RES
1 sram_dout1[16] *5993:A 20.3607
*END
*D_NET *103 0.00168671
*CONN
*P sram_dout1[17] I
*I *5994:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_dout1[17] 0.000466081
2 *5994:A 0.000466081
3 *5994:A *363:7 0.000377273
4 *5994:A *364:19 0.000377273
5 sram_din0[18] *5994:A 0
*RES
1 sram_dout1[17] *5994:A 22.825
*END
*D_NET *104 0.00109305
*CONN
*P sram_dout1[18] I
*I *5937:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_dout1[18] 0.000389687
2 *5937:A 0.000389687
3 *5937:A *362:14 0.000183533
4 *5937:A *363:7 6.50727e-05
5 *5937:A *364:19 6.50727e-05
6 sram_din0[18] *5937:A 0
7 sram_din0[19] *5937:A 0
*RES
1 sram_dout1[18] *5937:A 20.3607
*END
*D_NET *105 0.00134613
*CONN
*P sram_dout1[19] I
*I *5938:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_dout1[19] 0.000448669
2 *5938:A 0.000448669
3 *5938:A *363:7 0.000224395
4 *5938:A *364:19 0.000224395
5 sram_din0[19] *5938:A 0
6 sram_din0[20] *5938:A 0
*RES
1 sram_dout1[19] *5938:A 21.5929
*END
*D_NET *106 0.00167112
*CONN
*P sram_dout1[1] I
*I *5939:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[1] 0.000635759
2 *5939:A 0.000635759
3 *5939:A sram_wmask0[1] 0
4 *5939:A *270:19 6.50586e-05
5 *5939:A *284:17 0.000161493
6 *5939:A *360:12 0
7 *5939:A *362:24 0.000127179
8 *5939:A *364:36 4.58666e-05
*RES
1 sram_dout1[1] *5939:A 26.0929
*END
*D_NET *107 0.000998377
*CONN
*P sram_dout1[20] I
*I *5940:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[20] 0.000431108
2 *5940:A 0.000431108
3 *5940:A *360:8 1.79807e-05
4 *5940:A *364:9 0.00011818
5 sram_din0[20] *5940:A 0
6 sram_din0[21] *5940:A 0
*RES
1 sram_dout1[20] *5940:A 20.4679
*END
*D_NET *108 0.00123726
*CONN
*P sram_dout1[21] I
*I *5941:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[21] 0.000481986
2 *5941:A 0.000481986
3 *5941:A *364:9 0.00027329
4 sram_din0[21] *5941:A 0
5 sram_din0[22] *5941:A 0
*RES
1 sram_dout1[21] *5941:A 21.7
*END
*D_NET *109 0.000988053
*CONN
*P sram_dout1[22] I
*I *5942:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[22] 0.000412551
2 *5942:A 0.000412551
3 *5942:A *362:14 4.47713e-05
4 *5942:A *364:9 0.00011818
5 sram_din0[23] *5942:A 0
*RES
1 sram_dout1[22] *5942:A 20.4679
*END
*D_NET *110 0.000967371
*CONN
*P sram_dout1[23] I
*I *5943:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[23] 0.000424595
2 *5943:A 0.000424595
3 *5943:A *364:9 0.00011818
4 sram_din0[24] *5943:A 0
*RES
1 sram_dout1[23] *5943:A 20.4679
*END
*D_NET *111 0.00183877
*CONN
*P sram_dout1[24] I
*I *5944:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[24] 0.000517509
2 *5944:A 0.000517509
3 *5944:A *364:9 0.000681109
4 *5944:A *365:7 0.000122642
5 sram_din0[25] *5944:A 0
*RES
1 sram_dout1[24] *5944:A 24.9857
*END
*D_NET *112 0.00113535
*CONN
*P sram_dout1[25] I
*I *5945:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_dout1[25] 0.000528245
2 *5945:A 0.000528245
3 *5945:A *365:7 7.88559e-05
4 sram_din0[26] *5945:A 0
*RES
1 sram_dout1[25] *5945:A 22.4143
*END
*D_NET *113 0.00101205
*CONN
*P sram_dout1[26] I
*I *5946:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_dout1[26] 0.000506027
2 *5946:A 0.000506027
3 sram_din0[27] *5946:A 0
*RES
1 sram_dout1[26] *5946:A 21.5929
*END
*D_NET *114 0.000903428
*CONN
*P sram_dout1[27] I
*I *5948:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[27] 0.000411477
2 *5948:A 0.000411477
3 *5948:A *368:8 8.0474e-05
4 sram_din0[28] *5948:A 0
*RES
1 sram_dout1[27] *5948:A 20.4679
*END
*D_NET *115 0.00108077
*CONN
*P sram_dout1[28] I
*I *5949:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[28] 0.000540385
2 *5949:A 0.000540385
3 sram_din0[28] *5949:A 0
4 sram_din0[29] *5949:A 0
*RES
1 sram_dout1[28] *5949:A 22.1107
*END
*D_NET *116 0.00088138
*CONN
*P sram_dout1[29] I
*I *5950:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[29] 0.00039599
2 *5950:A 0.00039599
3 *5950:A *369:11 0
4 *5950:A *370:8 8.93997e-05
5 sram_din0[29] *5950:A 0
6 sram_din0[30] *5950:A 0
*RES
1 sram_dout1[29] *5950:A 20.4679
*END
*D_NET *117 0.00164507
*CONN
*P sram_dout1[2] I
*I *5951:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[2] 0.000478928
2 *5951:A 0.000478928
3 *5951:A sram_wmask0[2] 0
4 *5951:A *5769:A 0.000167076
5 *5951:A *358:22 2.35336e-05
6 *5951:A *422:14 0.000123631
7 *5951:A *444:12 0.000372973
*RES
1 sram_dout1[2] *5951:A 23.9143
*END
*D_NET *118 0.00154311
*CONN
*P sram_dout1[30] I
*I *5952:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[30] 0.000736918
2 *5952:A 0.000736918
3 *5952:A *372:5 6.92705e-05
4 sram_din0[30] *5952:A 0
5 sram_din0[31] *5952:A 0
*RES
1 sram_dout1[30] *5952:A 26.1464
*END
*D_NET *119 0.00237849
*CONN
*P sram_dout1[31] I
*I *5953:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[31] 0.001133
2 *5953:A 0.001133
3 *5953:A *373:5 3.14978e-05
4 sram_din0[31] *5953:A 8.09995e-05
*RES
1 sram_dout1[31] *5953:A 34.5929
*END
*D_NET *120 0.00117624
*CONN
*P sram_dout1[3] I
*I *5954:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[3] 0.000469941
2 *5954:A 0.000469941
3 *5954:A sram_wmask0[3] 0
4 *5954:A *363:7 0.00011818
5 *5954:A *364:31 0.00011818
*RES
1 sram_dout1[3] *5954:A 20.7714
*END
*D_NET *121 0.00105811
*CONN
*P sram_dout1[4] I
*I *5955:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[4] 0.000463999
2 *5955:A 0.000463999
3 *5955:A *363:7 6.50586e-05
4 *5955:A *364:31 6.50586e-05
5 sram_addr0[5] *5955:A 0
6 sram_din0[4] *5955:A 0
*RES
1 sram_dout1[4] *5955:A 20.3607
*END
*D_NET *122 0.000903435
*CONN
*P sram_dout1[5] I
*I *5956:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[5] 0.000451718
2 *5956:A 0.000451718
3 sram_addr0[6] *5956:A 0
*RES
1 sram_dout1[5] *5956:A 19.825
*END
*D_NET *123 0.00155138
*CONN
*P sram_dout1[6] I
*I *5957:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[6] 0.000608982
2 *5957:A 0.000608982
3 *5957:A *5848:A 0.00011818
4 *5957:A *358:16 1.72464e-05
5 *5957:A *365:21 7.98099e-05
6 *5957:A *373:17 0.00011818
7 sram_addr0[7] *5957:A 0
8 sram_din0[6] *5957:A 0
*RES
1 sram_dout1[6] *5957:A 23.5036
*END
*D_NET *124 0.00157677
*CONN
*P sram_dout1[7] I
*I *5959:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[7] 0.000702739
2 *5959:A 0.000702739
3 *5959:A *378:13 0.000171288
4 sram_addr0[8] *5959:A 0
*RES
1 sram_dout1[7] *5959:A 23.9143
*END
*D_NET *125 0.00117182
*CONN
*P sram_dout1[8] I
*I *5960:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[8] 0.000463101
2 *5960:A 0.000463101
3 *5960:A *363:7 0.000118166
4 *5960:A *364:30 0.000118166
5 *5960:A *381:10 9.28915e-06
6 sram_din0[8] *5960:A 0
7 sram_din0[9] *5960:A 0
*RES
1 sram_dout1[8] *5960:A 20.7714
*END
*D_NET *126 0.00106389
*CONN
*P sram_dout1[9] I
*I *5961:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 sram_dout1[9] 0.000466874
2 *5961:A 0.000466874
3 *5961:A *363:7 6.50727e-05
4 *5961:A *364:30 6.50727e-05
5 sram_din0[10] *5961:A 0
6 sram_din0[9] *5961:A 0
*RES
1 sram_dout1[9] *5961:A 20.3607
*END
*D_NET *127 0.00276801
*CONN
*P sram_web0 O
*I *4873:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_web0 0.000953596
2 *4873:HI 0.000953596
3 sram_web0 *5990:A 6.08467e-05
4 sram_web0 *284:30 0.000701392
5 sram_web0 *406:8 6.69056e-05
6 sram_web0 *406:31 3.16694e-05
7 sram_web0 *424:14 0
8 sram_addr0[0] sram_web0 0
9 sram_csb0 sram_web0 0
10 sram_csb1 sram_web0 0
*RES
1 *4873:HI sram_web0 32.5036
*END
*D_NET *128 0.000804403
*CONN
*P sram_wmask0[0] O
*I *4874:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_wmask0[0] 0.000397609
2 *4874:HI 0.000397609
3 sram_wmask0[0] *5988:A 9.18559e-06
4 sram_addr0[1] sram_wmask0[0] 0
5 *5936:A sram_wmask0[0] 0
*RES
1 *4874:HI sram_wmask0[0] 19.7536
*END
*D_NET *129 0.00116281
*CONN
*P sram_wmask0[1] O
*I *4875:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_wmask0[1] 0.000488706
2 *4875:HI 0.000488706
3 sram_wmask0[1] *363:7 1.41291e-05
4 sram_wmask0[1] *364:31 0.000171273
5 sram_addr0[2] sram_wmask0[1] 0
6 *5939:A sram_wmask0[1] 0
*RES
1 *4875:HI sram_wmask0[1] 20.9857
*END
*D_NET *130 0.00172645
*CONN
*P sram_wmask0[2] O
*I *4876:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_wmask0[2] 0.000612618
2 *4876:HI 0.000612618
3 sram_wmask0[2] *5822:A 0
4 sram_wmask0[2] *364:31 0.000413266
5 sram_wmask0[2] *422:14 8.79472e-05
6 sram_addr0[3] sram_wmask0[2] 0
7 *5951:A sram_wmask0[2] 0
*RES
1 *4876:HI sram_wmask0[2] 22.6286
*END
*D_NET *131 0.00132119
*CONN
*P sram_wmask0[3] O
*I *4877:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_wmask0[3] 0.000628057
2 *4877:HI 0.000628057
3 sram_wmask0[3] *375:17 6.50727e-05
4 sram_addr0[4] sram_wmask0[3] 0
5 *5954:A sram_wmask0[3] 0
*RES
1 *4877:HI sram_wmask0[3] 22.7893
*END
*D_NET *134 0.00522495
*CONN
*P wb_ack_o O
*I *6013:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_ack_o 0.00127388
2 *6013:X 0.00127388
3 wb_ack_o wb_stall_o 6.11074e-05
4 wb_ack_o *5986:A 0.000201027
5 wb_ack_o *5987:A 0.000146026
6 wb_ack_o *5988:A 0.000133072
7 wb_ack_o *5989:A 0.000510723
8 wb_ack_o *6001:A 1.30489e-05
9 wb_ack_o *6011:A 0.000107496
10 wb_ack_o *425:13 0.000763397
11 sram_addr0[0] wb_ack_o 0.000426282
12 sram_addr1[0] wb_ack_o 0.000315009
*RES
1 *6013:X wb_ack_o 37.5701
*END
*D_NET *136 0.000799888
*CONN
*P wb_adr_i[10] I
*I *5962:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[10] 0.000234077
2 *5962:A 0.000234077
3 *5962:A wb_data_o[10] 0
4 *5962:A wb_data_o[9] 0.00014916
5 *5962:A *424:14 9.12863e-05
6 *5962:A *447:30 9.12863e-05
*RES
1 wb_adr_i[10] *5962:A 14.4177
*END
*D_NET *137 0.00110162
*CONN
*P wb_adr_i[11] I
*I *5963:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[11] 0.000272966
2 *5963:A 0.000272966
3 *5963:A wb_data_o[10] 0.000153847
4 *5963:A wb_data_o[11] 0
5 *5963:A *424:14 0.000200922
6 *5963:A *447:30 0.000200922
*RES
1 wb_adr_i[11] *5963:A 15.9356
*END
*D_NET *138 0.000962797
*CONN
*P wb_adr_i[12] I
*I *5964:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[12] 0.000244387
2 *5964:A 0.000244387
3 *5964:A wb_data_o[11] 0.000153847
4 *5964:A wb_data_o[12] 0
5 *5964:A *424:14 0.000160088
6 *5964:A *447:30 0.000160088
*RES
1 wb_adr_i[12] *5964:A 15.3284
*END
*D_NET *139 0.000966659
*CONN
*P wb_adr_i[13] I
*I *5965:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[13] 0.00024648
2 *5965:A 0.00024648
3 *5965:A wb_data_o[12] 0.000253997
4 *5965:A wb_data_o[13] 0
5 *5965:A *446:40 6.46024e-05
6 *5965:A *447:30 0.000155098
*RES
1 wb_adr_i[13] *5965:A 15.3284
*END
*D_NET *140 0.0012428
*CONN
*P wb_adr_i[14] I
*I *5966:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[14] 0.000390498
2 *5966:A 0.000390498
3 *5966:A wb_data_o[13] 0.000127641
4 *5966:A wb_data_o[14] 0
5 *5966:A *5887:A1 1.82679e-05
6 *5966:A *447:30 0.000315896
*RES
1 wb_adr_i[14] *5966:A 18.2557
*END
*D_NET *141 0.000750063
*CONN
*P wb_adr_i[15] I
*I *5967:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[15] 0.000235211
2 *5967:A 0.000235211
3 *5967:A wb_data_o[14] 0.00014916
4 *5967:A wb_data_o[15] 0
5 *5967:A *447:30 6.52404e-05
6 *5967:A *448:34 6.52404e-05
*RES
1 wb_adr_i[15] *5967:A 14.1141
*END
*D_NET *142 0.000910295
*CONN
*P wb_adr_i[16] I
*I *5968:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[16] 0.00029416
2 *5968:A 0.00029416
3 *5968:A wb_data_o[15] 0.000129968
4 *5968:A wb_data_o[16] 0
5 *5968:A *5891:A1 4.31703e-05
6 *5968:A *447:30 0.000148836
*RES
1 wb_adr_i[16] *5968:A 16.1307
*END
*D_NET *143 0.00100462
*CONN
*P wb_adr_i[17] I
*I *5970:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[17] 0.000238781
2 *5970:A 0.000238781
3 *5970:A wb_data_o[16] 0.000154819
4 *5970:A wb_data_o[17] 0
5 *5970:A *447:30 0.000186119
6 *5970:A *448:34 0.000186119
*RES
1 wb_adr_i[17] *5970:A 15.632
*END
*D_NET *144 0.00167768
*CONN
*P wb_adr_i[18] I
*I *5971:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[18] 0.000467949
2 *5971:A 0.000467949
3 *5971:A wb_data_o[17] 0.000127641
4 *5971:A wb_data_o[18] 6.53403e-05
5 *5971:A *391:15 4.31703e-05
6 *5971:A *447:30 0.000505633
*RES
1 wb_adr_i[18] *5971:A 20.6843
*END
*D_NET *145 0.00184043
*CONN
*P wb_adr_i[19] I
*I *5972:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[19] 0.000769486
2 *5972:A 0.000769486
3 *5972:A wb_data_o[18] 0.000143624
4 *5972:A wb_data_o[19] 0
5 *5972:A *5898:A1 2.36701e-05
6 *5972:A *5902:S 0
7 *5972:A *451:20 0.000134164
*RES
1 wb_adr_i[19] *5972:A 16.1779
*END
*D_NET *147 0.000786002
*CONN
*P wb_adr_i[20] I
*I *5973:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[20] 0.000225064
2 *5973:A 0.000225064
3 *5973:A wb_data_o[19] 0.000153746
4 *5973:A wb_data_o[20] 0
5 *5973:A *447:30 9.10636e-05
6 *5973:A *448:34 9.10636e-05
*RES
1 wb_adr_i[20] *5973:A 14.4177
*END
*D_NET *148 0.0010794
*CONN
*P wb_adr_i[21] I
*I *5974:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[21] 0.000252833
2 *5974:A 0.000252833
3 *5974:A wb_data_o[20] 0.00014916
4 *5974:A wb_data_o[21] 0
5 *5974:A *447:30 0.000212287
6 *5974:A *448:34 0.000212287
*RES
1 wb_adr_i[21] *5974:A 15.9356
*END
*D_NET *149 0.000964311
*CONN
*P wb_adr_i[22] I
*I *5975:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[22] 0.000247465
2 *5975:A 0.000247465
3 *5975:A wb_data_o[21] 0.000159506
4 *5975:A wb_data_o[22] 0
5 *5975:A *6032:A 0.000150629
6 *5975:A *6033:A 0.000159246
*RES
1 wb_adr_i[22] *5975:A 15.3284
*END
*D_NET *150 0.000842374
*CONN
*P wb_adr_i[23] I
*I *5976:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[23] 0.000237715
2 *5976:A 0.000237715
3 *5976:A wb_data_o[22] 0.000150739
4 *5976:A wb_data_o[23] 0
5 *5976:A *6032:A 0.000108103
6 *5976:A *6033:A 0.000108103
*RES
1 wb_adr_i[23] *5976:A 14.7213
*END
*D_NET *151 0.000621668
*CONN
*P wb_adr_i[2] I
*I *5977:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[2] 0.000239287
2 *5977:A 0.000239287
3 *5977:A wb_data_o[1] 0
4 *5977:A wb_data_o[2] 7.2996e-05
5 *5977:A *424:14 7.00991e-05
*RES
1 wb_adr_i[2] *5977:A 14.1141
*END
*D_NET *152 0.000685776
*CONN
*P wb_adr_i[3] I
*I *5978:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[3] 0.000277817
2 *5978:A 0.000277817
3 *5978:A wb_data_o[2] 0
4 *5978:A wb_data_o[3] 0
5 *5978:A *424:14 9.10377e-05
6 *5978:A *446:30 3.91048e-05
*RES
1 wb_adr_i[3] *5978:A 14.4177
*END
*D_NET *153 0.000682657
*CONN
*P wb_adr_i[4] I
*I *5979:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[4] 0.000271255
2 *5979:A 0.000271255
3 *5979:A wb_data_o[3] 0
4 *5979:A wb_data_o[4] 0
5 *5979:A *424:14 7.00732e-05
6 *5979:A *447:30 7.00732e-05
*RES
1 wb_adr_i[4] *5979:A 14.1141
*END
*D_NET *154 0.000768408
*CONN
*P wb_adr_i[5] I
*I *5981:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[5] 0.000244384
2 *5981:A 0.000244384
3 *5981:A wb_data_o[4] 0.00014916
4 *5981:A wb_data_o[5] 0
5 *5981:A *424:14 6.52404e-05
6 *5981:A *447:30 6.52404e-05
*RES
1 wb_adr_i[5] *5981:A 14.1141
*END
*D_NET *155 0.00102623
*CONN
*P wb_adr_i[6] I
*I *5982:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[6] 0.000294188
2 *5982:A 0.000294188
3 *5982:A wb_data_o[5] 0.000124309
4 *5982:A wb_data_o[6] 0
5 *5982:A *446:30 0.000158538
6 *5982:A *447:30 0.000155012
*RES
1 wb_adr_i[6] *5982:A 16.1307
*END
*D_NET *156 0.00140322
*CONN
*P wb_adr_i[7] I
*I *5983:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[7] 0.000469425
2 *5983:A 0.000469425
3 *5983:A wb_data_o[6] 0.000153847
4 *5983:A wb_data_o[7] 7.3664e-05
5 *5983:A *283:21 5.17868e-05
6 *5983:A *452:8 0.000185069
*RES
1 wb_adr_i[7] *5983:A 16.1365
*END
*D_NET *157 0.00117455
*CONN
*P wb_adr_i[8] I
*I *5984:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[8] 0.000300686
2 *5984:A 0.000300686
3 *5984:A wb_data_o[7] 0.000124309
4 *5984:A wb_data_o[8] 0
5 *5984:A *5874:A1 4.31703e-05
6 *5984:A *446:30 0.000209609
7 *5984:A *447:30 0.000196086
*RES
1 wb_adr_i[8] *5984:A 16.7379
*END
*D_NET *158 0.000911317
*CONN
*P wb_adr_i[9] I
*I *5985:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[9] 0.000249697
2 *5985:A 0.000249697
3 *5985:A wb_data_o[8] 0.000143624
4 *5985:A wb_data_o[9] 0
5 *5985:A *424:14 0.000134149
6 *5985:A *447:30 0.000134149
*RES
1 wb_adr_i[9] *5985:A 15.0248
*END
*D_NET *159 0.00159208
*CONN
*P wb_clk_i I
*I *5986:A I *D sky130_fd_sc_hd__buf_8
*CAP
1 wb_clk_i 0.000409284
2 *5986:A 0.000409284
3 *5986:A *5987:A 0.000119388
4 sram_csb0 *5986:A 0.000272732
5 sram_csb1 *5986:A 0.00018037
6 wb_ack_o *5986:A 0.000201027
*RES
1 wb_clk_i *5986:A 22.3265
*END
*D_NET *160 0.00584731
*CONN
*P wb_cyc_i I
*I *5987:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_cyc_i 0.000995335
2 *5987:A 0.000995335
3 *5987:A wb_error_o 0.00120698
4 *5987:A *5852:A2 0.00014663
5 *5987:A *5852:B1 0
6 *5987:A *5854:B1 0
7 *5987:A *5989:A 0.000118166
8 *5987:A *6001:A 0.00113157
9 *5987:A *407:10 3.31745e-05
10 *5987:A *409:8 0.000489892
11 *5987:A *425:13 0
12 sram_addr1[0] *5987:A 0.000464814
13 sram_din0[0] *5987:A 0
14 wb_ack_o *5987:A 0.000146026
15 *5986:A *5987:A 0.000119388
*RES
1 wb_cyc_i *5987:A 37.822
*END
*D_NET *193 0.000790431
*CONN
*P wb_data_o[0] O
*I *6014:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[0] 0.000287235
2 *6014:X 0.000287235
3 wb_data_o[0] *5990:A 0
4 wb_data_o[0] *424:14 0.000107981
5 sram_csb0 wb_data_o[0] 0.000107981
*RES
1 *6014:X wb_data_o[0] 14.7213
*END
*D_NET *194 0.000845095
*CONN
*P wb_data_o[10] O
*I *6015:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[10] 0.000232546
2 *6015:X 0.000232546
3 wb_data_o[10] *424:14 0.000113077
4 wb_data_o[10] *447:30 0.000113077
5 *5962:A wb_data_o[10] 0
6 *5963:A wb_data_o[10] 0.000153847
*RES
1 *6015:X wb_data_o[10] 14.7213
*END
*D_NET *195 0.000725845
*CONN
*P wb_data_o[11] O
*I *6016:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[11] 0.000224891
2 *6016:X 0.000224891
3 wb_data_o[11] *424:14 6.11074e-05
4 wb_data_o[11] *447:30 6.11074e-05
5 *5963:A wb_data_o[11] 0
6 *5964:A wb_data_o[11] 0.000153847
*RES
1 *6016:X wb_data_o[11] 14.1141
*END
*D_NET *196 0.000664724
*CONN
*P wb_data_o[12] O
*I *6017:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[12] 0.000192225
2 *6017:X 0.000192225
3 wb_data_o[12] *446:40 7.13655e-06
4 wb_data_o[12] *447:30 1.91391e-05
5 *5964:A wb_data_o[12] 0
6 *5965:A wb_data_o[12] 0.000253997
*RES
1 *6017:X wb_data_o[12] 13.507
*END
*D_NET *197 0.000577515
*CONN
*P wb_data_o[13] O
*I *6018:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[13] 0.000209014
2 *6018:X 0.000209014
3 wb_data_o[13] wb_data_o[14] 0
4 wb_data_o[13] *446:40 3.58315e-06
5 wb_data_o[13] *447:30 1.91391e-05
6 wb_data_o[13] *448:34 9.12416e-06
7 *5965:A wb_data_o[13] 0
8 *5966:A wb_data_o[13] 0.000127641
*RES
1 *6018:X wb_data_o[13] 13.507
*END
*D_NET *198 0.000846562
*CONN
*P wb_data_o[14] O
*I *6019:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[14] 0.000240731
2 *6019:X 0.000240731
3 wb_data_o[14] *447:30 0.00010797
4 wb_data_o[14] *448:34 0.00010797
5 wb_data_o[13] wb_data_o[14] 0
6 *5966:A wb_data_o[14] 0
7 *5967:A wb_data_o[14] 0.00014916
*RES
1 *6019:X wb_data_o[14] 14.7213
*END
*D_NET *199 0.000847984
*CONN
*P wb_data_o[15] O
*I *6020:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[15] 0.000252092
2 *6020:X 0.000252092
3 wb_data_o[15] wb_data_o[16] 0
4 wb_data_o[15] *447:30 0.000106917
5 wb_data_o[15] *448:34 0.000106917
6 *5967:A wb_data_o[15] 0
7 *5968:A wb_data_o[15] 0.000129968
*RES
1 *6020:X wb_data_o[15] 14.7213
*END
*D_NET *200 0.000713764
*CONN
*P wb_data_o[16] O
*I *6021:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[16] 0.000218365
2 *6021:X 0.000218365
3 wb_data_o[16] *447:30 6.11074e-05
4 wb_data_o[16] *448:34 6.11074e-05
5 wb_data_o[15] wb_data_o[16] 0
6 *5968:A wb_data_o[16] 0
7 *5970:A wb_data_o[16] 0.000154819
*RES
1 *6021:X wb_data_o[16] 14.1141
*END
*D_NET *201 0.000576862
*CONN
*P wb_data_o[17] O
*I *6022:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[17] 0.000205472
2 *6022:X 0.000205472
3 wb_data_o[17] wb_data_o[18] 0
4 wb_data_o[17] *447:30 1.91391e-05
5 wb_data_o[17] *448:34 1.91391e-05
6 *5970:A wb_data_o[17] 0
7 *5971:A wb_data_o[17] 0.000127641
*RES
1 *6022:X wb_data_o[17] 13.507
*END
*D_NET *202 0.000730765
*CONN
*P wb_data_o[18] O
*I *6023:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[18] 0.000199804
2 *6023:X 0.000199804
3 wb_data_o[18] *447:30 6.1096e-05
4 wb_data_o[18] *448:34 6.1096e-05
5 wb_data_o[17] wb_data_o[18] 0
6 *5971:A wb_data_o[18] 6.53403e-05
7 *5972:A wb_data_o[18] 0.000143624
*RES
1 *6023:X wb_data_o[18] 14.1141
*END
*D_NET *203 0.000665482
*CONN
*P wb_data_o[19] O
*I *6024:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[19] 0.000215736
2 *6024:X 0.000215736
3 wb_data_o[19] *447:30 4.01315e-05
4 wb_data_o[19] *448:34 4.01315e-05
5 *5972:A wb_data_o[19] 0
6 *5973:A wb_data_o[19] 0.000153746
*RES
1 *6024:X wb_data_o[19] 13.8106
*END
*D_NET *204 0.000716487
*CONN
*P wb_data_o[1] O
*I *6025:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[1] 0.000304192
2 *6025:X 0.000304192
3 wb_data_o[1] *424:14 0.000108103
4 *5977:A wb_data_o[1] 0
*RES
1 *6025:X wb_data_o[1] 14.7213
*END
*D_NET *205 0.000851619
*CONN
*P wb_data_o[20] O
*I *6026:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[20] 0.000238152
2 *6026:X 0.000238152
3 wb_data_o[20] *447:30 0.000113077
4 wb_data_o[20] *448:34 0.000113077
5 *5973:A wb_data_o[20] 0
6 *5974:A wb_data_o[20] 0.00014916
*RES
1 *6026:X wb_data_o[20] 14.7213
*END
*D_NET *206 0.000700494
*CONN
*P wb_data_o[21] O
*I *6027:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[21] 0.000212725
2 *6027:X 0.000212725
3 wb_data_o[21] *6034:A 6.34651e-06
4 wb_data_o[21] *447:30 6.11074e-05
5 wb_data_o[21] *448:34 4.80844e-05
6 *5974:A wb_data_o[21] 0
7 *5975:A wb_data_o[21] 0.000159506
*RES
1 *6027:X wb_data_o[21] 14.1141
*END
*D_NET *207 0.000594208
*CONN
*P wb_data_o[22] O
*I *6028:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[22] 0.000202596
2 *6028:X 0.000202596
3 wb_data_o[22] *6032:A 1.91391e-05
4 wb_data_o[22] *6033:A 1.91391e-05
5 *5975:A wb_data_o[22] 0
6 *5976:A wb_data_o[22] 0.000150739
*RES
1 *6028:X wb_data_o[22] 13.507
*END
*D_NET *208 0.000561757
*CONN
*P wb_data_o[23] O
*I *6029:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[23] 0.000225398
2 *6029:X 0.000225398
3 wb_data_o[23] wb_data_o[24] 7.05535e-05
4 wb_data_o[23] *6032:A 2.02035e-05
5 wb_data_o[23] *6033:A 2.02035e-05
6 *5976:A wb_data_o[23] 0
*RES
1 *6029:X wb_data_o[23] 13.507
*END
*D_NET *209 0.000798433
*CONN
*P wb_data_o[24] O
*I *6030:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[24] 0.000250862
2 *6030:X 0.000250862
3 wb_data_o[24] wb_data_o[25] 0
4 wb_data_o[24] *6032:A 0.000113077
5 wb_data_o[24] *6033:A 0.000113077
6 wb_data_o[23] wb_data_o[24] 7.05535e-05
*RES
1 *6030:X wb_data_o[24] 14.7213
*END
*D_NET *210 0.000566449
*CONN
*P wb_data_o[25] O
*I *6031:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[25] 0.000264086
2 *6031:X 0.000264086
3 wb_data_o[25] wb_data_o[26] 0
4 wb_data_o[25] *6032:A 1.91391e-05
5 wb_data_o[25] *6033:A 1.91391e-05
6 wb_data_o[24] wb_data_o[25] 0
*RES
1 *6031:X wb_data_o[25] 13.507
*END
*D_NET *211 0.000779816
*CONN
*P wb_data_o[26] O
*I *6032:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[26] 0.00027683
2 *6032:X 0.00027683
3 wb_data_o[26] wb_data_o[27] 0
4 wb_data_o[26] *6032:A 0.000113077
5 wb_data_o[26] *6033:A 0.000113077
6 wb_data_o[25] wb_data_o[26] 0
*RES
1 *6032:X wb_data_o[26] 14.7213
*END
*D_NET *212 0.000573014
*CONN
*P wb_data_o[27] O
*I *6033:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[27] 0.000276938
2 *6033:X 0.000276938
3 wb_data_o[27] wb_data_o[28] 0
4 wb_data_o[27] *6033:A 1.91391e-05
5 wb_data_o[26] wb_data_o[27] 0
*RES
1 *6033:X wb_data_o[27] 13.507
*END
*D_NET *213 0.000525086
*CONN
*P wb_data_o[28] O
*I *6034:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[28] 0.000262543
2 *6034:X 0.000262543
3 wb_data_o[28] wb_data_o[29] 0
4 wb_data_o[27] wb_data_o[28] 0
*RES
1 *6034:X wb_data_o[28] 13.507
*END
*D_NET *214 0.00212355
*CONN
*P wb_data_o[29] O
*I *6035:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[29] 0.000863778
2 *6035:X 0.000863778
3 wb_data_o[29] wb_data_o[30] 0
4 wb_data_o[29] wb_data_o[31] 0.000395989
5 wb_data_o[29] *6035:A 0
6 wb_data_o[28] wb_data_o[29] 0
*RES
1 *6035:X wb_data_o[29] 21.176
*END
*D_NET *215 0.000561268
*CONN
*P wb_data_o[2] O
*I *6036:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[2] 0.0002301
2 *6036:X 0.0002301
3 wb_data_o[2] *424:14 2.02035e-05
4 wb_data_o[2] *446:30 7.86825e-06
5 *5977:A wb_data_o[2] 7.2996e-05
6 *5978:A wb_data_o[2] 0
*RES
1 *6036:X wb_data_o[2] 13.507
*END
*D_NET *216 0.00213733
*CONN
*P wb_data_o[30] O
*I *6037:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[30] 0.000871728
2 *6037:X 0.000871728
3 wb_data_o[30] wb_data_o[31] 0.000334654
4 wb_data_o[30] *451:20 5.92192e-05
5 flash_csb wb_data_o[30] 0
6 wb_data_o[29] wb_data_o[30] 0
*RES
1 *6037:X wb_data_o[30] 22.8565
*END
*D_NET *217 0.00318046
*CONN
*P wb_data_o[31] O
*I *6038:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[31] 0.00121776
2 *6038:X 0.00121776
3 wb_data_o[31] *6035:A 0
4 wb_data_o[31] *451:20 1.43055e-05
5 flash_csb wb_data_o[31] 0
6 wb_data_o[29] wb_data_o[31] 0.000395989
7 wb_data_o[30] wb_data_o[31] 0.000334654
*RES
1 *6038:X wb_data_o[31] 29.8552
*END
*D_NET *218 0.000617084
*CONN
*P wb_data_o[3] O
*I *6039:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[3] 0.000268411
2 *6039:X 0.000268411
3 wb_data_o[3] *424:14 4.01315e-05
4 wb_data_o[3] *447:30 4.01315e-05
5 *5978:A wb_data_o[3] 0
6 *5979:A wb_data_o[3] 0
*RES
1 *6039:X wb_data_o[3] 13.8106
*END
*D_NET *219 0.000849111
*CONN
*P wb_data_o[4] O
*I *6040:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[4] 0.000236909
2 *6040:X 0.000236909
3 wb_data_o[4] *424:14 0.000113066
4 wb_data_o[4] *447:30 0.000113066
5 *5979:A wb_data_o[4] 0
6 *5981:A wb_data_o[4] 0.00014916
*RES
1 *6040:X wb_data_o[4] 14.7213
*END
*D_NET *220 0.000870311
*CONN
*P wb_data_o[5] O
*I *5995:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[5] 0.000260988
2 *5995:X 0.000260988
3 wb_data_o[5] *424:14 0.000112013
4 wb_data_o[5] *447:30 0.000112013
5 *5981:A wb_data_o[5] 0
6 *5982:A wb_data_o[5] 0.000124309
*RES
1 *5995:X wb_data_o[5] 14.7213
*END
*D_NET *221 0.000738415
*CONN
*P wb_data_o[6] O
*I *5996:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[6] 0.000231177
2 *5996:X 0.000231177
3 wb_data_o[6] *424:14 6.11074e-05
4 wb_data_o[6] *447:30 6.11074e-05
5 *5982:A wb_data_o[6] 0
6 *5983:A wb_data_o[6] 0.000153847
*RES
1 *5996:X wb_data_o[6] 14.1141
*END
*D_NET *222 0.000757497
*CONN
*P wb_data_o[7] O
*I *5997:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[7] 0.000219603
2 *5997:X 0.000219603
3 wb_data_o[7] wb_data_o[8] 0
4 wb_data_o[7] *424:14 6.01588e-05
5 wb_data_o[7] *447:30 6.01588e-05
6 *5983:A wb_data_o[7] 7.3664e-05
7 *5984:A wb_data_o[7] 0.000124309
*RES
1 *5997:X wb_data_o[7] 14.1141
*END
*D_NET *223 0.000622344
*CONN
*P wb_data_o[8] O
*I *5998:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[8] 0.000220221
2 *5998:X 0.000220221
3 wb_data_o[8] *424:14 1.91391e-05
4 wb_data_o[8] *447:30 1.91391e-05
5 wb_data_o[7] wb_data_o[8] 0
6 *5984:A wb_data_o[8] 0
7 *5985:A wb_data_o[8] 0.000143624
*RES
1 *5998:X wb_data_o[8] 13.507
*END
*D_NET *224 0.000660289
*CONN
*P wb_data_o[9] O
*I *5999:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[9] 0.000215433
2 *5999:X 0.000215433
3 wb_data_o[9] *424:14 4.01315e-05
4 wb_data_o[9] *447:30 4.01315e-05
5 *5962:A wb_data_o[9] 0.00014916
6 *5985:A wb_data_o[9] 0
*RES
1 *5999:X wb_data_o[9] 13.8106
*END
*D_NET *225 0.00551767
*CONN
*P wb_error_o O
*I *4870:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 wb_error_o 0.00103146
2 *4870:LO 0.00103146
3 wb_error_o wb_stall_o 0
4 wb_error_o *5763:A 9.27159e-05
5 wb_error_o *5988:A 0.000329589
6 wb_error_o *283:16 0.00125637
7 sram_din0[0] wb_error_o 0.000397817
8 *5936:A wb_error_o 0.000171288
9 *5987:A wb_error_o 0.00120698
*RES
1 *4870:LO wb_error_o 34.8763
*END
*D_NET *226 0.00488808
*CONN
*P wb_rst_i I
*I *5988:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_rst_i 0.00136481
2 *5988:A 0.00136481
3 *5988:A wb_stall_o 0.000329589
4 *5988:A *6000:A 0.00122147
5 *5988:A *6002:A 0
6 sram_addr0[0] *5988:A 0.000135554
7 sram_addr0[1] *5988:A 0
8 sram_wmask0[0] *5988:A 9.18559e-06
9 wb_ack_o *5988:A 0.000133072
10 wb_error_o *5988:A 0.000329589
11 *5936:A *5988:A 0
*RES
1 wb_rst_i *5988:A 35.8367
*END
*D_NET *231 0.00166454
*CONN
*P wb_stall_o O
*I *6000:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_stall_o 0.000426679
2 *6000:X 0.000426679
3 wb_stall_o *5989:A 0.000359374
4 sram_addr1[0] wb_stall_o 6.11074e-05
5 wb_ack_o wb_stall_o 6.11074e-05
6 wb_error_o wb_stall_o 0
7 *5988:A wb_stall_o 0.000329589
*RES
1 *6000:X wb_stall_o 15.2672
*END
*D_NET *232 0.00549685
*CONN
*P wb_stb_i I
*I *5989:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_stb_i 0.00103713
2 *5989:A 0.00103713
3 *5989:A *5855:B 0.000372364
4 *5989:A *5990:A 0.000324915
5 *5989:A *6001:A 0.00107471
6 *5989:A *283:16 0
7 *5989:A *407:10 0.000224381
8 *5989:A *409:8 0.000290909
9 sram_addr0[0] *5989:A 2.65e-05
10 sram_csb0 *5989:A 0.000120546
11 wb_ack_o *5989:A 0.000510723
12 wb_stall_o *5989:A 0.000359374
13 *5987:A *5989:A 0.000118166
*RES
1 wb_stb_i *5989:A 35.5708
*END
*D_NET *233 0.004389
*CONN
*P wb_we_i I
*I *5990:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_we_i 0.00120079
2 *5990:A 0.00120079
3 *5990:A *5855:B 0.000100939
4 *5990:A *6011:A 0
5 *5990:A *283:16 0.000246013
6 *5990:A *406:31 0
7 *5990:A *410:12 0.000676571
8 sram_addr0[0] *5990:A 0.000578132
9 sram_web0 *5990:A 6.08467e-05
10 wb_data_o[0] *5990:A 0
11 *5989:A *5990:A 0.000324915
*RES
1 wb_we_i *5990:A 29.926
*END
*D_NET *234 0.00175122
*CONN
*I *5908:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5851:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *5908:D 0.000553268
2 *5851:Y 0.000553268
3 *5908:D *5763:A 4.28518e-05
4 *5908:D *5851:A 3.14978e-05
5 *5908:D *5908:CLK 1.07248e-05
6 *5908:D *6002:A 8.93309e-05
7 *5908:D *283:10 0.000118485
8 *5908:D *363:14 0.000332543
9 *5908:D *425:13 1.92543e-05
*RES
1 *5851:Y *5908:D 34.1143
*END
*D_NET *235 0.00023248
*CONN
*I *5909:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5854:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *5909:D 7.55536e-05
2 *5854:X 7.55536e-05
3 *5909:D *5854:A1 0
4 *5909:D *5908:CLK 2.13584e-05
5 *5909:D *284:17 1.87611e-05
6 sram_din0[0] *5909:D 4.12533e-05
*RES
1 *5854:X *5909:D 26.1545
*END
*D_NET *236 0.00152196
*CONN
*I *5910:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5856:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *5910:D 0.000189685
2 *5856:X 0.000189685
3 *5910:D *5856:B1 0.000218638
4 *5910:D *438:13 0.000911983
5 *5910:D *477:8 1.19721e-05
*RES
1 *5856:X *5910:D 31.5473
*END
*D_NET *237 0.0025449
*CONN
*I *5911:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5857:Y O *D sky130_fd_sc_hd__a21boi_1
*CAP
1 *5911:D 0.000256533
2 *5857:Y 0.000256533
3 *5911:D *5849:A 0.000111708
4 *5911:D *5849:B 8.60694e-05
5 *5911:D *5856:A1 0.000260325
6 *5911:D *5857:A2 0.000165521
7 *5911:D *5911:CLK 0.000483474
8 *5911:D *477:33 4.30507e-05
9 *5911:D *477:51 0.000157002
10 *5911:D *478:9 0.000724686
*RES
1 *5857:Y *5911:D 35.083
*END
*D_NET *238 0.000512472
*CONN
*I *5912:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5862:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5912:D 7.03113e-05
2 *5862:X 7.03113e-05
3 *5912:D *5862:A 3.77804e-05
4 *5912:D *406:72 0.000121129
5 *5912:D *448:19 0.000116903
6 *5912:D *452:8 9.60366e-05
*RES
1 *5862:X *5912:D 26.9759
*END
*D_NET *239 0.000629997
*CONN
*I *5913:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5864:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5913:D 0.000140324
2 *5864:X 0.000140324
3 *5913:D *5864:A 9.24241e-05
4 *5913:D *5913:CLK 9.38269e-05
5 *5913:D *6036:A 6.92705e-05
6 *5913:D *449:15 9.38269e-05
*RES
1 *5864:X *5913:D 27.333
*END
*D_NET *240 0.000215337
*CONN
*I *5914:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5866:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5914:D 7.5632e-05
2 *5866:X 7.5632e-05
3 *5914:D *5866:A 4.27148e-05
4 *5914:D *5914:CLK 2.13584e-05
*RES
1 *5866:X *5914:D 26.1545
*END
*D_NET *241 0.000470994
*CONN
*I *5915:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5868:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5915:D 0.000104954
2 *5868:X 0.000104954
3 *5915:D *5868:A 0.000123582
4 *5915:D *5869:A1 1.03403e-05
5 *5915:D *324:25 0.000127164
*RES
1 *5868:X *5915:D 26.9937
*END
*D_NET *242 0.000617874
*CONN
*I *5916:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5870:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5916:D 0.000226973
2 *5870:X 0.000226973
3 *5916:D *5916:CLK 0.000163928
*RES
1 *5870:X *5916:D 28.9223
*END
*D_NET *243 0.000469182
*CONN
*I *5917:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5873:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5917:D 0.000104566
2 *5873:X 0.000104566
3 *5917:D *5873:A 8.07794e-05
4 *5917:D *452:8 0.000179271
*RES
1 *5873:X *5917:D 27.4759
*END
*D_NET *244 0.000598896
*CONN
*I *5918:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5875:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5918:D 0.000189984
2 *5875:X 0.000189984
3 *5918:D *5871:A 0.000188266
4 *5918:D *5874:A1 3.06627e-05
*RES
1 *5875:X *5918:D 28.0295
*END
*D_NET *245 0.000267667
*CONN
*I *5919:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5877:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5919:D 5.57458e-05
2 *5877:X 5.57458e-05
3 *5919:D *5877:A 3.77804e-05
4 *5919:D *299:7 1.87611e-05
5 *5919:D *452:8 9.96342e-05
*RES
1 *5877:X *5919:D 26.1545
*END
*D_NET *246 0.000556466
*CONN
*I *5920:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5879:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5920:D 0.000232379
2 *5879:X 0.000232379
3 *5920:D *5879:A 9.17083e-05
4 *5920:D *323:10 0
*RES
1 *5879:X *5920:D 28.4
*END
*D_NET *247 0.000934888
*CONN
*I *5921:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5881:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5921:D 0.000307611
2 *5881:X 0.000307611
3 *5921:D *5878:A1 4.87289e-05
4 *5921:D *5921:CLK 6.50586e-05
5 *5921:D *424:14 0.000104731
6 *5921:D *448:31 0.000101148
*RES
1 *5881:X *5921:D 30.083
*END
*D_NET *248 0.000478691
*CONN
*I *5922:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5884:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5922:D 0.000108302
2 *5884:X 0.000108302
3 *5922:D *5922:CLK 6.08467e-05
4 *5922:D *406:167 0.000153225
5 *5922:D *452:8 4.80148e-05
*RES
1 *5884:X *5922:D 27.4536
*END
*D_NET *249 0.00127804
*CONN
*I *5923:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5886:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5923:D 0.000127695
2 *5886:X 0.000127695
3 *5923:D *5885:A0 0.000450416
4 *5923:D *5885:A1 2.99929e-05
5 *5923:D *406:191 0
6 *5923:D *429:15 0.000446204
7 *5923:D *451:20 9.60366e-05
*RES
1 *5886:X *5923:D 29.6723
*END
*D_NET *250 0.000860764
*CONN
*I *5924:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5888:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5924:D 0.000219098
2 *5888:X 0.000219098
3 *5924:D *5754:C 1.39351e-05
4 *5924:D *5754:D 0
5 *5924:D *5887:A0 0.000113968
6 *5924:D *5924:CLK 6.92705e-05
7 *5924:D *6018:A 9.60216e-05
8 *5924:D *336:13 4.00504e-05
9 *5924:D *459:7 8.93229e-05
*RES
1 *5888:X *5924:D 29.2393
*END
*D_NET *251 0.000486875
*CONN
*I *5925:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5890:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5925:D 0.000105762
2 *5890:X 0.000105762
3 *5925:D *5887:A1 5.68237e-06
4 *5925:D *5890:A 0.000118485
5 *5925:D *5925:CLK 1.87611e-05
6 *5925:D *406:221 1.03403e-05
7 *5925:D *424:13 0.000122083
*RES
1 *5890:X *5925:D 26.9937
*END
*D_NET *252 0.000977778
*CONN
*I *5926:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5892:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5926:D 0.000141502
2 *5892:X 0.000141502
3 *5926:D *5891:S 0.000118245
4 *5926:D *5926:CLK 1.19856e-05
5 *5926:D *6020:A 0.000464909
6 *5926:D *451:20 9.96342e-05
*RES
1 *5892:X *5926:D 29.6723
*END
*D_NET *253 0.000367784
*CONN
*I *5927:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5895:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5927:D 0.000104043
2 *5895:X 0.000104043
3 *5927:D *5894:A1 1.60502e-06
4 *5927:D *5895:A 9.75356e-05
5 *5927:D *5928:CLK 1.43848e-05
6 *5927:D *452:8 4.61732e-05
*RES
1 *5895:X *5927:D 26.6902
*END
*D_NET *254 0.000786129
*CONN
*I *5928:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5897:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5928:D 0.000181318
2 *5897:X 0.000181318
3 *5928:D *5897:A 0.000153372
4 *5928:D *6022:A 1.65445e-05
5 *5928:D *266:17 0.000144531
6 *5928:D *436:11 7.479e-06
7 *5928:D *463:12 0.000101567
*RES
1 *5897:X *5928:D 28.4
*END
*D_NET *255 0.000520617
*CONN
*I *5929:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5899:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5929:D 0.000109738
2 *5899:X 0.000109738
3 *5929:D *5899:A 6.91836e-05
4 *5929:D *5930:CLK 6.54102e-05
5 *5929:D *452:8 0.000166548
*RES
1 *5899:X *5929:D 27.4759
*END
*D_NET *256 0.000693014
*CONN
*I *5930:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5901:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5930:D 0.000190232
2 *5901:X 0.000190232
3 *5930:D *5757:A 6.43474e-05
4 *5930:D *5900:A0 8.52802e-05
5 *5930:D *5901:A 0.00015321
6 *5930:D *437:11 9.71182e-06
*RES
1 *5901:X *5930:D 28.1187
*END
*D_NET *257 0.000578451
*CONN
*I *5931:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5903:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5931:D 8.2331e-05
2 *5903:X 8.2331e-05
3 *5931:D *5903:A 0.00017419
4 *5931:D *406:287 6.54102e-05
5 *5931:D *452:8 0.00017419
*RES
1 *5903:X *5931:D 27.4759
*END
*D_NET *258 0.000677506
*CONN
*I *5932:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5905:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5932:D 0.000220778
2 *5905:X 0.000220778
3 *5932:D *5905:A 0.000117376
4 *5932:D *5906:S 6.96232e-05
5 *5932:D *5932:CLK 2.20702e-05
6 *5932:D *467:7 2.688e-05
*RES
1 *5905:X *5932:D 28.9223
*END
*D_NET *259 0.000210535
*CONN
*I *5933:D I *D sky130_fd_sc_hd__dfxtp_1
*I *5907:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5933:D 7.3231e-05
2 *5907:X 7.3231e-05
3 *5933:D *5907:A 4.27148e-05
4 *5933:D *440:15 2.13584e-05
5 *5933:D *452:8 0
*RES
1 *5907:X *5933:D 26.1545
*END
*D_NET *260 0.0013777
*CONN
*I *5838:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5751:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *5750:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *5838:A 0.000142872
2 *5751:A 0
3 *5750:X 0.000126748
4 *260:8 0.00026962
5 *5838:A *362:29 0.000344881
6 *5838:A *364:38 0.000156335
7 *260:8 *362:29 0.000153225
8 *260:8 *364:38 6.80864e-05
9 *260:8 *451:15 0.000115934
*RES
1 *5750:X *260:8 15.2179
2 *260:8 *5751:A 12.325
3 *260:8 *5838:A 17.0036
*END
*D_NET *261 0.0065658
*CONN
*I *5836:B I *D sky130_fd_sc_hd__and2_1
*I *5834:B I *D sky130_fd_sc_hd__and2_1
*I *5856:A2 I *D sky130_fd_sc_hd__o21a_1
*I *5832:B I *D sky130_fd_sc_hd__and2_1
*I *5752:B I *D sky130_fd_sc_hd__and2_1
*I *5751:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *5836:B 2.06324e-05
2 *5834:B 2.06324e-05
3 *5856:A2 0.000315984
4 *5832:B 9.45402e-05
5 *5752:B 0.00011696
6 *5751:X 0
7 *261:26 0.000561519
8 *261:22 0.000240549
9 *261:20 0.000193402
10 *261:5 0.000220809
11 *5752:B *5752:A 7.34948e-06
12 *5752:B *264:17 4.01437e-05
13 *5752:B *264:26 8.92568e-06
14 *5752:B *364:38 0.000177772
15 *5752:B *451:15 0.00011818
16 *5832:B *6036:A 0.000844452
17 *5832:B *446:15 0.000368983
18 *5856:A2 *5864:A 3.20069e-06
19 *5856:A2 *322:8 0
20 *261:20 *6036:A 4.31539e-05
21 *261:20 *264:26 4.44417e-05
22 *261:20 *364:38 0.00016345
23 *261:20 *446:15 9.55447e-05
24 *261:22 *5836:A 0.000483474
25 *261:22 *6036:A 6.22703e-05
26 *261:22 *446:15 0.000617942
27 *261:26 *5834:A 0.000222149
28 *261:26 *6036:A 0.000377908
29 *261:26 *446:15 0.00110143
*RES
1 *5751:X *261:5 12.325
2 *261:5 *5752:B 15.95
3 *261:5 *261:20 3.32143
4 *261:20 *261:22 5.05357
5 *261:22 *261:26 9.17857
6 *261:26 *5832:B 18.8964
7 *261:26 *5856:A2 17.4321
8 *261:22 *5834:B 12.6286
9 *261:20 *5836:B 12.6286
*END
*D_NET *262 0.00175114
*CONN
*I *5753:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *5752:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5753:A 0.000654425
2 *5752:X 0.000654425
3 *5753:A *5766:A 1.2601e-05
4 *5753:A *5768:A 0.000275256
5 *5753:A *6003:A 0
6 *5753:A *264:8 0
7 *5753:A *450:10 0.000142484
8 *5753:A *451:15 1.19513e-05
*RES
1 *5752:X *5753:A 36.2571
*END
*D_NET *263 0.00200669
*CONN
*I *5756:C I *D sky130_fd_sc_hd__or4b_1
*I *5754:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *5756:C 0.000540892
2 *5754:X 0.000540892
3 *5756:C *5754:B 1.00617e-05
4 *5756:C *323:10 0.000914842
5 *5756:C *457:8 0
*RES
1 *5754:X *5756:C 37.3643
*END
*D_NET *264 0.0141033
*CONN
*I *5756:D_N I *D sky130_fd_sc_hd__or4b_1
*I *5795:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5806:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5817:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5761:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *5755:X O *D sky130_fd_sc_hd__and2b_2
*CAP
1 *5756:D_N 0.00151559
2 *5795:A 0.000236573
3 *5806:A 0
4 *5817:A 0.000672389
5 *5761:A 0.000400525
6 *5755:X 0.000293321
7 *264:33 0.00188128
8 *264:26 0.00197708
9 *264:17 0.00273085
10 *264:8 0.000904337
11 *5756:D_N *5756:A 0.000167076
12 *5756:D_N *5756:B 1.10813e-05
13 *5756:D_N *297:6 0
14 *5756:D_N *432:7 9.40969e-05
15 *5756:D_N *432:13 0
16 *5761:A *5831:A 0.000370801
17 *5761:A *452:7 0.000399365
18 *5795:A *288:7 3.25584e-05
19 *5795:A *355:13 0.00095501
20 *5817:A *300:5 3.25584e-05
21 *264:8 *5766:A 2.71542e-05
22 *264:8 *364:38 0
23 *264:8 *438:13 5.35646e-05
24 *264:8 *450:10 6.46135e-05
25 *264:17 *364:38 0
26 *264:17 *450:10 0.0001592
27 *264:26 *5839:A 0.000518462
28 *264:26 *5839:B 0.000160467
29 *264:26 *5847:B 0.00022117
30 *264:26 *316:8 2.51446e-05
31 *264:26 *364:38 0
32 *264:26 *450:10 0.00010556
33 *264:26 *474:20 0
34 *264:33 *297:6 0
35 *5752:B *264:17 4.01437e-05
36 *5752:B *264:26 8.92568e-06
37 *5753:A *264:8 0
38 *261:20 *264:26 4.44417e-05
*RES
1 *5755:X *264:8 18.075
2 *264:8 *5761:A 22.3071
3 *264:8 *264:17 4.67857
4 *264:17 *5817:A 23.5393
5 *264:17 *264:26 36.8571
6 *264:26 *5806:A 12.325
7 *264:26 *264:33 2.25
8 *264:33 *5795:A 19.8429
9 *264:33 *5756:D_N 37.7179
*END
*D_NET *265 0.00509044
*CONN
*I *5759:A I *D sky130_fd_sc_hd__or3_1
*I *5756:X O *D sky130_fd_sc_hd__or4b_1
*CAP
1 *5759:A 0
2 *5756:X 0.0011582
3 *265:9 0.0011582
4 *265:9 *5754:B 7.50872e-05
5 *265:9 *5760:A 7.66121e-05
6 *265:9 *266:17 0.000175609
7 *265:9 *322:8 0.000976215
8 *265:9 *323:8 7.73065e-05
9 *265:9 *323:10 0.000930412
10 *265:9 *323:49 0.000462788
*RES
1 *5756:X *265:9 48.5571
2 *265:9 *5759:A 12.2
*END
*D_NET *266 0.00420804
*CONN
*I *5759:B I *D sky130_fd_sc_hd__or3_1
*I *5757:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *5759:B 0
2 *5757:X 0.00106686
3 *266:17 0.00106686
4 *266:17 *5757:A 0.000343746
5 *266:17 *5758:C 9.77871e-05
6 *266:17 *5760:A 6.33927e-05
7 *266:17 *5897:A 6.67513e-05
8 *266:17 *5900:A0 0.000222684
9 *266:17 *5930:CLK 9.19066e-05
10 *266:17 *323:49 0.000350097
11 *266:17 *391:15 0.000517821
12 *5928:D *266:17 0.000144531
13 *265:9 *266:17 0.000175609
*RES
1 *5757:X *266:17 39.1643
2 *266:17 *5759:B 12.2
*END
*D_NET *267 0.000430932
*CONN
*I *5759:C I *D sky130_fd_sc_hd__or3_1
*I *5758:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *5759:C 0.00012075
2 *5758:X 0.00012075
3 *5759:C *5758:A 8.03393e-06
4 *5759:C *434:11 6.3657e-05
5 *5759:C *461:8 0.000117741
*RES
1 *5758:X *5759:C 26.8286
*END
*D_NET *268 0.00272632
*CONN
*I *5760:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5759:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *5760:A 0.00066627
2 *5759:X 0.00066627
3 *5760:A *5859:A 0.000322543
4 *5760:A *5891:A1 0.000381471
5 *5760:A *5891:S 0
6 *5760:A *5892:A 0.000536595
7 *5760:A *424:13 1.31657e-05
8 *265:9 *5760:A 7.66121e-05
9 *266:17 *5760:A 6.33927e-05
*RES
1 *5759:X *5760:A 39.3464
*END
*D_NET *269 0.00340984
*CONN
*I *5773:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5828:B I *D sky130_fd_sc_hd__and2_1
*I *5784:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5830:B I *D sky130_fd_sc_hd__and2_1
*I *5762:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5761:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *5773:A 0.000249923
2 *5828:B 0
3 *5784:A 0.000233732
4 *5830:B 0
5 *5762:A 5.67286e-05
6 *5761:X 6.27524e-05
7 *269:36 0.000605657
8 *269:21 0.000398573
9 *269:10 0.000314762
10 *269:6 0.00051168
11 *5762:A *420:9 6.99486e-05
12 *5773:A *276:9 0.00011818
13 *5784:A *420:9 0.00022768
14 *269:6 *422:14 0.000205332
15 *269:6 *444:12 6.21908e-05
16 *269:10 *422:14 0.000191541
17 *269:10 *444:12 5.33945e-05
18 *269:21 *420:9 4.77678e-05
*RES
1 *5761:X *269:6 14.8786
2 *269:6 *269:10 2.67857
3 *269:10 *5762:A 13.5571
4 *269:10 *269:21 3.03571
5 *269:21 *5830:B 12.2
6 *269:21 *5784:A 16.8429
7 *269:6 *269:36 5.60714
8 *269:36 *5828:B 12.2
9 *269:36 *5773:A 16.4321
*END
*D_NET *270 0.00508541
*CONN
*I *5767:B I *D sky130_fd_sc_hd__and2_1
*I *5771:B I *D sky130_fd_sc_hd__and2_1
*I *5769:B I *D sky130_fd_sc_hd__and2_1
*I *5763:B I *D sky130_fd_sc_hd__and2_1
*I *5765:B I *D sky130_fd_sc_hd__and2_1
*I *5762:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5767:B 2.31637e-05
2 *5771:B 4.11783e-05
3 *5769:B 0
4 *5763:B 0
5 *5765:B 0.000254926
6 *5762:X 0.000223079
7 *270:19 0.000518167
8 *270:17 0.000386279
9 *270:12 0.000322177
10 *270:8 0.000404203
11 *5765:B *5763:A 5.04734e-05
12 *5765:B *5765:A 0.000111722
13 *5765:B *283:10 4.33655e-05
14 *5765:B *360:12 6.91816e-05
15 *5765:B *362:24 3.88655e-06
16 *5765:B *362:29 0.000363982
17 *5767:B *5767:A 6.50727e-05
18 *5771:B *375:17 5.0715e-05
19 *270:8 *5768:A 5.19205e-05
20 *270:8 *275:9 0.000120052
21 *270:8 *420:9 9.18559e-06
22 *270:12 *5768:A 3.20069e-06
23 *270:12 *5770:A 0
24 *270:12 *275:9 0.000146645
25 *270:12 *358:22 0
26 *270:17 *5769:A 0.000159322
27 *270:17 *5770:A 0
28 *270:17 *6003:A 0
29 *270:17 *284:10 0.000101148
30 *270:17 *284:17 0.000171273
31 *270:17 *358:22 0
32 *270:19 *5769:A 0.000122378
33 *270:19 *284:17 0.001161
34 sram_addr0[2] *270:19 4.26566e-05
35 *5939:A *270:19 6.50586e-05
*RES
1 *5762:X *270:8 15.7357
2 *270:8 *270:12 3.39286
3 *270:12 *270:17 3.73214
4 *270:17 *270:19 9.16071
5 *270:19 *5765:B 19.2
6 *270:19 *5763:B 12.2
7 *270:17 *5769:B 12.2
8 *270:12 *5771:B 13.2714
9 *270:8 *5767:B 12.8607
*END
*D_NET *271 0.000652138
*CONN
*I *5764:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5763:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5764:A 0.000155563
2 *5763:X 0.000155563
3 *5764:A *362:29 0.000125695
4 *5764:A *364:38 5.04734e-05
5 *5764:A *426:18 0.000164843
*RES
1 *5763:X *5764:A 28.4714
*END
*D_NET *272 0.000797118
*CONN
*I *5766:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5765:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5766:A 0.00032671
2 *5765:X 0.00032671
3 *5766:A *6003:A 0
4 *5766:A *283:10 0.000103943
5 *5766:A *364:38 0
6 *5753:A *5766:A 1.2601e-05
7 *264:8 *5766:A 2.71542e-05
*RES
1 *5765:X *5766:A 31.4
*END
*D_NET *273 0.0013769
*CONN
*I *5768:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5767:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5768:A 0.000317041
2 *5767:X 0.000317041
3 *5768:A *5767:A 6.92705e-05
4 *5768:A *275:9 8.10968e-05
5 *5768:A *358:22 0.000105254
6 *5768:A *420:8 0.000156823
7 *5753:A *5768:A 0.000275256
8 *270:8 *5768:A 5.19205e-05
9 *270:12 *5768:A 3.20069e-06
*RES
1 *5767:X *5768:A 33.1679
*END
*D_NET *274 0.00359691
*CONN
*I *5770:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5769:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5770:A 0.00109291
2 *5769:X 0.00109291
3 *5770:A *5837:A 8.87948e-05
4 *5770:A *5847:B 0
5 *5770:A *6003:A 0.00104058
6 *5770:A *275:9 0
7 *5770:A *284:17 0.0002817
8 *5770:A *316:8 0
9 *5770:A *450:10 0
10 *270:12 *5770:A 0
11 *270:17 *5770:A 0
*RES
1 *5769:X *5770:A 47.9
*END
*D_NET *275 0.00380412
*CONN
*I *5772:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5771:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5772:A 0
2 *5771:X 0.00170361
3 *275:9 0.00170361
4 *275:9 *358:22 0
5 *275:9 *445:8 0
6 *275:9 *446:8 4.90965e-05
7 *275:9 *453:11 0
8 *5768:A *275:9 8.10968e-05
9 *5770:A *275:9 0
10 *270:8 *275:9 0.000120052
11 *270:12 *275:9 0.000146645
*RES
1 *5771:X *275:9 43.3964
2 *275:9 *5772:A 12.2
*END
*D_NET *276 0.00346117
*CONN
*I *5782:B I *D sky130_fd_sc_hd__and2_1
*I *5778:B I *D sky130_fd_sc_hd__and2_1
*I *5776:B I *D sky130_fd_sc_hd__and2_1
*I *5780:B I *D sky130_fd_sc_hd__and2_1
*I *5774:B I *D sky130_fd_sc_hd__and2_1
*I *5773:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5782:B 3.74703e-05
2 *5778:B 0.000142105
3 *5776:B 0
4 *5780:B 0.000105601
5 *5774:B 0
6 *5773:X 0.000238976
7 *276:33 0.000206683
8 *276:27 7.31422e-05
9 *276:15 0.000191512
10 *276:9 0.000278851
11 *5778:B *5779:A 0.000139435
12 *5778:B *416:10 0
13 *5780:B *280:9 0.000148129
14 *5780:B *285:6 0.000212491
15 *5780:B *380:15 6.08467e-05
16 *5782:B *5776:A 0.000110779
17 *5782:B *281:7 0.000379505
18 *5782:B *381:11 0.000118166
19 *276:9 *376:13 4.82966e-05
20 *276:15 *5775:A 5.04829e-06
21 *276:15 *376:13 0.000111708
22 *276:27 *5775:A 3.58208e-05
23 *276:27 *5776:A 5.56461e-05
24 *276:27 *281:7 0.0002817
25 *276:27 *376:13 0.000213568
26 *276:33 *5776:A 3.58044e-05
27 *276:33 *281:7 0.000111708
28 *5773:A *276:9 0.00011818
*RES
1 *5773:X *276:9 16.8786
2 *276:9 *5774:B 12.2
3 *276:9 *276:15 0.946429
4 *276:15 *5780:B 15.8429
5 *276:15 *276:27 3
6 *276:27 *5776:B 12.2
7 *276:27 *276:33 0.946429
8 *276:33 *5778:B 15.3071
9 *276:33 *5782:B 15.2
*END
*D_NET *277 0.00105644
*CONN
*I *5775:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *5774:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5775:A 0.000305444
2 *5774:X 0.000305444
3 *5775:A *5779:A 0.000127179
4 *5775:A *281:7 0.000277502
5 *5775:A *281:11 0
6 *276:15 *5775:A 5.04829e-06
7 *276:27 *5775:A 3.58208e-05
*RES
1 *5774:X *5775:A 29.2929
*END
*D_NET *278 0.00101715
*CONN
*I *5777:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *5776:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5777:A 0.000348432
2 *5776:X 0.000348432
3 *5777:A *5779:A 0.000320287
4 *5777:A *416:10 0
*RES
1 *5776:X *5777:A 31.7214
*END
*D_NET *279 0.00191243
*CONN
*I *5779:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *5778:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5779:A 0.000487015
2 *5778:X 0.000487015
3 *5779:A *280:9 0
4 *5779:A *281:11 0
5 *5779:A *353:8 5.23399e-05
6 *5779:A *354:7 6.50586e-05
7 *5779:A *366:9 6.50586e-05
8 *5779:A *416:10 0
9 *5779:A *420:9 0.000169041
10 *5775:A *5779:A 0.000127179
11 *5777:A *5779:A 0.000320287
12 *5778:B *5779:A 0.000139435
*RES
1 *5778:X *5779:A 35.4714
*END
*D_NET *280 0.00730569
*CONN
*I *5781:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5780:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5781:A 0
2 *5780:X 0
3 *280:9 0.00120052
4 *280:5 0.00120052
5 *280:9 *281:11 0.00326576
6 *280:9 *285:6 0.00149075
7 *5779:A *280:9 0
8 *5780:B *280:9 0.000148129
*RES
1 *5780:X *280:5 12.325
2 *280:5 *280:9 44.4107
3 *280:9 *5781:A 12.2
*END
*D_NET *281 0.00895619
*CONN
*I *5783:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5782:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5783:A 0
2 *5782:X 0.000221456
3 *281:11 0.00159518
4 *281:7 0.00181664
5 *281:7 *381:11 4.56831e-05
6 *281:11 *285:6 0.000194155
7 *281:11 *353:8 0.000766897
8 *5775:A *281:7 0.000277502
9 *5775:A *281:11 0
10 *5779:A *281:11 0
11 *5782:B *281:7 0.000379505
12 *276:27 *281:7 0.0002817
13 *276:33 *281:7 0.000111708
14 *280:9 *281:11 0.00326576
*RES
1 *5782:X *281:7 19.0214
2 *281:7 *281:11 49.4643
3 *281:11 *5783:A 12.2
*END
*D_NET *282 0.0039542
*CONN
*I *5785:B I *D sky130_fd_sc_hd__and2_1
*I *5789:B I *D sky130_fd_sc_hd__and2_1
*I *5787:B I *D sky130_fd_sc_hd__and2_2
*I *5793:B I *D sky130_fd_sc_hd__and2_1
*I *5791:B I *D sky130_fd_sc_hd__and2_1
*I *5784:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5785:B 2.68991e-05
2 *5789:B 0.000104216
3 *5787:B 0
4 *5793:B 0.000105472
5 *5791:B 0.00017422
6 *5784:X 0.000101393
7 *282:39 0.000118977
8 *282:14 0.000290761
9 *282:12 0.000134276
10 *282:9 0.000236738
11 *5785:B *367:9 4.66492e-05
12 *5789:B *5789:A 1.39719e-05
13 *5789:B *5791:A 0.000264614
14 *5789:B *378:23 0.000346889
15 *5789:B *389:9 2.65667e-05
16 *5789:B *400:15 0.000252135
17 *5791:B *4882:DIODE 0.000107496
18 *5791:B *5791:A 0.000129784
19 *5791:B *284:10 0.000417464
20 *5791:B *415:18 2.60914e-05
21 *5793:B *373:17 6.08467e-05
22 *5793:B *415:18 3.37543e-05
23 *5793:B *433:14 0.000149909
24 *282:9 *5826:A 0.000130777
25 *282:9 *283:10 4.0752e-05
26 *282:9 *367:9 6.50586e-05
27 *282:9 *415:18 0.000127194
28 *282:12 *415:18 7.60597e-05
29 *282:12 *433:14 0.000202283
30 *282:14 *415:18 2.1558e-06
31 *282:14 *433:14 1.9101e-05
32 *282:39 *5791:A 6.08467e-05
33 *282:39 *378:23 6.08467e-05
*RES
1 *5784:X *282:9 15.45
2 *282:9 *282:12 2.98214
3 *282:12 *282:14 0.276786
4 *282:14 *5791:B 17.3875
5 *282:14 *5793:B 14.9589
6 *282:12 *282:39 0.660714
7 *282:39 *5787:B 12.2
8 *282:39 *5789:B 17.4143
9 *282:9 *5785:B 12.7357
*END
*D_NET *283 0.0181479
*CONN
*I *5786:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5785:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5786:A 0
2 *5785:X 0.00172032
3 *283:21 0.00143819
4 *283:16 0.00257227
5 *283:10 0.00285441
6 *283:10 *5765:A 0.000570356
7 *283:10 *5767:A 0.000466373
8 *283:10 *6002:A 0.000122083
9 *283:10 *367:9 0.000211478
10 *283:10 *426:18 4.2267e-05
11 *283:16 *5763:A 4.03856e-05
12 *283:16 *5833:A 0
13 *283:16 *6001:A 0
14 *283:16 *6011:A 4.26859e-05
15 *283:16 *284:30 0
16 *283:16 *406:44 2.30397e-05
17 *283:16 *406:53 0.000339138
18 *283:16 *425:13 0.0013518
19 *283:16 *451:18 0
20 *283:21 *5788:A 0.0011584
21 *283:21 *5833:A 0
22 *283:21 *5861:A1 7.69735e-05
23 *283:21 *5862:A 0.000304998
24 *283:21 *5868:A 0.000325369
25 *283:21 *5872:A0 0.000208915
26 *283:21 *5873:A 0.000346318
27 *283:21 *5877:A 0.000315191
28 *283:21 *284:30 0.00144897
29 *283:21 *324:25 3.3225e-05
30 *283:21 *356:9 6.08467e-05
31 *283:21 *406:158 0.000196638
32 *283:21 *452:8 0
33 sram_din0[0] *283:16 1.65872e-05
34 wb_error_o *283:16 0.00125637
35 *5765:B *283:10 4.33655e-05
36 *5766:A *283:10 0.000103943
37 *5908:D *283:10 0.000118485
38 *5983:A *283:21 5.17868e-05
39 *5989:A *283:16 0
40 *5990:A *283:16 0.000246013
41 *282:9 *283:10 4.0752e-05
*RES
1 *5785:X *283:10 42.9679
2 *283:10 *283:16 30.6696
3 *283:16 *283:21 43.9018
4 *283:21 *5786:A 12.2
*END
*D_NET *284 0.0222984
*CONN
*I *5788:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5787:X O *D sky130_fd_sc_hd__and2_2
*CAP
1 *5788:A 0.000812013
2 *5787:X 0.00108902
3 *284:30 0.00255676
4 *284:17 0.00351499
5 *284:10 0.00285927
6 *5788:A *5876:A1 0.000513366
7 *5788:A *5880:A0 3.34802e-05
8 *5788:A *5880:A1 6.26091e-05
9 *5788:A *5880:S 0
10 *5788:A *330:10 0
11 *5788:A *330:27 0
12 *5788:A *330:36 0
13 *5788:A *406:158 0.000119989
14 *5788:A *406:167 0.000394828
15 *284:10 *4882:DIODE 1.67988e-05
16 *284:10 *5791:A 2.61012e-05
17 *284:10 *358:22 0
18 *284:10 *375:17 0.000100794
19 *284:10 *378:23 0.000211478
20 *284:17 *5769:A 2.41483e-05
21 *284:17 *5854:A1 0.000369336
22 *284:17 *5908:CLK 0.00118837
23 *284:17 *406:15 0.000219249
24 *284:30 *5832:A 0
25 *284:30 *5861:A1 7.50872e-05
26 *284:30 *5861:S 0.000407698
27 *284:30 *5867:A0 0.000146645
28 *284:30 *5872:A0 0.000205332
29 *284:30 *6036:A 0.000217951
30 *284:30 *324:30 0.000287099
31 *284:30 *406:31 0.000123582
32 *284:30 *406:44 0.000187573
33 *284:30 *406:53 0.000328992
34 *284:30 *424:14 0
35 *284:30 *451:20 0
36 *284:30 *452:8 0.000576259
37 *284:30 *469:8 0
38 sram_clk1 *284:17 7.97098e-06
39 sram_web0 *284:30 0.000701392
40 *5770:A *284:17 0.0002817
41 *5791:B *284:10 0.000417464
42 *5909:D *284:17 1.87611e-05
43 *5939:A *284:17 0.000161493
44 *270:17 *284:10 0.000101148
45 *270:17 *284:17 0.000171273
46 *270:19 *284:17 0.001161
47 *283:16 *284:30 0
48 *283:21 *5788:A 0.0011584
49 *283:21 *284:30 0.00144897
*RES
1 *5787:X *284:10 25.825
2 *284:10 *284:17 34.4821
3 *284:17 *284:30 48.5446
4 *284:30 *5788:A 35.7089
*END
*D_NET *285 0.00945922
*CONN
*I *5790:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5789:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5790:A 0.000434357
2 *5789:X 0
3 *285:6 0.00333569
4 *285:5 0.00290134
5 *285:6 *5828:A 3.20069e-06
6 *285:6 *287:6 0
7 *285:6 *354:10 0.000786106
8 *285:6 *356:8 0.000101133
9 *5780:B *285:6 0.000212491
10 *280:9 *285:6 0.00149075
11 *281:11 *285:6 0.000194155
*RES
1 *5789:X *285:5 12.325
2 *285:5 *285:6 69.9464
3 *285:6 *5790:A 19.8429
*END
*D_NET *286 0.00949365
*CONN
*I *5792:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5791:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5792:A 0.00010486
2 *5791:X 0
3 *286:6 0.00358977
4 *286:5 0.00348491
5 *5792:A *429:15 2.16355e-05
6 *286:6 *5796:A 0
7 *286:6 *5798:A 0
8 *286:6 *5818:A 0.000198654
9 *286:6 *5822:A 0.00056118
10 *286:6 *5822:B 0.000155272
11 *286:6 *5825:A 0.000318544
12 *286:6 *5826:A 0
13 *286:6 *5828:A 0.000235375
14 *286:6 *291:11 0
15 *286:6 *352:10 0.000472033
16 *286:6 *415:18 0
17 *286:6 *422:14 0
18 *286:6 *429:8 0.000351415
19 *286:6 *433:14 0
*RES
1 *5791:X *286:5 12.325
2 *286:5 *286:6 74.8036
3 *286:6 *5792:A 14.0929
*END
*D_NET *287 0.0102793
*CONN
*I *5794:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5793:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5794:A 0.000150361
2 *5793:X 0
3 *287:6 0.00407151
4 *287:5 0.00392115
5 *287:6 *5798:A 0.000296463
6 *287:6 *5827:A 2.65904e-05
7 *287:6 *354:10 0
8 *287:6 *355:10 0.000295725
9 *287:6 *356:8 0
10 *287:6 *375:17 6.10852e-05
11 *287:6 *429:8 0
12 *287:6 *430:11 0
13 *287:6 *433:14 0.00145642
14 *285:6 *287:6 0
*RES
1 *5793:X *287:5 12.325
2 *287:5 *287:6 82.0893
3 *287:6 *5794:A 14.9143
*END
*D_NET *288 0.00360252
*CONN
*I *5804:B I *D sky130_fd_sc_hd__and2_1
*I *5798:B I *D sky130_fd_sc_hd__and2_1
*I *5796:B I *D sky130_fd_sc_hd__and2_1
*I *5802:B I *D sky130_fd_sc_hd__and2_1
*I *5800:B I *D sky130_fd_sc_hd__and2_1
*I *5795:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5804:B 0.000101752
2 *5798:B 0.000159842
3 *5796:B 0
4 *5802:B 0.000134161
5 *5800:B 3.88068e-05
6 *5795:X 0.000330587
7 *288:18 0.000143064
8 *288:17 0.000168746
9 *288:15 0.000197489
10 *288:7 0.000465131
11 *5798:B *5796:A 9.97706e-05
12 *5798:B *5798:A 0.000122378
13 *5798:B *290:7 0.000742581
14 *5800:B *5796:A 3.98327e-05
15 *5800:B *358:26 0
16 *5800:B *444:12 1.07248e-05
17 *5802:B *5796:A 0.000122378
18 *5802:B *290:7 0.000328363
19 *5804:B *5797:A 0.000125695
20 *5804:B *291:11 9.99386e-06
21 *5804:B *358:26 0
22 *288:7 *355:13 5.84768e-05
23 *288:15 *5796:A 5.19205e-05
24 *288:15 *291:11 4.3116e-06
25 *288:15 *358:26 0
26 *288:18 *5796:A 4.88955e-05
27 *288:18 *290:7 6.50586e-05
28 *5795:A *288:7 3.25584e-05
*RES
1 *5795:X *288:7 16.1464
2 *288:7 *5800:B 13.3607
3 *288:7 *288:15 1.94643
4 *288:15 *288:17 0.125
5 *288:17 *288:18 0.535714
6 *288:18 *5802:B 16.0214
7 *288:18 *5796:B 12.2
8 *288:17 *5798:B 18.075
9 *288:15 *5804:B 14.575
*END
*D_NET *289 0.00125437
*CONN
*I *5797:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *5796:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5797:A 0.000361904
2 *5796:X 0.000361904
3 *5797:A *5799:A 0
4 *5797:A *291:11 8.68133e-05
5 *5797:A *293:8 0.000153225
6 *5797:A *358:26 0
7 *5797:A *432:7 0.000164829
8 *5804:B *5797:A 0.000125695
*RES
1 *5796:X *5797:A 32.8464
*END
*D_NET *290 0.00755007
*CONN
*I *5799:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5798:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5799:A 0.00129366
2 *5798:X 0.000413829
3 *290:7 0.00170749
4 *5799:A *292:8 0.0028749
5 *5799:A *293:8 0
6 *5799:A *358:26 7.58884e-05
7 *5799:A *445:8 0
8 *290:7 *5798:A 4.82966e-05
9 *5797:A *5799:A 0
10 *5798:B *290:7 0.000742581
11 *5802:B *290:7 0.000328363
12 *288:18 *290:7 6.50586e-05
*RES
1 *5798:X *290:7 21.8964
2 *290:7 *5799:A 48.2714
*END
*D_NET *291 0.0070314
*CONN
*I *5801:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5800:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5801:A 0
2 *5800:X 5.0496e-05
3 *291:11 0.00180588
4 *291:7 0.00185638
5 *291:7 *355:13 9.19886e-06
6 *291:11 *5796:A 0.000123582
7 *291:11 *293:8 0.00308475
8 *291:11 *429:8 0
9 *291:11 *430:11 0
10 *5797:A *291:11 8.68133e-05
11 *5804:B *291:11 9.99386e-06
12 *286:6 *291:11 0
13 *288:15 *291:11 4.3116e-06
*RES
1 *5800:X *291:7 12.8607
2 *291:7 *291:11 46.4643
3 *291:11 *5801:A 12.2
*END
*D_NET *292 0.00692912
*CONN
*I *5803:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5802:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5803:A 0.000452217
2 *5802:X 0.001345
3 *292:8 0.00179721
4 *5803:A *293:8 0
5 *5803:A *434:11 0.000134419
6 *5803:A *435:13 0.000325369
7 *5803:A *445:8 0
8 *292:8 *293:8 0
9 *292:8 *445:8 0
10 *5799:A *292:8 0.0028749
*RES
1 *5802:X *292:8 48.9589
2 *292:8 *5803:A 22.6554
*END
*D_NET *293 0.0073366
*CONN
*I *5805:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5804:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5805:A 0.000173273
2 *5804:X 0
3 *293:8 0.00204931
4 *293:5 0.00187604
5 *293:8 *435:13 0
6 *293:8 *436:8 0
7 *5797:A *293:8 0.000153225
8 *5799:A *293:8 0
9 *5803:A *293:8 0
10 *291:11 *293:8 0.00308475
11 *292:8 *293:8 0
*RES
1 *5804:X *293:5 12.325
2 *293:5 *293:8 47.9107
3 *293:8 *5805:A 15.2
*END
*D_NET *294 0.00308755
*CONN
*I *5809:B I *D sky130_fd_sc_hd__and2_1
*I *5813:B I *D sky130_fd_sc_hd__and2_1
*I *5807:B I *D sky130_fd_sc_hd__and2_1
*I *5811:B I *D sky130_fd_sc_hd__and2_1
*I *5815:B I *D sky130_fd_sc_hd__and2_1
*I *5806:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5809:B 0.000151834
2 *5813:B 0.000237885
3 *5807:B 0
4 *5811:B 0.000104824
5 *5815:B 1.26553e-05
6 *5806:X 0.000155653
7 *294:30 0.000406106
8 *294:25 1.63873e-05
9 *294:16 0.000221633
10 *294:7 0.000285118
11 *5809:B *5809:A 3.75382e-05
12 *5809:B *296:7 0.000470599
13 *5811:B *297:6 5.88662e-05
14 *5811:B *360:12 3.1218e-05
15 *5811:B *364:38 0
16 *5813:B *4879:DIODE 5.51483e-06
17 *5813:B *5807:A 6.92705e-05
18 *5813:B *5997:A 0.000116351
19 *5813:B *296:7 0.000171273
20 *5815:B *5815:A 6.50586e-05
21 *5815:B *299:7 2.65667e-05
22 *294:7 *5815:A 0.000193069
23 *294:7 *299:7 2.16355e-05
24 *294:16 *295:8 0.000148129
25 *294:16 *296:8 4.90965e-05
26 *294:16 *297:6 2.82537e-05
27 *294:30 *5807:A 3.01683e-06
*RES
1 *5806:X *294:7 15.5214
2 *294:7 *5815:B 12.7357
3 *294:7 *294:16 2.98214
4 *294:16 *5811:B 14.575
5 *294:16 *294:25 0.125
6 *294:25 *5807:B 12.2
7 *294:25 *294:30 0.428571
8 *294:30 *5813:B 18.1286
9 *294:30 *5809:B 16.45
*END
*D_NET *295 0.0104843
*CONN
*I *5808:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5807:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5808:A 0.000110432
2 *5807:X 4.24874e-05
3 *295:8 0.00247738
4 *295:7 0.00240943
5 *295:7 *296:7 6.50586e-05
6 *295:8 *296:8 0
7 *295:8 *297:6 0.00523135
8 *294:16 *295:8 0.000148129
*RES
1 *5807:X *295:7 12.8607
2 *295:7 *295:8 65.0893
3 *295:8 *5808:A 14.0929
*END
*D_NET *296 0.00904458
*CONN
*I *5810:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5809:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5810:A 0
2 *5809:X 0.000255528
3 *296:8 0.00380106
4 *296:7 0.00405659
5 *296:7 *5809:A 2.82583e-05
6 *296:8 *297:6 0
7 *296:8 *298:6 0
8 *296:8 *439:11 0.000147112
9 *5809:B *296:7 0.000470599
10 *5813:B *296:7 0.000171273
11 *294:16 *296:8 4.90965e-05
12 *295:7 *296:7 6.50586e-05
13 *295:8 *296:8 0
*RES
1 *5809:X *296:7 18.2
2 *296:7 *296:8 70.25
3 *296:8 *5810:A 12.325
*END
*D_NET *297 0.0120721
*CONN
*I *5812:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5811:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5812:A 0.000127449
2 *5811:X 0
3 *297:6 0.00272978
4 *297:5 0.00260233
5 *297:6 *364:38 0.000132352
6 *297:6 *432:13 0.00116175
7 *5756:D_N *297:6 0
8 *5811:B *297:6 5.88662e-05
9 *264:33 *297:6 0
10 *294:16 *297:6 2.82537e-05
11 *295:8 *297:6 0.00523135
12 *296:8 *297:6 0
*RES
1 *5811:X *297:5 12.325
2 *297:5 *297:6 77.2321
3 *297:6 *5812:A 14.5036
*END
*D_NET *298 0.0111851
*CONN
*I *5814:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5813:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5814:A 0.00100249
2 *5813:X 0
3 *298:6 0.00546704
4 *298:5 0.00446455
5 *5814:A *5906:A1 0.000147308
6 *298:6 *439:11 0
7 *298:6 *441:11 0.000103692
8 *296:8 *298:6 0
*RES
1 *5813:X *298:5 12.325
2 *298:5 *298:6 81.7857
3 *298:6 *5814:A 30.9321
*END
*D_NET *299 0.0215556
*CONN
*I *5816:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5815:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5816:A 0.000502403
2 *5815:X 0.00230685
3 *299:16 0.00126227
4 *299:13 0.00144116
5 *299:7 0.00298815
6 *5816:A *6030:A 8.39223e-05
7 *5816:A *6034:A 0.000863076
8 *5816:A *6035:A 0.00128917
9 *5816:A *448:34 0.000190878
10 *299:7 *5815:A 2.42273e-05
11 *299:7 *476:23 6.83555e-05
12 *299:13 *6018:A 6.23875e-05
13 *299:13 *406:151 0.000315191
14 *299:13 *449:22 9.71323e-06
15 *299:13 *449:24 0.00191495
16 *299:13 *452:8 0.0022534
17 *299:16 *446:51 0.0029387
18 *299:16 *448:34 0.00297386
19 *5815:B *299:7 2.65667e-05
20 *5919:D *299:7 1.87611e-05
21 *294:7 *299:7 2.16355e-05
*RES
1 *5815:X *299:7 36.6821
2 *299:7 *299:13 30.2679
3 *299:13 *299:16 37.8482
4 *299:16 *5816:A 31.2804
*END
*D_NET *300 0.00460516
*CONN
*I *5820:B I *D sky130_fd_sc_hd__and2_1
*I *5826:B I *D sky130_fd_sc_hd__and2_1
*I *5818:B I *D sky130_fd_sc_hd__and2_1
*I *5822:B I *D sky130_fd_sc_hd__and2_1
*I *5824:B I *D sky130_fd_sc_hd__and2_1
*I *5817:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5820:B 0.000441539
2 *5826:B 8.21843e-05
3 *5818:B 0
4 *5822:B 9.62459e-05
5 *5824:B 0
6 *5817:X 0.000159408
7 *300:27 0.000114867
8 *300:15 0.000213203
9 *300:10 0.000146044
10 *300:5 0.000662717
11 *5820:B *4881:DIODE 1.00937e-05
12 *5820:B *5820:A 0
13 *5820:B *5821:A 0.000242838
14 *5820:B *366:9 0.000167076
15 *5822:B *5822:A 0.000158885
16 *5822:B *5823:A 6.08467e-05
17 *5822:B *449:15 2.16355e-05
18 *5826:B *5819:A 5.51483e-06
19 *5826:B *5826:A 0.000317721
20 *5826:B *316:11 0.000474797
21 *300:10 *5821:A 4.3116e-06
22 *300:10 *5824:A 0.000118485
23 *300:10 *422:14 0.000143047
24 *300:15 *5819:A 4.99109e-05
25 *300:15 *5824:A 6.08467e-05
26 *300:15 *316:11 0.000307023
27 *300:27 *5818:A 0.000122378
28 *300:27 *5819:A 1.777e-05
29 *300:27 *316:11 0.000217937
30 *5817:A *300:5 3.25584e-05
31 *286:6 *5822:B 0.000155272
*RES
1 *5817:X *300:5 13.9679
2 *300:5 *300:10 2.19643
3 *300:10 *5824:B 12.2
4 *300:10 *300:15 2.58929
5 *300:15 *5822:B 15.2357
6 *300:15 *300:27 1.76786
7 *300:27 *5818:B 12.2
8 *300:27 *5826:B 16.0214
9 *300:5 *5820:B 18.825
*END
*D_NET *301 0.00246098
*CONN
*I *5819:A I *D sky130_fd_sc_hd__buf_2
*I *5818:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5819:A 0.000761059
2 *5818:X 0.000761059
3 *5819:A *5818:A 5.0715e-05
4 *5819:A *5824:A 5.481e-05
5 *5819:A *5826:A 2.65831e-05
6 *5819:A *5844:A 7.02358e-06
7 *5819:A *313:17 6.08467e-05
8 *5819:A *422:14 0.00043479
9 *5819:A *444:12 0.000104898
10 *5819:A *447:23 6.51527e-05
11 *5819:A *448:15 6.08467e-05
12 *5826:B *5819:A 5.51483e-06
13 *300:15 *5819:A 4.99109e-05
14 *300:27 *5819:A 1.777e-05
*RES
1 *5818:X *5819:A 36.9536
*END
*D_NET *302 0.00262859
*CONN
*I *5821:A I *D sky130_fd_sc_hd__buf_2
*I *5820:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5821:A 0.000488041
2 *5820:X 0.000488041
3 *5821:A *4881:DIODE 4.31539e-05
4 *5821:A *5823:A 0.000256637
5 *5821:A *5824:A 7.09395e-05
6 *5821:A *313:17 5.05252e-05
7 *5821:A *352:10 0.000338188
8 *5821:A *366:9 4.0752e-05
9 *5821:A *422:14 0
10 *5821:A *449:15 0.000605166
11 *5820:B *5821:A 0.000242838
12 *300:10 *5821:A 4.3116e-06
*RES
1 *5820:X *5821:A 38.775
*END
*D_NET *303 0.00116121
*CONN
*I *5823:A I *D sky130_fd_sc_hd__buf_2
*I *5822:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5823:A 0.00022874
2 *5822:X 0.00022874
3 *5823:A *313:17 0.000344968
4 *5823:A *449:15 4.12831e-05
5 *5821:A *5823:A 0.000256637
6 *5822:B *5823:A 6.08467e-05
*RES
1 *5822:X *5823:A 29.8821
*END
*D_NET *304 0.00145765
*CONN
*I *5825:A I *D sky130_fd_sc_hd__buf_2
*I *5824:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5825:A 0.00041829
2 *5824:X 0.00041829
3 *5825:A *316:11 0.00030253
4 *5825:A *415:18 0
5 *286:6 *5825:A 0.000318544
*RES
1 *5824:X *5825:A 31.6143
*END
*D_NET *305 0.000744892
*CONN
*I *5827:A I *D sky130_fd_sc_hd__buf_2
*I *5826:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5827:A 0.000199615
2 *5826:X 0.000199615
3 *5827:A *313:17 0.000268357
4 *5827:A *354:10 0
5 *5827:A *449:15 5.0715e-05
6 *287:6 *5827:A 2.65904e-05
*RES
1 *5826:X *5827:A 29.2929
*END
*D_NET *306 0.00172101
*CONN
*I *5829:A I *D sky130_fd_sc_hd__buf_2
*I *5828:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5829:A 0.000488816
2 *5828:X 0.000488816
3 *5829:A *5826:A 0.000346333
4 *5829:A *415:18 0.000346333
5 *5829:A *451:15 5.0715e-05
*RES
1 *5828:X *5829:A 35.3107
*END
*D_NET *307 0.00271005
*CONN
*I *5831:A I *D sky130_fd_sc_hd__buf_2
*I *5830:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5831:A 0.000560882
2 *5830:X 0.000560882
3 *5831:A *358:22 0.000325369
4 *5831:A *420:9 0.000570342
5 *5831:A *444:12 0.000321771
6 *5761:A *5831:A 0.000370801
*RES
1 *5830:X *5831:A 36.3643
*END
*D_NET *308 0.000459329
*CONN
*I *5833:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5832:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5833:A 0.000122636
2 *5832:X 0.000122636
3 *5833:A *5861:A1 0
4 *5833:A *446:15 6.08467e-05
5 *5833:A *451:18 0.00015321
6 *283:16 *5833:A 0
7 *283:21 *5833:A 0
*RES
1 *5832:X *5833:A 27.1321
*END
*D_NET *309 0.0011276
*CONN
*I *5835:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5834:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5835:A 0.000298564
2 *5834:X 0.000298564
3 *5835:A *5911:CLK 0
4 *5835:A *363:14 0.00015321
5 *5835:A *446:15 0.000377259
*RES
1 *5834:X *5835:A 29.5964
*END
*D_NET *310 0.00177865
*CONN
*I *5837:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5836:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5837:A 0.000555406
2 *5836:X 0.000555406
3 *5837:A *446:15 0.000579046
4 *5837:A *450:10 0
5 *5770:A *5837:A 8.87948e-05
*RES
1 *5836:X *5837:A 33.3643
*END
*D_NET *311 0.00281631
*CONN
*I *5841:B I *D sky130_fd_sc_hd__and2_1
*I *5843:B I *D sky130_fd_sc_hd__and2_1
*I *5839:B I *D sky130_fd_sc_hd__and2_1
*I *5847:B I *D sky130_fd_sc_hd__and2_1
*I *5845:B I *D sky130_fd_sc_hd__and2_1
*I *5838:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5841:B 0
2 *5843:B 0.000106875
3 *5839:B 9.73996e-05
4 *5847:B 0.000145907
5 *5845:B 0
6 *5838:X 8.06504e-05
7 *311:15 0.000243307
8 *311:13 0.00027413
9 *311:8 0.000247905
10 *5839:B *474:20 0
11 *5843:B *313:17 0.000168843
12 *5843:B *448:15 0.000494443
13 *5847:B *453:11 0
14 *311:8 *5845:A 5.22654e-06
15 *311:8 *360:12 3.04973e-05
16 *311:8 *362:29 0.000137921
17 *311:13 *5841:A 0.000370815
18 *311:13 *448:15 3.07561e-05
19 *5770:A *5847:B 0
20 *264:26 *5839:B 0.000160467
21 *264:26 *5847:B 0.00022117
*RES
1 *5838:X *311:8 14.3964
2 *311:8 *5845:B 12.2
3 *311:8 *311:13 3.82143
4 *311:13 *311:15 0.125
5 *311:15 *5847:B 15.1821
6 *311:15 *5839:B 14.575
7 *311:13 *5843:B 16.8786
8 *311:13 *5841:B 12.2
*END
*D_NET *312 0.0025167
*CONN
*I *5840:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5839:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5840:A 0.000449659
2 *5839:X 0.000449659
3 *5840:A *315:9 0.00161738
*RES
1 *5839:X *5840:A 37.2571
*END
*D_NET *313 0.00578121
*CONN
*I *5842:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5841:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5842:A 0
2 *5841:X 0.00158719
3 *313:17 0.00158719
4 *313:17 *352:10 9.71486e-05
5 *313:17 *416:10 0.000122378
6 *313:17 *422:14 5.7908e-05
7 *313:17 *445:7 0.000999427
8 *313:17 *448:15 0.00039513
9 *313:17 *449:15 4.1307e-05
10 *5819:A *313:17 6.08467e-05
11 *5821:A *313:17 5.05252e-05
12 *5823:A *313:17 0.000344968
13 *5827:A *313:17 0.000268357
14 *5843:B *313:17 0.000168843
*RES
1 *5841:X *313:17 43.7357
2 *313:17 *5842:A 12.2
*END
*D_NET *314 0.00586547
*CONN
*I *5844:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5843:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5844:A 0.00221834
2 *5843:X 0.00221834
3 *5844:A *444:12 4.00504e-05
4 *5844:A *447:23 0.000698715
5 *5844:A *448:15 0.000683001
6 *5819:A *5844:A 7.02358e-06
*RES
1 *5843:X *5844:A 37.5427
*END
*D_NET *315 0.00922106
*CONN
*I *5846:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5845:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5846:A 0.000257197
2 *5845:X 4.94261e-05
3 *315:9 0.00302937
4 *315:8 0.00282159
5 *315:8 *362:29 9.96342e-05
6 *315:8 *364:38 3.77659e-05
7 *315:9 *415:18 0.000530137
8 *315:9 *453:11 0.00077856
9 *5840:A *315:9 0.00161738
*RES
1 *5845:X *315:8 13.7893
2 *315:8 *315:9 53.1071
3 *315:9 *5846:A 17.1286
*END
*D_NET *316 0.0128572
*CONN
*I *5848:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5847:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *5848:A 0.00105483
2 *5847:X 7.00223e-05
3 *316:11 0.00386482
4 *316:8 0.00288001
5 *5848:A *6008:A 5.56461e-05
6 *5848:A *373:17 0.000629683
7 *5848:A *376:13 0
8 *5848:A *377:10 0.00100153
9 *5848:A *418:11 0.00158518
10 *316:8 *450:10 8.62625e-06
11 *316:11 *5824:A 3.31745e-05
12 *316:11 *446:15 0.000228075
13 *5770:A *316:8 0
14 *5825:A *316:11 0.00030253
15 *5826:B *316:11 0.000474797
16 *5957:A *5848:A 0.00011818
17 *264:26 *316:8 2.51446e-05
18 *300:15 *316:11 0.000307023
19 *300:27 *316:11 0.000217937
*RES
1 *5847:X *316:8 13.7893
2 *316:8 *316:11 49.125
3 *316:11 *5848:A 44.95
*END
*D_NET *317 0.00338991
*CONN
*I *5851:A I *D sky130_fd_sc_hd__nor2_1
*I *5858:B I *D sky130_fd_sc_hd__and4b_2
*I *5854:A2 I *D sky130_fd_sc_hd__o21a_1
*I *5857:A2 I *D sky130_fd_sc_hd__a21boi_1
*I *5849:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *5851:A 8.34879e-05
2 *5858:B 0
3 *5854:A2 0.00023547
4 *5857:A2 0.000180735
5 *5849:Y 3.09102e-05
6 *317:25 0.000402087
7 *317:21 0.000398579
8 *317:6 0.000360119
9 *5851:A *5851:B 4.82966e-05
10 *5851:A *322:7 0.00011818
11 *5854:A2 *5852:B1 1.72799e-05
12 *5854:A2 *5852:C1 0.000137936
13 *5854:A2 *5854:A1 9.89388e-06
14 *5854:A2 *5854:B1 0.000111443
15 *5854:A2 *5858:C 0.00010872
16 *5857:A2 *5856:A1 5.05252e-05
17 *5857:A2 *477:33 7.16754e-05
18 *317:6 *5856:A1 3.20069e-06
19 *317:6 *322:8 2.71337e-05
20 *317:21 *5852:C1 0
21 *317:21 *322:8 9.39005e-05
22 *317:25 *5851:B 0.000116928
23 *317:25 *5852:C1 8.89094e-05
24 *317:25 *5858:C 9.89388e-06
25 *317:25 *322:7 0.000273907
26 *317:25 *408:13 0.000213676
27 *5908:D *5851:A 3.14978e-05
28 *5911:D *5857:A2 0.000165521
*RES
1 *5849:Y *317:6 13.2089
2 *317:6 *5857:A2 16.5304
3 *317:6 *317:21 3.13393
4 *317:21 *317:25 5.28571
5 *317:25 *5854:A2 17.3607
6 *317:25 *5858:B 12.325
7 *317:21 *5851:A 14.825
*END
*D_NET *318 0.00214523
*CONN
*I *5855:A I *D sky130_fd_sc_hd__nor2_1
*I *5851:B I *D sky130_fd_sc_hd__nor2_1
*I *5850:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *5855:A 0.000151423
2 *5851:B 0.000280063
3 *5850:X 0
4 *318:4 0.000431486
5 *5851:B *5850:B1 5.88662e-05
6 *5851:B *5852:B1 5.68225e-06
7 *5851:B *5858:D 0.000364968
8 *5851:B *407:10 7.15593e-05
9 *5851:B *408:13 0.000357835
10 *5855:A *410:12 0.000258128
11 *5855:A *478:15 0
12 *5851:A *5851:B 4.82966e-05
13 *317:25 *5851:B 0.000116928
*RES
1 *5850:X *318:4 12.2
2 *318:4 *5851:B 21.0929
3 *318:4 *5855:A 15.4143
*END
*D_NET *319 0.0023868
*CONN
*I *5853:B I *D sky130_fd_sc_hd__nor2_1
*I *5855:B I *D sky130_fd_sc_hd__nor2_1
*I *5852:Y O *D sky130_fd_sc_hd__a211oi_1
*CAP
1 *5853:B 7.38178e-05
2 *5855:B 0.000188782
3 *5852:Y 0
4 *319:4 0.0002626
5 *5853:B *5854:B1 8.49565e-06
6 *5853:B *5858:C 0.000188843
7 *5853:B *408:7 4.65954e-06
8 *5853:B *426:18 0.000254466
9 *5855:B *5858:C 0.000224395
10 *5855:B *425:13 9.80747e-05
11 *5855:B *426:18 0.000457641
12 sram_addr0[0] *5855:B 0.000151726
13 *5989:A *5855:B 0.000372364
14 *5990:A *5855:B 0.000100939
*RES
1 *5852:Y *319:4 12.2
2 *319:4 *5855:B 20.95
3 *319:4 *5853:B 14.8071
*END
*D_NET *320 0.000606408
*CONN
*I *5854:B1 I *D sky130_fd_sc_hd__o21a_1
*I *5853:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *5854:B1 0.000226574
2 *5853:Y 0.000226574
3 *5854:B1 *5852:B1 8.62625e-06
4 *5854:B1 *5852:C1 5.04829e-06
5 *5854:B1 *5858:C 1.96465e-05
6 *5853:B *5854:B1 8.49565e-06
7 *5854:A2 *5854:B1 0.000111443
8 *5987:A *5854:B1 0
*RES
1 *5853:Y *5854:B1 27.9536
*END
*D_NET *321 0.00215164
*CONN
*I *5856:B1 I *D sky130_fd_sc_hd__o21a_1
*I *5857:B1_N I *D sky130_fd_sc_hd__a21boi_1
*I *5855:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *5856:B1 0.000248258
2 *5857:B1_N 0
3 *5855:Y 0.000381076
4 *321:8 0.000629334
5 *5856:B1 *5856:A1 0
6 *5856:B1 *6001:A 0
7 *5856:B1 *409:8 0
8 *5856:B1 *438:13 4.58907e-05
9 *5856:B1 *477:8 7.83397e-05
10 *5856:B1 *477:10 3.06126e-05
11 *321:8 *409:8 0
12 *321:8 *410:12 0.000464099
13 *321:8 *477:10 5.53934e-05
14 *5910:D *5856:B1 0.000218638
*RES
1 *5855:Y *321:8 17.7893
2 *321:8 *5857:B1_N 12.325
3 *321:8 *5856:B1 17.8964
*END
*D_NET *322 0.0139871
*CONN
*I *5893:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5859:A I *D sky130_fd_sc_hd__buf_2
*I *5858:X O *D sky130_fd_sc_hd__and4b_2
*CAP
1 *5893:A 0.000211196
2 *5859:A 0.000194443
3 *5858:X 0.000226037
4 *322:8 0.00400015
5 *322:7 0.00382054
6 *5859:A *323:5 6.54491e-05
7 *5859:A *323:49 3.24105e-05
8 *5893:A *323:49 0.000384703
9 *322:8 *5754:B 7.50872e-05
10 *322:8 *5756:A 0.000274776
11 *322:8 *5849:A 4.33979e-05
12 *322:8 *5856:A1 0
13 *322:8 *5860:A 0
14 *322:8 *5863:S 0.00020588
15 *322:8 *5864:A 7.95666e-05
16 *322:8 *5865:A1 8.20492e-06
17 *322:8 *5866:A 0.000142207
18 *322:8 *5869:A0 0.00015324
19 *322:8 *5911:CLK 4.79439e-05
20 *322:8 *5914:CLK 0.000349963
21 *322:8 *6002:A 0
22 *322:8 *323:10 0
23 *322:8 *323:49 8.16827e-05
24 *322:8 *324:11 0
25 *322:8 *406:75 0.000243633
26 *322:8 *455:8 0.00020172
27 *322:8 *455:29 0.00117971
28 *322:8 *478:15 0.00015324
29 *5760:A *5859:A 0.000322543
30 *5851:A *322:7 0.00011818
31 *5856:A2 *322:8 0
32 *265:9 *322:8 0.000976215
33 *317:6 *322:8 2.71337e-05
34 *317:21 *322:8 9.39005e-05
35 *317:25 *322:7 0.000273907
*RES
1 *5858:X *322:7 15.325
2 *322:7 *322:8 84.5179
3 *322:8 *5859:A 16.9679
4 *322:8 *5893:A 17.3071
*END
*D_NET *323 0.0174315
*CONN
*I *5904:S I *D sky130_fd_sc_hd__mux2_1
*I *5906:S I *D sky130_fd_sc_hd__mux2_1
*I *5882:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5860:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5871:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5859:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *5904:S 0
2 *5906:S 0.000248003
3 *5882:A 0.000133682
4 *5860:A 0.000583196
5 *5871:A 0.000243164
6 *5859:X 0.000187276
7 *323:49 0.00182634
8 *323:10 0.00240232
9 *323:8 0.00181437
10 *323:5 0.00187034
11 *5860:A *5875:A 0.000311593
12 *5860:A *324:11 7.16754e-05
13 *5860:A *352:13 0.000269565
14 *5871:A *5874:A1 4.21651e-05
15 *5871:A *5875:A 0.000153389
16 *5871:A *5918:CLK 0.000373548
17 *5871:A *330:7 0.000122378
18 *5882:A *336:7 1.27193e-05
19 *5906:S *5757:C 5.41377e-05
20 *5906:S *5904:A0 0.000111358
21 *5906:S *5905:A 0.00016553
22 *5906:S *5906:A0 0.000118245
23 *5906:S *5932:CLK 0.000833735
24 *323:10 *5756:A 7.97533e-05
25 *323:10 *5879:A 0
26 *323:10 *455:8 6.25955e-05
27 *323:49 *5757:A 0
28 *323:49 *5757:C 0
29 *323:49 *5900:S 0.000628359
30 *323:49 *5904:A0 6.08467e-05
31 *323:49 *342:8 0.000346333
32 *323:49 *391:15 0.000427367
33 *323:49 *440:15 0.00031994
34 *5756:C *323:10 0.000914842
35 *5859:A *323:5 6.54491e-05
36 *5859:A *323:49 3.24105e-05
37 *5893:A *323:49 0.000384703
38 *5918:D *5871:A 0.000188266
39 *5920:D *323:10 0
40 *5932:D *5906:S 6.96232e-05
41 *265:9 *323:8 7.73065e-05
42 *265:9 *323:10 0.000930412
43 *265:9 *323:49 0.000462788
44 *266:17 *323:49 0.000350097
45 *322:8 *5860:A 0
46 *322:8 *323:10 0
47 *322:8 *323:49 8.16827e-05
*RES
1 *5859:X *323:5 14.3786
2 *323:5 *323:8 2.375
3 *323:8 *323:10 38.2232
4 *323:10 *5871:A 19.3875
5 *323:10 *5860:A 24.7982
6 *323:8 *5882:A 14.5036
7 *323:5 *323:49 40
8 *323:49 *5906:S 21.3964
9 *323:49 *5904:S 12.2
*END
*D_NET *324 0.00850658
*CONN
*I *5867:S I *D sky130_fd_sc_hd__mux2_1
*I *5863:S I *D sky130_fd_sc_hd__mux2_1
*I *5865:S I *D sky130_fd_sc_hd__mux2_1
*I *5861:S I *D sky130_fd_sc_hd__mux2_1
*I *5869:S I *D sky130_fd_sc_hd__mux2_1
*I *5860:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *5867:S 7.11893e-05
2 *5863:S 0.000160183
3 *5865:S 0
4 *5861:S 0.000244961
5 *5869:S 0
6 *5860:X 0.000382283
7 *324:44 0.000615668
8 *324:30 0.000869828
9 *324:25 0.000895369
10 *324:11 0.00103708
11 *5861:S *5861:A0 6.92705e-05
12 *5861:S *5863:A1 6.50727e-05
13 *5861:S *451:20 0
14 *5861:S *469:8 0
15 *5863:S *5865:A0 6.50586e-05
16 *5863:S *5865:A1 5.22654e-06
17 *5867:S *5867:A1 3.14978e-05
18 *5867:S *454:7 1.41291e-05
19 *324:11 *5869:A0 0.000118796
20 *324:25 *5867:A1 0.000108071
21 *324:25 *5868:A 9.02862e-05
22 *324:25 *5869:A0 3.89073e-05
23 *324:25 *5869:A1 0.00115524
24 *324:25 *5870:A 0.0002817
25 *324:25 *452:8 0.000469983
26 *324:30 *451:20 0
27 *324:44 *5865:A0 4.82966e-05
28 *324:44 *5866:A 0.000322611
29 *324:44 *6039:A 7.33372e-06
30 *324:44 *453:11 0.000205801
31 *5860:A *324:11 7.16754e-05
32 *5915:D *324:25 0.000127164
33 *283:21 *324:25 3.3225e-05
34 *284:30 *5861:S 0.000407698
35 *284:30 *324:30 0.000287099
36 *322:8 *5863:S 0.00020588
37 *322:8 *324:11 0
*RES
1 *5860:X *324:11 18.825
2 *324:11 *5869:S 12.2
3 *324:11 *324:25 18.7321
4 *324:25 *324:30 4.32143
5 *324:30 *5861:S 18.1464
6 *324:30 *324:44 10.5357
7 *324:44 *5865:S 12.2
8 *324:44 *5863:S 15.8429
9 *324:25 *5867:S 13.8786
*END
*D_NET *325 0.000970506
*CONN
*I *5862:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5861:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *5862:A 0.000224709
2 *5861:X 0.000224709
3 *5862:A *5863:A1 0.000122378
4 *5862:A *452:8 5.59308e-05
5 *5912:D *5862:A 3.77804e-05
6 *283:21 *5862:A 0.000304998
*RES
1 *5861:X *5862:A 29.6679
*END
*D_NET *326 0.0010864
*CONN
*I *5864:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5863:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *5864:A 0.000329544
2 *5863:X 0.000329544
3 *5864:A *5863:A1 3.92275e-05
4 *5864:A *447:23 0.000212893
5 *5856:A2 *5864:A 3.20069e-06
6 *5913:D *5864:A 9.24241e-05
7 *322:8 *5864:A 7.95666e-05
*RES
1 *5863:X *5864:A 30.4893
*END
*D_NET *327 0.00128567
*CONN
*I *5866:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5865:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *5866:A 0.00035333
2 *5865:X 0.00035333
3 *5866:A *5865:A1 7.14746e-05
4 *5914:D *5866:A 4.27148e-05
5 *322:8 *5866:A 0.000142207
6 *324:44 *5866:A 0.000322611
*RES
1 *5865:X *5866:A 31.3107
*END
*D_NET *328 0.000878731
*CONN
*I *5868:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5867:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *5868:A 0.000135112
2 *5867:X 0.000135112
3 *5868:A *454:7 6.92705e-05
4 *5915:D *5868:A 0.000123582
5 *283:21 *5868:A 0.000325369
6 *324:25 *5868:A 9.02862e-05
*RES
1 *5867:X *5868:A 29.2571
*END
*D_NET *329 0.000850311
*CONN
*I *5870:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5869:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *5870:A 0.000250978
2 *5869:X 0.000250978
3 *5870:A *451:20 6.66538e-05
4 *324:25 *5870:A 0.0002817
*RES
1 *5869:X *5870:A 28.775
*END
*D_NET *330 0.00668561
*CONN
*I *5880:S I *D sky130_fd_sc_hd__mux2_1
*I *5878:S I *D sky130_fd_sc_hd__mux2_1
*I *5876:S I *D sky130_fd_sc_hd__mux2_1
*I *5874:S I *D sky130_fd_sc_hd__mux2_1
*I *5872:S I *D sky130_fd_sc_hd__mux2_1
*I *5871:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *5880:S 0.000172733
2 *5878:S 0.000308878
3 *5876:S 3.67843e-05
4 *5874:S 0.000230263
5 *5872:S 2.99924e-05
6 *5871:X 5.85538e-05
7 *330:36 0.000870549
8 *330:27 0.000529664
9 *330:10 0.00039277
10 *330:7 0.00029501
11 *5872:S *353:9 0.000122378
12 *5874:S *5874:A1 6.3657e-05
13 *5874:S *5875:A 0.000322606
14 *5874:S *353:9 0.000296209
15 *5876:S *5876:A0 0.000118166
16 *5878:S *5878:A1 0.000324853
17 *5878:S *356:9 0.00010239
18 *5880:S *5880:A0 1.79807e-05
19 *5880:S *5880:A1 5.22654e-06
20 *5880:S *451:20 0.000367283
21 *330:7 *5874:A1 0.000536581
22 *330:7 *5918:CLK 0.000180711
23 *330:10 *451:20 0.000217602
24 *330:27 *5876:A1 0
25 *330:27 *451:20 0.000160384
26 *330:36 *5876:A1 0
27 *330:36 *451:20 0.000802009
28 *5788:A *5880:S 0
29 *5788:A *330:10 0
30 *5788:A *330:27 0
31 *5788:A *330:36 0
32 *5871:A *330:7 0.000122378
*RES
1 *5871:X *330:7 16.5571
2 *330:7 *330:10 2.98214
3 *330:10 *5872:S 13.1464
4 *330:10 *5874:S 18.9321
5 *330:7 *330:27 2.25
6 *330:27 *5876:S 13.2714
7 *330:27 *330:36 10.1429
8 *330:36 *5878:S 18.6286
9 *330:36 *5880:S 17.0036
*END
*D_NET *331 0.000921718
*CONN
*I *5873:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5872:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *5873:A 0.000198292
2 *5872:X 0.000198292
3 *5873:A *353:9 5.0715e-05
4 *5873:A *452:8 4.73211e-05
5 *5917:D *5873:A 8.07794e-05
6 *283:21 *5873:A 0.000346318
*RES
1 *5872:X *5873:A 29.9714
*END
*D_NET *332 0.00192033
*CONN
*I *5875:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5874:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *5875:A 0.00049051
2 *5874:X 0.00049051
3 *5875:A *5997:A 0.000110297
4 *5875:A *353:9 4.14251e-05
5 *5860:A *5875:A 0.000311593
6 *5871:A *5875:A 0.000153389
7 *5874:S *5875:A 0.000322606
*RES
1 *5874:X *5875:A 33.4893
*END
*D_NET *333 0.000851334
*CONN
*I *5877:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5876:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *5877:A 0.000204293
2 *5876:X 0.000204293
3 *5877:A *5997:A 2.32594e-05
4 *5877:A *452:8 6.6516e-05
5 *5919:D *5877:A 3.77804e-05
6 *283:21 *5877:A 0.000315191
*RES
1 *5876:X *5877:A 29.6679
*END
*D_NET *334 0.000710154
*CONN
*I *5879:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5878:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *5879:A 0.000248034
2 *5878:X 0.000248034
3 *5879:A *355:13 0.000122378
4 *5879:A *451:20 0
5 *5920:D *5879:A 9.17083e-05
6 *323:10 *5879:A 0
*RES
1 *5878:X *5879:A 29.9714
*END
*D_NET *335 0.00037977
*CONN
*I *5881:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5880:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *5881:A 0.000189885
2 *5880:X 0.000189885
*RES
1 *5880:X *5881:A 27.3107
*END
*D_NET *336 0.00585112
*CONN
*I *5891:S I *D sky130_fd_sc_hd__mux2_1
*I *5889:S I *D sky130_fd_sc_hd__mux2_1
*I *5887:S I *D sky130_fd_sc_hd__mux2_1
*I *5885:S I *D sky130_fd_sc_hd__mux2_1
*I *5883:S I *D sky130_fd_sc_hd__mux2_1
*I *5882:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *5891:S 0.000316602
2 *5889:S 0.000129782
3 *5887:S 0
4 *5885:S 3.35137e-05
5 *5883:S 0.000142893
6 *5882:X 0.000278578
7 *336:18 0.000332435
8 *336:13 0.000329167
9 *336:8 0.000588542
10 *336:7 0.000880802
11 *5883:S *5754:D 0.000170592
12 *5883:S *5883:A0 0.000222149
13 *5883:S *5886:A 2.95757e-05
14 *5883:S *451:20 5.64929e-05
15 *5885:S *5885:A0 0.000158357
16 *5885:S *5886:A 0.000111708
17 *5885:S *5923:CLK 1.92172e-05
18 *5889:S *431:9 0.000364356
19 *5891:S *5926:CLK 0.000326398
20 *5891:S *6020:A 1.97124e-05
21 *5891:S *451:20 0
22 *336:8 *451:20 0
23 *336:8 *460:6 3.40703e-05
24 *336:13 *5754:D 3.22726e-05
25 *336:13 *5924:CLK 0.000167076
26 *336:13 *6018:A 0.00015709
27 *336:13 *460:6 1.93378e-05
28 *336:18 *5754:D 0.000374412
29 *336:18 *5886:A 0.000181401
30 *336:18 *5924:CLK 6.08467e-05
31 *336:18 *6018:A 6.08467e-05
32 *336:18 *451:20 8.18789e-05
33 *5760:A *5891:S 0
34 *5882:A *336:7 1.27193e-05
35 *5924:D *336:13 4.00504e-05
36 *5926:D *5891:S 0.000118245
*RES
1 *5882:X *336:7 15.325
2 *336:7 *336:8 5.58929
3 *336:8 *336:13 4.33929
4 *336:13 *336:18 5.64286
5 *336:18 *5883:S 16.3429
6 *336:18 *5885:S 13.6821
7 *336:13 *5887:S 12.2
8 *336:8 *5889:S 15.325
9 *336:7 *5891:S 19.2893
*END
*D_NET *337 0.00107606
*CONN
*I *5884:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5883:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *5884:A 0.000279647
2 *5883:X 0.000279647
3 *5884:A *5883:A1 0.000164815
4 *5884:A *406:167 0.000276874
5 *5884:A *406:180 7.50722e-05
6 *5884:A *451:20 0
*RES
1 *5883:X *5884:A 30.3821
*END
*D_NET *338 0.00140885
*CONN
*I *5886:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5885:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *5886:A 0.000161019
2 *5885:X 0.000161019
3 *5886:A *5885:A0 7.24449e-05
4 *5886:A *5923:CLK 0.00043038
5 *5886:A *429:15 5.03285e-05
6 *5886:A *451:20 0.000210977
7 *5883:S *5886:A 2.95757e-05
8 *5885:S *5886:A 0.000111708
9 *336:18 *5886:A 0.000181401
*RES
1 *5885:X *5886:A 31.3286
*END
*D_NET *339 0.00184044
*CONN
*I *5888:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5887:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *5888:A 0.000490414
2 *5887:X 0.000490414
3 *5888:A *5754:C 0.000148114
4 *5888:A *5754:D 0
5 *5888:A *5924:CLK 1.65872e-05
6 *5888:A *6018:A 0.00069491
*RES
1 *5887:X *5888:A 32.0607
*END
*D_NET *340 0.000741015
*CONN
*I *5890:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5889:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *5890:A 0.000109188
2 *5889:X 0.000109188
3 *5890:A *406:204 0.000174175
4 *5890:A *406:221 0.000144614
5 *5890:A *424:13 8.53663e-05
6 *5925:D *5890:A 0.000118485
*RES
1 *5889:X *5890:A 28.7214
*END
*D_NET *341 0.00102525
*CONN
*I *5892:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5891:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *5892:A 0.000244329
2 *5891:X 0.000244329
3 *5892:A *5891:A1 0
4 *5892:A *452:8 0
5 *5760:A *5892:A 0.000536595
*RES
1 *5891:X *5892:A 30.525
*END
*D_NET *342 0.00735461
*CONN
*I *5900:S I *D sky130_fd_sc_hd__mux2_1
*I *5896:S I *D sky130_fd_sc_hd__mux2_1
*I *5902:S I *D sky130_fd_sc_hd__mux2_1
*I *5898:S I *D sky130_fd_sc_hd__mux2_1
*I *5894:S I *D sky130_fd_sc_hd__mux2_1
*I *5893:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *5900:S 0.000579166
2 *5896:S 2.06324e-05
3 *5902:S 0.000552023
4 *5898:S 5.33141e-05
5 *5894:S 0.000134112
6 *5893:X 0.000225358
7 *342:27 0.000846197
8 *342:15 0.000827041
9 *342:11 0.000591511
10 *342:8 0.000923334
11 *5894:S *5758:B 0.00011818
12 *5894:S *5894:A0 3.31882e-05
13 *5894:S *5894:A1 0.000123502
14 *5894:S *5895:A 6.80864e-05
15 *5894:S *6021:A 2.41483e-05
16 *5894:S *451:20 0
17 *5894:S *462:8 6.79599e-05
18 *5898:S *5898:A0 0.000122378
19 *5898:S *436:11 1.92336e-05
20 *5900:S *5900:A0 3.14978e-05
21 *5900:S *391:15 0.000164815
22 *5902:S *5898:A1 7.33684e-05
23 *5902:S *5899:A 0.000143304
24 *5902:S *5900:A1 0.000153283
25 *5902:S *451:20 0
26 *5902:S *452:8 0
27 *342:11 *5758:C 1.41976e-05
28 *342:11 *391:15 6.22868e-05
29 *342:15 *5758:C 0.000110297
30 *342:15 *5897:A 0.000117566
31 *342:15 *462:8 0.000100913
32 *342:27 *5895:A 7.90257e-05
33 *342:27 *451:20 0
34 *342:27 *452:8 0
35 *5972:A *5902:S 0
36 *323:49 *5900:S 0.000628359
37 *323:49 *342:8 0.000346333
*RES
1 *5893:X *342:8 17.2357
2 *342:8 *342:11 2.30357
3 *342:11 *342:15 9.28571
4 *342:15 *5894:S 16.3607
5 *342:15 *342:27 4.67857
6 *342:27 *5898:S 13.6821
7 *342:27 *5902:S 23.6464
8 *342:11 *5896:S 12.6286
9 *342:8 *5900:S 25.5214
*END
*D_NET *343 0.000728747
*CONN
*I *5895:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5894:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *5895:A 0.000168753
2 *5894:X 0.000168753
3 *5895:A *5894:A1 9.80577e-05
4 *5895:A *452:8 4.85353e-05
5 *5894:S *5895:A 6.80864e-05
6 *5927:D *5895:A 9.75356e-05
7 *342:27 *5895:A 7.90257e-05
*RES
1 *5894:X *5895:A 29.2571
*END
*D_NET *344 0.00143288
*CONN
*I *5897:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5896:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *5897:A 0.00042809
2 *5896:X 0.00042809
3 *5897:A *5758:C 7.09558e-05
4 *5897:A *436:11 6.50727e-05
5 *5897:A *463:12 0.000102981
6 *5928:D *5897:A 0.000153372
7 *266:17 *5897:A 6.67513e-05
8 *342:15 *5897:A 0.000117566
*RES
1 *5896:X *5897:A 33.6143
*END
*D_NET *345 0.000754437
*CONN
*I *5899:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5898:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *5899:A 0.000212686
2 *5898:X 0.000212686
3 *5899:A *436:11 6.92705e-05
4 *5899:A *452:8 4.73076e-05
5 *5902:S *5899:A 0.000143304
6 *5929:D *5899:A 6.91836e-05
*RES
1 *5898:X *5899:A 29.5607
*END
*D_NET *346 0.00128682
*CONN
*I *5901:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5900:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *5901:A 0.00041232
2 *5900:X 0.00041232
3 *5901:A *5757:A 3.66465e-05
4 *5901:A *5900:A0 0.000152129
5 *5901:A *5900:A1 6.47059e-05
6 *5901:A *5930:CLK 4.57412e-05
7 *5901:A *391:15 9.75148e-06
8 *5930:D *5901:A 0.00015321
*RES
1 *5900:X *5901:A 31.6143
*END
*D_NET *347 0.000865056
*CONN
*I *5903:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5902:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *5903:A 0.000167331
2 *5902:X 0.000167331
3 *5903:A *406:287 0.000222684
4 *5903:A *439:11 6.08467e-05
5 *5903:A *451:20 0
6 *5903:A *452:8 7.26733e-05
7 *5931:D *5903:A 0.00017419
*RES
1 *5902:X *5903:A 29.5607
*END
*D_NET *348 0.000594813
*CONN
*I *5905:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5904:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *5905:A 0.000155953
2 *5904:X 0.000155953
3 *5905:A *468:6 0
4 *5906:S *5905:A 0.00016553
5 *5932:D *5905:A 0.000117376
*RES
1 *5904:X *5905:A 27.9536
*END
*D_NET *349 0.000764364
*CONN
*I *5907:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5906:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *5907:A 0.000243941
2 *5906:X 0.000243941
3 *5907:A *5906:A1 9.34224e-05
4 *5907:A *5932:CLK 0.000122378
5 *5907:A *5933:CLK 1.79672e-05
6 *5907:A *452:8 0
7 *5933:D *5907:A 4.27148e-05
*RES
1 *5906:X *5907:A 29.6679
*END
*D_NET *350 0.00266156
*CONN
*I *5763:A I *D sky130_fd_sc_hd__and2_1
*I *5936:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5763:A 0.00100925
2 *5936:X 0.00100925
3 *5763:A *360:12 0.000122083
4 *5763:A *425:13 2.0988e-05
5 *5763:A *426:18 0.000217923
6 wb_error_o *5763:A 9.27159e-05
7 *5765:B *5763:A 5.04734e-05
8 *5908:D *5763:A 4.28518e-05
9 *5936:A *5763:A 5.56461e-05
10 *283:16 *5763:A 4.03856e-05
*RES
1 *5936:X *5763:A 37.5071
*END
*D_NET *351 0.0329271
*CONN
*I *5802:A I *D sky130_fd_sc_hd__and2_1
*I *5937:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *5802:A 0.00113616
2 *5937:X 0.00191553
3 *351:9 0.0142313
4 *351:8 0.0150106
5 *5802:A *358:22 0
6 *5802:A *358:26 0
7 *5802:A *361:14 3.00073e-05
8 *5802:A *445:8 0.000603506
*RES
1 *5937:X *351:8 47.4857
2 *351:8 *351:9 140.589
3 *351:9 *5802:A 37.7714
*END
*D_NET *352 0.0115272
*CONN
*I *5995:A I *D sky130_fd_sc_hd__buf_2
*I *5775:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *5995:A 0
2 *5775:X 0.000934253
3 *352:13 0.00265685
4 *352:10 0.00381951
5 *352:7 0.00209692
6 *352:10 *5822:A 0.000722935
7 *352:10 *5824:A 0.000119781
8 *352:10 *422:14 0
9 *5821:A *352:10 0.000338188
10 *5860:A *352:13 0.000269565
11 *286:6 *352:10 0.000472033
12 *313:17 *352:10 9.71486e-05
*RES
1 *5775:X *352:7 26.825
2 *352:7 *352:10 29.3929
3 *352:10 *352:13 44.0893
4 *352:13 *5995:A 12.2
*END
*D_NET *353 0.0119706
*CONN
*I *5996:A I *D sky130_fd_sc_hd__buf_2
*I *5777:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *5996:A 0
2 *5777:X 0.00139952
3 *353:9 0.00284758
4 *353:8 0.0042471
5 *353:9 *5872:A1 0.000364342
6 *353:9 *5874:A0 0.00171571
7 *353:9 *5874:A1 6.64392e-05
8 *5779:A *353:8 5.23399e-05
9 *5872:S *353:9 0.000122378
10 *5873:A *353:9 5.0715e-05
11 *5874:S *353:9 0.000296209
12 *5875:A *353:9 4.14251e-05
13 *281:11 *353:8 0.000766897
*RES
1 *5777:X *353:8 43.8429
2 *353:8 *353:9 55.9821
3 *353:9 *5996:A 12.2
*END
*D_NET *354 0.0132828
*CONN
*I *5997:A I *D sky130_fd_sc_hd__buf_2
*I *5779:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *5997:A 0.00154534
2 *5779:X 0.000261398
3 *354:16 0.00280838
4 *354:10 0.00270822
5 *354:7 0.00170658
6 *5997:A *4879:DIODE 6.50586e-05
7 *5997:A *5876:A0 0.000423922
8 *354:7 *366:9 0.000281119
9 *354:16 *360:12 9.75356e-05
10 *354:16 *361:9 0.00219735
11 *354:16 *362:29 7.48633e-05
12 *354:16 *363:14 0
13 *354:16 *475:8 1.19618e-05
14 *5779:A *354:7 6.50586e-05
15 *5813:B *5997:A 0.000116351
16 *5827:A *354:10 0
17 *5875:A *5997:A 0.000110297
18 *5877:A *5997:A 2.32594e-05
19 *285:6 *354:10 0.000786106
20 *287:6 *354:10 0
*RES
1 *5779:X *354:7 18.2
2 *354:7 *354:10 32.125
3 *354:10 *354:16 29.125
4 *354:16 *5997:A 37.8071
*END
*D_NET *355 0.00848141
*CONN
*I *5998:A I *D sky130_fd_sc_hd__buf_2
*I *5781:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5998:A 0
2 *5781:X 0.000423029
3 *355:13 0.00303007
4 *355:10 0.0034531
5 *355:10 *5798:A 0.000134419
6 *5795:A *355:13 0.00095501
7 *5879:A *355:13 0.000122378
8 *287:6 *355:10 0.000295725
9 *288:7 *355:13 5.84768e-05
10 *291:7 *355:13 9.19886e-06
*RES
1 *5781:X *355:10 19.6286
2 *355:10 *355:13 49.4286
3 *355:13 *5998:A 12.2
*END
*D_NET *356 0.00760816
*CONN
*I *5999:A I *D sky130_fd_sc_hd__buf_2
*I *5783:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5999:A 2.3034e-05
2 *5783:X 5.86067e-05
3 *356:9 0.00326464
4 *356:8 0.00330021
5 *356:9 *5804:A 7.48797e-05
6 *356:9 *5878:A0 0.00047338
7 *356:9 *5878:A1 0.000149049
8 *5878:S *356:9 0.00010239
9 *283:21 *356:9 6.08467e-05
10 *285:6 *356:8 0.000101133
11 *287:6 *356:8 0
*RES
1 *5783:X *356:8 13.7893
2 *356:8 *356:9 53.1071
3 *356:9 *5999:A 12.6286
*END
*D_NET *357 0.00356436
*CONN
*I *6000:A I *D sky130_fd_sc_hd__buf_2
*I *5854:A1 I *D sky130_fd_sc_hd__o21a_1
*I *5909:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6000:A 0.000421931
2 *5854:A1 0.000331904
3 *5909:Q 0
4 *357:4 0.000753835
5 *6000:A *6001:A 0.000364356
6 sram_addr1[0] *6000:A 2.82583e-05
7 sram_din0[0] *5854:A1 0
8 *5854:A2 *5854:A1 9.89388e-06
9 *5909:D *5854:A1 0
10 *5936:A *6000:A 6.33748e-05
11 *5988:A *6000:A 0.00122147
12 *284:17 *5854:A1 0.000369336
*RES
1 *5909:Q *357:4 12.2
2 *357:4 *5854:A1 17.0929
3 *357:4 *6000:A 25.2
*END
*D_NET *358 0.0482663
*CONN
*I *5804:A I *D sky130_fd_sc_hd__and2_1
*I *4878:DIODE I *D sky130_fd_sc_hd__diode_2
*I *5938:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *5804:A 5.58623e-05
2 *4878:DIODE 0
3 *5938:X 0.000906019
4 *358:26 0.00109878
5 *358:22 0.00316093
6 *358:17 0.00283728
7 *358:16 0.000795964
8 *358:11 0.00096995
9 *358:10 0.00179927
10 *358:10 *364:9 1.92172e-05
11 *358:10 *364:19 0.00136697
12 *358:10 *444:8 9.60366e-05
13 *358:11 *360:9 0.0106419
14 *358:11 *368:9 0
15 *358:11 *444:9 0.0105952
16 *358:16 *365:21 8.62625e-06
17 *358:17 *360:9 5.81185e-06
18 *358:17 *411:9 0.00527914
19 *358:17 *422:9 0.00604351
20 *358:17 *444:9 0.00022511
21 *358:22 *420:8 0.00015324
22 *358:22 *444:12 0.00149042
23 *358:22 *446:8 7.58884e-05
24 *358:26 *444:12 0
25 *358:26 *445:8 0
26 sram_addr0[7] *358:16 1.90218e-05
27 sram_din0[2] *358:22 0
28 *5768:A *358:22 0.000105254
29 *5797:A *358:26 0
30 *5799:A *358:26 7.58884e-05
31 *5800:B *358:26 0
32 *5802:A *358:22 0
33 *5802:A *358:26 0
34 *5804:B *358:26 0
35 *5831:A *358:22 0.000325369
36 *5951:A *358:22 2.35336e-05
37 *5957:A *358:16 1.72464e-05
38 *270:12 *358:22 0
39 *270:17 *358:22 0
40 *275:9 *358:22 0
41 *284:10 *358:22 0
42 *288:15 *358:26 0
43 *356:9 *5804:A 7.48797e-05
*RES
1 *5938:X *358:10 25.0036
2 *358:10 *358:11 83.9107
3 *358:11 *358:16 1.58929
4 *358:16 *358:17 50.2321
5 *358:17 *358:22 48.9018
6 *358:22 *358:26 19.0268
7 *358:26 *4878:DIODE 12.2
8 *358:26 *5804:A 13.5571
*END
*D_NET *359 0.00151486
*CONN
*I *5765:A I *D sky130_fd_sc_hd__and2_1
*I *5939:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5765:A 0.000365931
2 *5939:X 0.000365931
3 *5765:A *360:12 0
4 *5765:A *363:14 0
5 *5765:A *426:18 0.000100919
6 *5765:B *5765:A 0.000111722
7 *283:10 *5765:A 0.000570356
*RES
1 *5939:X *5765:A 33.3643
*END
*D_NET *360 0.0507084
*CONN
*I *5807:A I *D sky130_fd_sc_hd__and2_1
*I *4879:DIODE I *D sky130_fd_sc_hd__diode_2
*I *5940:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *5807:A 5.09905e-05
2 *4879:DIODE 8.09104e-05
3 *5940:X 5.29506e-05
4 *360:14 0.000131901
5 *360:12 0.00230414
6 *360:11 0.00230414
7 *360:9 0.0126896
8 *360:8 0.0127426
9 *360:9 *362:14 0.000477964
10 *360:9 *365:21 0.00540844
11 *360:9 *368:9 0
12 *360:9 *444:9 0.000333723
13 *360:12 *5750:A 7.84098e-05
14 *360:12 *5750:B 0.0002212
15 *360:12 *5755:A_N 0.000139435
16 *360:12 *5755:B 4.44417e-05
17 *360:12 *5836:A 0
18 *360:12 *5841:A 0.000508462
19 *360:12 *5845:A 0.00171329
20 *360:12 *362:24 0
21 *360:12 *362:29 0
22 *360:12 *363:14 0
23 *360:12 *364:38 0
24 *360:12 *426:18 8.12397e-05
25 *360:12 *438:13 5.66868e-06
26 *360:12 *475:8 0.000161951
27 sram_din0[1] *360:12 0
28 sram_din0[21] *360:8 1.79807e-05
29 *5763:A *360:12 0.000122083
30 *5765:A *360:12 0
31 *5765:B *360:12 6.91816e-05
32 *5811:B *360:12 3.1218e-05
33 *5813:B *4879:DIODE 5.51483e-06
34 *5813:B *5807:A 6.92705e-05
35 *5939:A *360:12 0
36 *5940:A *360:8 1.79807e-05
37 *5997:A *4879:DIODE 6.50586e-05
38 *294:30 *5807:A 3.01683e-06
39 *311:8 *360:12 3.04973e-05
40 *354:16 *360:12 9.75356e-05
41 *358:11 *360:9 0.0106419
42 *358:17 *360:9 5.81185e-06
*RES
1 *5940:X *360:8 13.4857
2 *360:8 *360:9 164
3 *360:9 *360:11 0.125
4 *360:11 *360:12 54.4643
5 *360:12 *360:14 0.125
6 *360:14 *4879:DIODE 13.1464
7 *360:14 *5807:A 13.4679
*END
*D_NET *361 0.0382335
*CONN
*I *5809:A I *D sky130_fd_sc_hd__and2_1
*I *4880:DIODE I *D sky130_fd_sc_hd__diode_2
*I *5941:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *5809:A 0.000341377
2 *4880:DIODE 0
3 *5941:X 0
4 *361:14 0.000382271
5 *361:9 0.0147922
6 *361:8 0.0147513
7 *361:6 0.00275969
8 *361:5 0.00275969
9 *361:14 *445:8 7.86847e-05
10 sram_din0[22] *361:6 7.50722e-05
11 *5802:A *361:14 3.00073e-05
12 *5809:B *5809:A 3.75382e-05
13 *296:7 *5809:A 2.82583e-05
14 *354:16 *361:9 0.00219735
*RES
1 *5941:X *361:5 12.325
2 *361:5 *361:6 51.125
3 *361:6 *361:8 0.125
4 *361:8 *361:9 162.357
5 *361:9 *361:14 1.28571
6 *361:14 *4880:DIODE 12.2
7 *361:14 *5809:A 18.1107
*END
*D_NET *362 0.049625
*CONN
*I *5811:A I *D sky130_fd_sc_hd__and2_1
*I *5942:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *5811:A 0
2 *5942:X 0.00130303
3 *362:29 0.00183451
4 *362:24 0.0026128
5 *362:15 0.0034539
6 *362:14 0.00397864
7 *362:14 *368:9 0.000634638
8 *362:15 *5769:A 0.00135826
9 *362:15 *363:7 0.0176511
10 *362:15 *365:13 0.0109975
11 *362:15 *369:11 0.000769618
12 *362:24 *363:7 0.000223975
13 *362:24 *364:36 5.23737e-05
14 *362:24 *364:38 4.90673e-05
15 *362:29 *5750:A 0.000269679
16 *362:29 *5755:B 0.00017416
17 *362:29 *5845:A 0.000515566
18 *362:29 *364:38 0.000906468
19 *362:29 *475:8 5.08607e-05
20 sram_din0[18] *362:14 0
21 sram_din0[23] *362:14 6.81008e-05
22 sram_din0[2] *362:24 0.000583244
23 *5764:A *362:29 0.000125695
24 *5765:B *362:24 3.88655e-06
25 *5765:B *362:29 0.000363982
26 *5838:A *362:29 0.000344881
27 *5937:A *362:14 0.000183533
28 *5939:A *362:24 0.000127179
29 *5942:A *362:14 4.47713e-05
30 *260:8 *362:29 0.000153225
31 *311:8 *362:29 0.000137921
32 *315:8 *362:29 9.96342e-05
33 *354:16 *362:29 7.48633e-05
34 *360:9 *362:14 0.000477964
35 *360:12 *362:24 0
36 *360:12 *362:29 0
*RES
1 *5942:X *362:14 45.8607
2 *362:14 *362:15 138.536
3 *362:15 *362:24 11.9375
4 *362:24 *362:29 49.7232
5 *362:29 *5811:A 12.2
*END
*D_NET *363 0.0521172
*CONN
*I *5813:A I *D sky130_fd_sc_hd__and2_1
*I *5943:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *5813:A 0
2 *5943:X 1.81917e-05
3 *363:14 0.00278422
4 *363:13 0.00309457
5 *363:7 0.00275039
6 *363:5 0.00245823
7 *363:5 *365:7 1.09551e-05
8 *363:7 *6009:A 0.000285085
9 *363:7 *364:9 0.00329717
10 *363:7 *364:19 0.00203392
11 *363:7 *364:30 0.00175115
12 *363:7 *364:31 0.00330132
13 *363:7 *365:7 2.41483e-05
14 *363:7 *365:11 0.00434519
15 *363:7 *365:13 3.83336e-05
16 *363:7 *369:11 0.00178592
17 *363:7 *418:11 0.000216467
18 *363:14 *5755:A_N 0
19 *363:14 *5834:A 0.000104142
20 *363:14 *5836:A 0
21 *363:14 *5841:A 0
22 *363:14 *5863:A0 6.31665e-05
23 *363:14 *5865:A0 0
24 *363:14 *5908:CLK 6.57275e-05
25 *363:14 *5911:CLK 0
26 *363:14 *6002:A 0.000253503
27 *363:14 *426:18 0
28 *363:14 *438:13 0
29 *363:14 *476:23 0
30 sram_addr0[3] *363:7 0.000217923
31 sram_addr0[4] *363:7 0.00011818
32 sram_addr0[5] *363:7 6.50727e-05
33 sram_addr0[7] *363:7 0.000220183
34 sram_addr0[8] *363:7 0.000118166
35 sram_addr1[1] *363:13 2.86013e-06
36 sram_din0[10] *363:7 0.000583258
37 sram_din0[11] *363:7 0.000171288
38 sram_din0[14] *363:7 0.000171288
39 sram_din0[17] *363:7 0.00033061
40 sram_din0[19] *363:7 0.000277502
41 sram_din0[1] *363:13 7.48633e-05
42 sram_din0[2] *363:7 0.000583244
43 sram_din0[3] *363:7 0.00033061
44 sram_din0[7] *363:7 0.00033061
45 sram_din0[9] *363:7 6.50586e-05
46 sram_wmask0[1] *363:7 1.41291e-05
47 *5765:A *363:14 0
48 *5835:A *363:14 0.00015321
49 *5908:D *363:14 0.000332543
50 *5937:A *363:7 6.50727e-05
51 *5938:A *363:7 0.000224395
52 *5954:A *363:7 0.00011818
53 *5955:A *363:7 6.50586e-05
54 *5958:A *363:7 6.50727e-05
55 *5960:A *363:7 0.000118166
56 *5961:A *363:7 6.50727e-05
57 *5969:A *363:7 0.000171288
58 *5992:A *363:7 6.50727e-05
59 *5993:A *363:7 6.50727e-05
60 *5994:A *363:7 0.000377273
61 *354:16 *363:14 0
62 *360:12 *363:14 0
63 *362:15 *363:7 0.0176511
64 *362:24 *363:7 0.000223975
*RES
1 *5943:X *363:5 12.6286
2 *363:5 *363:7 185.152
3 *363:7 *363:13 4.0625
4 *363:13 *363:14 52.6429
5 *363:14 *5813:A 12.325
*END
*D_NET *364 0.046222
*CONN
*I *5815:A I *D sky130_fd_sc_hd__and2_1
*I *5944:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *5815:A 0.000144265
2 *5944:X 0.00168344
3 *364:38 0.00260215
4 *364:36 0.00258811
5 *364:31 0.00293771
6 *364:30 0.0041557
7 *364:19 0.00263584
8 *364:9 0.00297106
9 *364:9 *365:7 1.42035e-05
10 *364:9 *369:11 0.000454059
11 *364:30 *6009:A 0.000224395
12 *364:30 *378:13 0.00129376
13 *364:30 *380:14 0.000377259
14 *364:30 *381:10 0.00118755
15 *364:31 *6009:A 0.000948552
16 *364:31 *418:11 0.000220665
17 *364:38 *5839:A 0.0001556
18 sram_addr0[2] *364:36 0
19 sram_addr0[2] *364:38 0
20 sram_addr0[3] *364:31 0.000217923
21 sram_addr0[4] *364:31 0.00011818
22 sram_addr0[5] *364:31 6.50727e-05
23 sram_addr0[7] *364:31 0.000220183
24 sram_addr0[8] *364:30 1.5714e-05
25 sram_addr0[8] *364:31 0.000118166
26 sram_addr1[2] *364:31 0.000171288
27 sram_addr1[3] *364:31 0.000164843
28 sram_addr1[4] *364:31 0.000171288
29 sram_addr1[5] *364:31 0.000171288
30 sram_addr1[7] *364:31 0.000171288
31 sram_addr1[8] *364:30 0.000164843
32 sram_din0[10] *364:30 0.000583258
33 sram_din0[11] *364:30 0.000171288
34 sram_din0[14] *364:30 0.000171288
35 sram_din0[17] *364:19 0.00033061
36 sram_din0[19] *364:19 0.000277502
37 sram_din0[24] *364:9 0.000195621
38 sram_din0[3] *364:31 0.00033061
39 sram_din0[7] *364:31 0.00033061
40 sram_din0[9] *364:30 6.50586e-05
41 sram_wmask0[1] *364:31 0.000171273
42 sram_wmask0[2] *364:31 0.000413266
43 *5752:B *364:38 0.000177772
44 *5764:A *364:38 5.04734e-05
45 *5766:A *364:38 0
46 *5811:B *364:38 0
47 *5815:B *5815:A 6.50586e-05
48 *5838:A *364:38 0.000156335
49 *5937:A *364:19 6.50727e-05
50 *5938:A *364:19 0.000224395
51 *5939:A *364:36 4.58666e-05
52 *5940:A *364:9 0.00011818
53 *5941:A *364:9 0.00027329
54 *5942:A *364:9 0.00011818
55 *5943:A *364:9 0.00011818
56 *5944:A *364:9 0.000681109
57 *5954:A *364:31 0.00011818
58 *5955:A *364:31 6.50586e-05
59 *5958:A *364:30 6.50727e-05
60 *5960:A *364:30 0.000118166
61 *5961:A *364:30 6.50727e-05
62 *5969:A *364:30 0.000171288
63 *5991:A *364:19 0.000216467
64 *5992:A *364:19 6.50727e-05
65 *5993:A *364:19 6.50727e-05
66 *5994:A *364:19 0.000377273
67 *260:8 *364:38 6.80864e-05
68 *261:20 *364:38 0.00016345
69 *264:8 *364:38 0
70 *264:17 *364:38 0
71 *264:26 *364:38 0
72 *294:7 *5815:A 0.000193069
73 *297:6 *364:38 0.000132352
74 *299:7 *5815:A 2.42273e-05
75 *315:8 *364:38 3.77659e-05
76 *358:10 *364:9 1.92172e-05
77 *358:10 *364:19 0.00136697
78 *360:12 *364:38 0
79 *362:24 *364:36 5.23737e-05
80 *362:24 *364:38 4.90673e-05
81 *362:29 *364:38 0.000906468
82 *363:7 *364:9 0.00329717
83 *363:7 *364:19 0.00203392
84 *363:7 *364:30 0.00175115
85 *363:7 *364:31 0.00330132
*RES
1 *5944:X *364:9 49.7357
2 *364:9 *364:19 38.9107
3 *364:19 *364:30 45.8214
4 *364:30 *364:31 73.6429
5 *364:31 *364:36 2.63393
6 *364:36 *364:38 54.4375
7 *364:38 *5815:A 15.325
*END
*D_NET *365 0.0512665
*CONN
*I *5818:A I *D sky130_fd_sc_hd__and2_1
*I *5945:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *5818:A 0.000888761
2 *5945:X 0.00133292
3 *365:21 0.00153788
4 *365:13 0.0069979
5 *365:11 0.00772612
6 *365:7 0.00271025
7 *5818:A *5822:A 0
8 *5818:A *5826:A 0.00179124
9 *5818:A *5828:A 0.000529279
10 *365:7 *369:11 0.000106368
11 *365:11 *369:11 0.000133076
12 *365:13 *369:11 0.000482082
13 *365:21 *6008:A 0
14 *365:21 *444:9 0.00541687
15 sram_addr0[3] *5818:A 0
16 sram_addr0[7] *365:21 0
17 sram_din0[24] *365:7 0.000113302
18 *5819:A *5818:A 5.0715e-05
19 *5944:A *365:7 0.000122642
20 *5945:A *365:7 7.88559e-05
21 *5957:A *365:21 7.98099e-05
22 *286:6 *5818:A 0.000198654
23 *300:27 *5818:A 0.000122378
24 *358:16 *365:21 8.62625e-06
25 *360:9 *365:21 0.00540844
26 *362:15 *365:13 0.0109975
27 *363:5 *365:7 1.09551e-05
28 *363:7 *365:7 2.41483e-05
29 *363:7 *365:11 0.00434519
30 *363:7 *365:13 3.83336e-05
31 *364:9 *365:7 1.42035e-05
*RES
1 *5945:X *365:7 27.95
2 *365:7 *365:11 34.2321
3 *365:11 *365:13 86.7857
4 *365:13 *365:21 46.0714
5 *365:21 *5818:A 37.2
*END
*D_NET *366 0.0254453
*CONN
*I *5820:A I *D sky130_fd_sc_hd__and2_1
*I *4881:DIODE I *D sky130_fd_sc_hd__diode_2
*I *5946:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *5820:A 2.06324e-05
2 *4881:DIODE 7.71982e-05
3 *5946:X 0.000998175
4 *366:9 0.0113833
5 *366:8 0.0122836
6 sram_din0[27] *366:8 7.50722e-05
7 *5779:A *366:9 6.50586e-05
8 *5820:B *4881:DIODE 1.00937e-05
9 *5820:B *5820:A 0
10 *5820:B *366:9 0.000167076
11 *5821:A *4881:DIODE 4.31539e-05
12 *5821:A *366:9 4.0752e-05
13 *354:7 *366:9 0.000281119
*RES
1 *5946:X *366:8 30.7893
2 *366:8 *366:9 186.179
3 *366:9 *4881:DIODE 13.5571
4 *366:9 *5820:A 12.6286
*END
*D_NET *367 0.00959657
*CONN
*I *5785:A I *D sky130_fd_sc_hd__and2_1
*I *5947:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *5785:A 0
2 *5947:X 0.000277691
3 *367:9 0.004359
4 *367:8 0.00463669
5 *5785:B *367:9 4.66492e-05
6 *282:9 *367:9 6.50586e-05
7 *283:10 *367:9 0.000211478
*RES
1 *5947:X *367:8 17.1286
2 *367:8 *367:9 76.9286
3 *367:9 *5785:A 12.2
*END
*D_NET *368 0.0505098
*CONN
*I *5822:A I *D sky130_fd_sc_hd__and2_1
*I *5948:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *5822:A 0.00118739
2 *5948:X 9.62806e-05
3 *368:9 0.00447993
4 *368:8 0.00338882
5 *5822:A *5769:A 3.25751e-05
6 *5822:A *5824:A 0
7 *5822:A *5830:A 0.000150737
8 *368:9 *370:9 0.0240418
9 *368:9 *422:9 0.0143587
10 *368:9 *433:9 0.00014932
11 *368:9 *444:9 7.31475e-05
12 sram_addr0[3] *5822:A 0.000274114
13 sram_din0[28] *368:8 0.000118873
14 sram_wmask0[2] *5822:A 0
15 *5818:A *5822:A 0
16 *5822:B *5822:A 0.000158885
17 *5948:A *368:8 8.0474e-05
18 *286:6 *5822:A 0.00056118
19 *352:10 *5822:A 0.000722935
20 *358:11 *368:9 0
21 *360:9 *368:9 0
22 *362:14 *368:9 0.000634638
*RES
1 *5948:X *368:8 15.6107
2 *368:8 *368:9 191.518
3 *368:9 *5822:A 40.5036
*END
*D_NET *369 0.0431408
*CONN
*I *5824:A I *D sky130_fd_sc_hd__and2_1
*I *5949:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *5824:A 0.000844833
2 *5949:X 0.0177691
3 *369:11 0.0186139
4 *5824:A *5769:A 0.000127179
5 *5824:A *5830:A 0.000532861
6 *5824:A *422:14 0.000711872
7 *369:11 *373:15 0.000311811
8 sram_din0[29] *369:11 4.01315e-05
9 *5819:A *5824:A 5.481e-05
10 *5821:A *5824:A 7.09395e-05
11 *5822:A *5824:A 0
12 *5950:A *369:11 0
13 *300:10 *5824:A 0.000118485
14 *300:15 *5824:A 6.08467e-05
15 *316:11 *5824:A 3.31745e-05
16 *352:10 *5824:A 0.000119781
17 *362:15 *369:11 0.000769618
18 *363:7 *369:11 0.00178592
19 *364:9 *369:11 0.000454059
20 *365:7 *369:11 0.000106368
21 *365:11 *369:11 0.000133076
22 *365:13 *369:11 0.000482082
*RES
1 *5949:X *369:11 48.443
2 *369:11 *5824:A 35.8161
*END
*D_NET *370 0.0428117
*CONN
*I *5826:A I *D sky130_fd_sc_hd__and2_1
*I *5950:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *5826:A 0.000867569
2 *5950:X 0.000110141
3 *370:9 0.00740326
4 *370:8 0.00664583
5 *5826:A *5793:A 6.70887e-06
6 *5826:A *415:18 0.000691994
7 sram_addr0[3] *5826:A 0
8 sram_addr1[3] *5826:A 0.000252891
9 sram_din0[30] *370:8 8.93997e-05
10 *5818:A *5826:A 0.00179124
11 *5819:A *5826:A 2.65831e-05
12 *5826:B *5826:A 0.000317721
13 *5829:A *5826:A 0.000346333
14 *5950:A *370:8 8.93997e-05
15 *282:9 *5826:A 0.000130777
16 *286:6 *5826:A 0
17 *368:9 *370:9 0.0240418
*RES
1 *5950:X *370:8 15.9143
2 *370:8 *370:9 201.786
3 *370:9 *5826:A 40.9679
*END
*D_NET *371 0.00143434
*CONN
*I *5767:A I *D sky130_fd_sc_hd__and2_1
*I *5951:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5767:A 0.000284445
2 *5951:X 0.000284445
3 *5767:A *422:14 0.000132367
4 *5767:A *444:12 0.000132367
5 *5767:B *5767:A 6.50727e-05
6 *5768:A *5767:A 6.92705e-05
7 *283:10 *5767:A 0.000466373
*RES
1 *5951:X *5767:A 32.5429
*END
*D_NET *372 0.0482061
*CONN
*I *5828:A I *D sky130_fd_sc_hd__and2_1
*I *5952:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *5828:A 0.000869438
2 *5952:X 0
3 *372:5 0.0175814
4 *372:4 0.0167119
5 *5828:A *5791:A 1.41853e-05
6 *5828:A *375:17 0.000550967
7 *5828:A *378:20 1.44467e-05
8 *5828:A *400:15 0.000277488
9 *372:5 *389:9 0.0110186
10 *372:5 *400:9 0.000330532
11 *5818:A *5828:A 0.000529279
12 *5952:A *372:5 6.92705e-05
13 *285:6 *5828:A 3.20069e-06
14 *286:6 *5828:A 0.000235375
*RES
1 *5952:X *372:4 12.2
2 *372:4 *372:5 199.732
3 *372:5 *5828:A 28.2536
*END
*D_NET *373 0.0375182
*CONN
*I *5830:A I *D sky130_fd_sc_hd__and2_1
*I *5953:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *5830:A 0.000215155
2 *5953:X 0
3 *373:17 0.00152587
4 *373:15 0.00312298
5 *373:9 0.00551255
6 *373:7 0.00372091
7 *373:5 0.00478805
8 *373:4 0.00476742
9 *373:15 *378:13 0.00292458
10 *373:15 *378:20 7.02172e-06
11 *373:17 *5769:A 5.04829e-06
12 *373:17 *6008:A 1.62073e-05
13 *373:17 *375:17 0.00191318
14 *373:17 *377:10 0.000421596
15 *373:17 *378:20 0.00595916
16 sram_din0[12] *373:15 0.000171288
17 sram_din0[13] *373:9 2.32834e-05
18 sram_din0[15] *373:9 4.95737e-05
19 sram_din0[16] *373:9 7.98549e-05
20 sram_din0[18] *373:9 2.93863e-05
21 sram_din0[20] *373:9 2.93863e-05
22 sram_din0[21] *373:9 2.93863e-05
23 sram_din0[22] *373:5 7.1913e-06
24 sram_din0[22] *373:9 3.21004e-06
25 sram_din0[23] *373:5 3.23019e-05
26 sram_din0[25] *373:5 1.05422e-05
27 sram_din0[26] *373:5 2.50487e-05
28 sram_din0[27] *373:5 8.3247e-06
29 sram_din0[28] *373:5 1.05422e-05
30 sram_din0[29] *373:5 1.05422e-05
31 sram_din0[8] *373:15 0.000171288
32 *5793:B *373:17 6.08467e-05
33 *5822:A *5830:A 0.000150737
34 *5824:A *5830:A 0.000532861
35 *5848:A *373:17 0.000629683
36 *5947:A *373:15 6.50727e-05
37 *5953:A *373:5 3.14978e-05
38 *5957:A *373:17 0.00011818
39 *5980:A *373:9 2.65831e-05
40 *369:11 *373:15 0.000311811
*RES
1 *5953:X *373:4 12.2
2 *373:4 *373:5 51.6696
3 *373:5 *373:7 0.428571
4 *373:7 *373:9 61.7321
5 *373:9 *373:15 39.3661
6 *373:15 *373:17 55.5714
7 *373:17 *5830:A 19.2536
*END
*D_NET *374 0.00453175
*CONN
*I *5769:A I *D sky130_fd_sc_hd__and2_1
*I *5954:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5769:A 0.00126788
2 *5954:X 0.00126788
3 *5822:A *5769:A 3.25751e-05
4 *5824:A *5769:A 0.000127179
5 *5951:A *5769:A 0.000167076
6 *270:17 *5769:A 0.000159322
7 *270:19 *5769:A 0.000122378
8 *284:17 *5769:A 2.41483e-05
9 *362:15 *5769:A 0.00135826
10 *373:17 *5769:A 5.04829e-06
*RES
1 *5954:X *5769:A 45.025
*END
*D_NET *375 0.00653037
*CONN
*I *5771:A I *D sky130_fd_sc_hd__and2_1
*I *5955:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5771:A 0
2 *5955:X 0.00143814
3 *375:17 0.00143814
4 *375:17 *5791:A 0.000869626
5 *375:17 *377:10 0
6 *375:17 *378:20 0
7 *375:17 *433:14 0
8 sram_din0[4] *375:17 4.26566e-05
9 sram_wmask0[3] *375:17 6.50727e-05
10 *5771:B *375:17 5.0715e-05
11 *5828:A *375:17 0.000550967
12 *284:10 *375:17 0.000100794
13 *287:6 *375:17 6.10852e-05
14 *373:17 *375:17 0.00191318
*RES
1 *5955:X *375:17 47.7536
2 *375:17 *5771:A 12.2
*END
*D_NET *376 0.00721504
*CONN
*I *5774:A I *D sky130_fd_sc_hd__and2_1
*I *5956:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5774:A 0
2 *5956:X 0.00240406
3 *376:13 0.00240406
4 *376:13 *5776:A 0.00151717
5 *376:13 *381:11 0.000516173
6 *376:13 *418:11 0
7 sram_addr0[6] *376:13 0
8 sram_din0[5] *376:13 0
9 *5848:A *376:13 0
10 *276:9 *376:13 4.82966e-05
11 *276:15 *376:13 0.000111708
12 *276:27 *376:13 0.000213568
*RES
1 *5956:X *376:13 48.4143
2 *376:13 *5774:A 12.2
*END
*D_NET *377 0.00787097
*CONN
*I *5776:A I *D sky130_fd_sc_hd__and2_1
*I *5957:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5776:A 0.000144267
2 *5957:X 0.00145786
3 *377:10 0.00160212
4 *5776:A *381:11 0.00101455
5 *377:10 *417:10 0
6 sram_addr0[6] *377:10 0.000167076
7 sram_din0[5] *377:10 0.000171288
8 sram_din0[6] *377:10 0.000171288
9 *5782:B *5776:A 0.000110779
10 *5848:A *377:10 0.00100153
11 *276:27 *5776:A 5.56461e-05
12 *276:33 *5776:A 3.58044e-05
13 *373:17 *377:10 0.000421596
14 *375:17 *377:10 0
15 *376:13 *5776:A 0.00151717
*RES
1 *5957:X *377:10 42.95
2 *377:10 *5776:A 24.2536
*END
*D_NET *378 0.0220984
*CONN
*I *5787:A I *D sky130_fd_sc_hd__and2_2
*I *4882:DIODE I *D sky130_fd_sc_hd__diode_2
*I *5958:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *5787:A 0
2 *4882:DIODE 3.08589e-05
3 *5958:X 0.00168388
4 *378:23 0.000211264
5 *378:20 0.00369131
6 *378:13 0.00519479
7 *4882:DIODE *5791:A 0.000158371
8 *378:23 *5791:A 1.41689e-05
9 sram_din0[10] *378:13 0
10 *5789:B *378:23 0.000346889
11 *5791:B *4882:DIODE 0.000107496
12 *5828:A *378:20 1.44467e-05
13 *5947:A *378:13 0
14 *5959:A *378:13 0.000171288
15 *282:39 *378:23 6.08467e-05
16 *284:10 *4882:DIODE 1.67988e-05
17 *284:10 *378:23 0.000211478
18 *364:30 *378:13 0.00129376
19 *373:15 *378:13 0.00292458
20 *373:15 *378:20 7.02172e-06
21 *373:17 *378:20 0.00595916
22 *375:17 *378:20 0
*RES
1 *5958:X *378:13 49.1375
2 *378:13 *378:20 48.5357
3 *378:20 *378:23 4.66071
4 *378:23 *4882:DIODE 13.5571
5 *378:23 *5787:A 12.2
*END
*D_NET *379 0.0070263
*CONN
*I *5778:A I *D sky130_fd_sc_hd__and2_1
*I *5959:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5778:A 0
2 *5959:X 0.000372241
3 *379:11 0.00191401
4 *379:8 0.00228625
5 *379:11 *380:15 0.0024538
*RES
1 *5959:X *379:8 18.6464
2 *379:8 *379:11 43.2679
3 *379:11 *5778:A 12.2
*END
*D_NET *380 0.012404
*CONN
*I *5780:A I *D sky130_fd_sc_hd__and2_1
*I *5960:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5780:A 0
2 *5960:X 0.000994188
3 *380:15 0.00107791
4 *380:14 0.0020721
5 *380:14 *381:10 0
6 *380:15 *420:9 0.00530475
7 sram_addr0[8] *380:14 0
8 sram_addr1[8] *380:14 7.08723e-06
9 sram_din0[8] *380:14 5.60323e-05
10 *5780:B *380:15 6.08467e-05
11 *364:30 *380:14 0.000377259
12 *379:11 *380:15 0.0024538
*RES
1 *5960:X *380:14 27.575
2 *380:14 *380:15 51.875
3 *380:15 *5780:A 12.2
*END
*D_NET *381 0.0105168
*CONN
*I *5782:A I *D sky130_fd_sc_hd__and2_1
*I *5961:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *5782:A 0
2 *5961:X 0.00146948
3 *381:11 0.00234323
4 *381:10 0.00381271
5 sram_din0[8] *381:10 0
6 *5776:A *381:11 0.00101455
7 *5782:B *381:11 0.000118166
8 *5960:A *381:10 9.28915e-06
9 *281:7 *381:11 4.56831e-05
10 *364:30 *381:10 0.00118755
11 *376:13 *381:11 0.000516173
12 *380:14 *381:10 0
*RES
1 *5961:X *381:10 34.6911
2 *381:10 *381:11 49
3 *381:11 *5782:A 12.2
*END
*D_NET *382 0.00287022
*CONN
*I *5878:A1 I *D sky130_fd_sc_hd__mux2_1
*I *5962:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5878:A1 0.00115085
2 *5962:X 0.00115085
3 *5878:A1 *5880:A0 3.14935e-05
4 *5878:A1 *5921:CLK 1.43983e-05
5 *5878:A1 *451:20 0
6 *5878:S *5878:A1 0.000324853
7 *5921:D *5878:A1 4.87289e-05
8 *356:9 *5878:A1 0.000149049
*RES
1 *5962:X *5878:A1 39.275
*END
*D_NET *383 0.00186446
*CONN
*I *5880:A1 I *D sky130_fd_sc_hd__mux2_1
*I *5963:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5880:A1 0.000851143
2 *5963:X 0.000851143
3 *5880:A1 *406:167 0
4 *5880:A1 *451:20 9.43341e-05
5 *5788:A *5880:A1 6.26091e-05
6 *5880:S *5880:A1 5.22654e-06
*RES
1 *5963:X *5880:A1 35.4179
*END
*D_NET *384 0.00109287
*CONN
*I *5883:A1 I *D sky130_fd_sc_hd__mux2_1
*I *5964:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5883:A1 0.000414816
2 *5964:X 0.000414816
3 *5883:A1 *5883:A0 6.92705e-05
4 *5883:A1 *424:13 2.91531e-05
5 *5884:A *5883:A1 0.000164815
*RES
1 *5964:X *5883:A1 31.1143
*END
*D_NET *385 0.00151119
*CONN
*I *5885:A1 I *D sky130_fd_sc_hd__mux2_1
*I *5965:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5885:A1 0.000740601
2 *5965:X 0.000740601
3 *5885:A1 *406:191 0
4 *5923:D *5885:A1 2.99929e-05
*RES
1 *5965:X *5885:A1 32.3821
*END
*D_NET *386 0.0023273
*CONN
*I *5887:A1 I *D sky130_fd_sc_hd__mux2_1
*I *5966:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5887:A1 0.000957586
2 *5966:X 0.000957586
3 *5887:A1 *5754:D 2.99929e-05
4 *5887:A1 *5925:CLK 2.32256e-05
5 *5887:A1 *451:20 0.000257987
6 *5887:A1 *460:6 7.69735e-05
7 *5925:D *5887:A1 5.68237e-06
8 *5966:A *5887:A1 1.82679e-05
*RES
1 *5966:X *5887:A1 36.4536
*END
*D_NET *387 0.00206145
*CONN
*I *5889:A1 I *D sky130_fd_sc_hd__mux2_1
*I *5967:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5889:A1 0.00063862
2 *5967:X 0.00063862
3 *5889:A1 *406:221 2.41274e-06
4 *5889:A1 *431:9 0.000202034
5 *5889:A1 *449:24 0.000294211
6 *5889:A1 *452:8 0.000285547
*RES
1 *5967:X *5889:A1 35.6679
*END
*D_NET *388 0.00185824
*CONN
*I *5891:A1 I *D sky130_fd_sc_hd__mux2_1
*I *5968:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5891:A1 0.000700702
2 *5968:X 0.000700702
3 *5891:A1 *424:13 2.18523e-06
4 *5891:A1 *451:20 3.00073e-05
5 *5760:A *5891:A1 0.000381471
6 *5892:A *5891:A1 0
7 *5968:A *5891:A1 4.31703e-05
*RES
1 *5968:X *5891:A1 32.7929
*END
*D_NET *389 0.021292
*CONN
*I *5789:A I *D sky130_fd_sc_hd__and2_1
*I *5969:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *5789:A 1.69555e-05
2 *5969:X 0.000266767
3 *389:9 0.0011462
4 *389:8 0.00139601
5 *389:9 *400:9 0.00595431
6 *389:9 *400:15 0.00131742
7 sram_din0[13] *389:8 0.000135188
8 *5789:B *5789:A 1.39719e-05
9 *5789:B *389:9 2.65667e-05
10 *5980:A *389:8 0
11 *372:5 *389:9 0.0110186
*RES
1 *5969:X *389:8 17.1286
2 *389:8 *389:9 86.375
3 *389:9 *5789:A 12.6286
*END
*D_NET *390 0.00167119
*CONN
*I *5894:A1 I *D sky130_fd_sc_hd__mux2_1
*I *5970:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5894:A1 0.000558696
2 *5970:X 0.000558696
3 *5894:A1 *5894:A0 0
4 *5894:A1 *6021:A 0.000275256
5 *5894:A1 *452:8 5.53789e-05
6 *5894:S *5894:A1 0.000123502
7 *5895:A *5894:A1 9.80577e-05
8 *5927:D *5894:A1 1.60502e-06
*RES
1 *5970:X *5894:A1 32.7036
*END
*D_NET *391 0.00431921
*CONN
*I *5896:A1 I *D sky130_fd_sc_hd__mux2_1
*I *5971:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5896:A1 0
2 *5971:X 0.00145838
3 *391:15 0.00145838
4 *391:15 *5758:C 6.08467e-05
5 *391:15 *5900:A0 1.76282e-05
6 *391:15 *5900:A1 9.87648e-05
7 *5900:S *391:15 0.000164815
8 *5901:A *391:15 9.75148e-06
9 *5971:A *391:15 4.31703e-05
10 *266:17 *391:15 0.000517821
11 *323:49 *391:15 0.000427367
12 *342:11 *391:15 6.22868e-05
*RES
1 *5971:X *391:15 39.6464
2 *391:15 *5896:A1 12.2
*END
*D_NET *392 0.000601625
*CONN
*I *5898:A1 I *D sky130_fd_sc_hd__mux2_1
*I *5972:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5898:A1 0.000189732
2 *5972:X 0.000189732
3 *5898:A1 *436:11 6.92705e-05
4 *5898:A1 *451:20 5.58515e-05
5 *5902:S *5898:A1 7.33684e-05
6 *5972:A *5898:A1 2.36701e-05
*RES
1 *5972:X *5898:A1 28.65
*END
*D_NET *393 0.00286414
*CONN
*I *5900:A1 I *D sky130_fd_sc_hd__mux2_1
*I *5973:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5900:A1 0.00100703
2 *5973:X 0.00100703
3 *5900:A1 *5900:A0 6.64392e-05
4 *5900:A1 *5902:A0 0.000113197
5 *5900:A1 *5902:A1 0.000317916
6 *5900:A1 *5930:CLK 3.5777e-05
7 *5900:A1 *451:20 0
8 *5901:A *5900:A1 6.47059e-05
9 *5902:S *5900:A1 0.000153283
10 *391:15 *5900:A1 9.87648e-05
*RES
1 *5973:X *5900:A1 46.15
*END
*D_NET *394 0.00206544
*CONN
*I *5902:A1 I *D sky130_fd_sc_hd__mux2_1
*I *5974:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5902:A1 0.000600612
2 *5974:X 0.000600612
3 *5902:A1 *5902:A0 6.92705e-05
4 *5902:A1 *447:30 0.000477027
5 *5900:A1 *5902:A1 0.000317916
*RES
1 *5974:X *5902:A1 37.1321
*END
*D_NET *395 0.00215515
*CONN
*I *5904:A1 I *D sky130_fd_sc_hd__mux2_1
*I *5975:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5904:A1 0.00107758
2 *5975:X 0.00107758
*RES
1 *5975:X *5904:A1 40.9893
*END
*D_NET *396 0.00263034
*CONN
*I *5906:A1 I *D sky130_fd_sc_hd__mux2_1
*I *5976:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5906:A1 0.00103023
2 *5976:X 0.00103023
3 *5906:A1 *5933:CLK 0
4 *5906:A1 *6029:A 1.82303e-05
5 *5906:A1 *406:287 0
6 *5906:A1 *451:20 0.000310913
7 *5906:A1 *452:8 0
8 *5814:A *5906:A1 0.000147308
9 *5907:A *5906:A1 9.34224e-05
*RES
1 *5976:X *5906:A1 40.6857
*END
*D_NET *397 0.00164581
*CONN
*I *5861:A1 I *D sky130_fd_sc_hd__mux2_1
*I *5977:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5861:A1 0.000746874
2 *5977:X 0.000746874
3 *5861:A1 *5832:A 0
4 *5861:A1 *451:18 0
5 *5861:A1 *451:20 0
6 *5833:A *5861:A1 0
7 *283:21 *5861:A1 7.69735e-05
8 *284:30 *5861:A1 7.50872e-05
*RES
1 *5977:X *5861:A1 33.9893
*END
*D_NET *398 0.00275993
*CONN
*I *5863:A1 I *D sky130_fd_sc_hd__mux2_1
*I *5978:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5863:A1 0.000913869
2 *5978:X 0.000913869
3 *5863:A1 *5861:A0 8.67474e-05
4 *5863:A1 *5863:A0 6.92705e-05
5 *5863:A1 *5913:CLK 7.34948e-06
6 *5863:A1 *406:72 4.33819e-05
7 *5863:A1 *447:23 0.000171288
8 *5863:A1 *449:19 0.000327472
9 *5861:S *5863:A1 6.50727e-05
10 *5862:A *5863:A1 0.000122378
11 *5864:A *5863:A1 3.92275e-05
*RES
1 *5978:X *5863:A1 42.4536
*END
*D_NET *399 0.00329306
*CONN
*I *5865:A1 I *D sky130_fd_sc_hd__mux2_1
*I *5979:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5865:A1 0.00157678
2 *5979:X 0.00157678
3 *5865:A1 *5914:CLK 5.46011e-05
4 *5863:S *5865:A1 5.22654e-06
5 *5866:A *5865:A1 7.14746e-05
6 *322:8 *5865:A1 8.20492e-06
*RES
1 *5979:X *5865:A1 41.6143
*END
*D_NET *400 0.0176221
*CONN
*I *5791:A I *D sky130_fd_sc_hd__and2_1
*I *5980:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *5791:A 0.000151568
2 *5980:X 0.000140356
3 *400:15 0.00219838
4 *400:9 0.00368432
5 *400:8 0.00177787
6 *4882:DIODE *5791:A 0.000158371
7 *5789:B *5791:A 0.000264614
8 *5789:B *400:15 0.000252135
9 *5791:B *5791:A 0.000129784
10 *5828:A *5791:A 1.41853e-05
11 *5828:A *400:15 0.000277488
12 *282:39 *5791:A 6.08467e-05
13 *284:10 *5791:A 2.61012e-05
14 *372:5 *400:9 0.000330532
15 *375:17 *5791:A 0.000869626
16 *378:23 *5791:A 1.41689e-05
17 *389:9 *400:9 0.00595431
18 *389:9 *400:15 0.00131742
*RES
1 *5980:X *400:8 14.7
2 *400:8 *400:9 52.0804
3 *400:9 *400:15 42.9732
4 *400:15 *5791:A 19.7179
*END
*D_NET *401 0.00223137
*CONN
*I *5867:A1 I *D sky130_fd_sc_hd__mux2_1
*I *5981:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5867:A1 0.000496968
2 *5981:X 0.000496968
3 *5867:A1 *5869:A1 5.79057e-05
4 *5867:A1 *406:105 0.00017419
5 *5867:A1 *406:114 0.000149628
6 *5867:A1 *452:8 0.000311593
7 *5867:A1 *454:7 0.000404547
8 *5867:S *5867:A1 3.14978e-05
9 *324:25 *5867:A1 0.000108071
*RES
1 *5981:X *5867:A1 35.1321
*END
*D_NET *402 0.0027406
*CONN
*I *5869:A1 I *D sky130_fd_sc_hd__mux2_1
*I *5982:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5869:A1 0.000596352
2 *5982:X 0.000596352
3 *5869:A1 *5869:A0 6.64392e-05
4 *5869:A1 *446:30 0.000130777
5 *5869:A1 *447:30 0.000127194
6 *5867:A1 *5869:A1 5.79057e-05
7 *5915:D *5869:A1 1.03403e-05
8 *324:25 *5869:A1 0.00115524
*RES
1 *5982:X *5869:A1 39.15
*END
*D_NET *403 0.000956219
*CONN
*I *5872:A1 I *D sky130_fd_sc_hd__mux2_1
*I *5983:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5872:A1 0.000173545
2 *5983:X 0.000173545
3 *5872:A1 *406:132 0.000124157
4 *5872:A1 *452:8 0.00012063
5 *353:9 *5872:A1 0.000364342
*RES
1 *5983:X *5872:A1 29.2929
*END
*D_NET *404 0.00357467
*CONN
*I *5874:A1 I *D sky130_fd_sc_hd__mux2_1
*I *5984:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5874:A1 0.00132671
2 *5984:X 0.00132671
3 *5874:A1 *5918:CLK 0.000138582
4 *5874:A1 *451:20 0
5 *5871:A *5874:A1 4.21651e-05
6 *5874:S *5874:A1 6.3657e-05
7 *5918:D *5874:A1 3.06627e-05
8 *5984:A *5874:A1 4.31703e-05
9 *330:7 *5874:A1 0.000536581
10 *353:9 *5874:A1 6.64392e-05
*RES
1 *5984:X *5874:A1 42.1143
*END
*D_NET *405 0.00230842
*CONN
*I *5876:A1 I *D sky130_fd_sc_hd__mux2_1
*I *5985:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5876:A1 0.000817306
2 *5985:X 0.000817306
3 *5876:A1 *5920:CLK 5.23916e-05
4 *5876:A1 *406:151 0.000108054
5 *5788:A *5876:A1 0.000513366
6 *330:27 *5876:A1 0
7 *330:36 *5876:A1 0
*RES
1 *5985:X *5876:A1 37.025
*END
*D_NET *406 0.0504981
*CONN
*I *5910:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5920:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5933:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5932:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5931:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5930:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5929:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5928:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5927:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5926:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5925:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5924:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5923:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5922:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5921:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5919:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5918:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5917:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5916:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5915:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5914:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5911:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5913:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5912:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5935:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5934:A I *D sky130_fd_sc_hd__clkbuf_1
*I *5908:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5909:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5986:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *5910:CLK 0
2 *5920:CLK 0.000401527
3 *5933:CLK 0.000177903
4 *5932:CLK 0.000516018
5 *5931:CLK 6.61044e-05
6 *5930:CLK 0.00101636
7 *5929:CLK 7.36971e-05
8 *5928:CLK 0.000442932
9 *5927:CLK 0
10 *5926:CLK 0.00039102
11 *5925:CLK 0.00018021
12 *5924:CLK 0.000219186
13 *5923:CLK 0.000435529
14 *5922:CLK 9.20332e-05
15 *5921:CLK 1.59735e-05
16 *5919:CLK 0
17 *5918:CLK 0.000530335
18 *5917:CLK 4.17153e-05
19 *5916:CLK 0.0004599
20 *5915:CLK 4.17154e-05
21 *5914:CLK 0.000303843
22 *5911:CLK 0.000531336
23 *5913:CLK 0.000103574
24 *5912:CLK 3.52752e-05
25 *5935:A 0
26 *5934:A 4.17154e-05
27 *5908:CLK 0.000484209
28 *5909:CLK 0
29 *5986:X 0.000149274
30 *406:287 0.00103835
31 *406:276 0.000690021
32 *406:251 0.0011253
33 *406:248 0.0004091
34 *406:239 0.000581221
35 *406:232 0.000180739
36 *406:221 0.000880772
37 *406:204 0.000770059
38 *406:191 0.000734801
39 *406:180 0.000894983
40 *406:167 0.00066597
41 *406:158 0.000610138
42 *406:151 0.000812203
43 *406:141 0.000339965
44 *406:132 0.000782543
45 *406:123 0.000296109
46 *406:114 0.000713089
47 *406:105 0.000434069
48 *406:75 0.000708661
49 *406:72 0.000757714
50 *406:59 0.000415395
51 *406:57 0.000732582
52 *406:53 0.000803142
53 *406:44 0.000458531
54 *406:31 0.000225903
55 *406:15 0.000750017
56 *406:8 0.000482915
57 *5908:CLK *6002:A 0.000169093
58 *5911:CLK *5834:A 0
59 *5911:CLK *5849:A 3.20069e-06
60 *5911:CLK *6002:A 0
61 *5911:CLK *449:15 9.55447e-05
62 *5911:CLK *455:29 0.000567565
63 *5911:CLK *476:23 2.41274e-06
64 *5911:CLK *477:51 0.000479276
65 *5912:CLK *447:29 1.03403e-05
66 *5912:CLK *448:19 5.04829e-06
67 *5913:CLK *449:15 0.000155038
68 *5913:CLK *449:19 1.61631e-05
69 *5914:CLK *455:29 0.000358589
70 *5923:CLK *5885:A0 3.40423e-05
71 *5924:CLK *5887:A0 0.000380788
72 *5924:CLK *6018:A 0.000277261
73 *5926:CLK *6020:A 0.000267129
74 *5928:CLK *6022:A 0.00063623
75 *5928:CLK *463:12 4.45999e-05
76 *5932:CLK *5906:A0 4.02303e-05
77 *5932:CLK *467:7 6.50727e-05
78 *5933:CLK *440:15 2.85139e-05
79 *5933:CLK *452:8 2.64881e-05
80 *406:31 *6011:A 0.000174175
81 *406:44 *6011:A 0.000149628
82 *406:57 *424:14 0.0002252
83 *406:57 *438:13 0.000313495
84 *406:57 *449:22 0.000243648
85 *406:57 *452:8 0.000211919
86 *406:72 *5863:A0 0.000211464
87 *406:72 *447:23 0.000194421
88 *406:72 *447:29 0.0001055
89 *406:72 *448:19 0.00165034
90 *406:75 *455:29 0.000243633
91 *406:105 *448:25 0.000706489
92 *406:105 *449:22 1.87269e-05
93 *406:105 *452:8 0.000256953
94 *406:114 *448:25 0.000386202
95 *406:114 *452:8 0.000107294
96 *406:123 *448:25 0.000413746
97 *406:123 *452:8 0.00018952
98 *406:132 *448:25 0.000356005
99 *406:132 *452:8 0.000104073
100 *406:141 *448:25 0.000386202
101 *406:141 *452:8 0.00017538
102 *406:151 *448:25 0.000386202
103 *406:151 *452:8 3.8079e-05
104 *406:158 *6015:A 0.000119548
105 *406:158 *452:8 4.10961e-05
106 *406:167 *6015:A 0.000111924
107 *406:167 *451:20 0
108 *406:167 *452:8 0
109 *406:180 *424:13 1.79807e-05
110 *406:180 *451:20 0
111 *406:180 *452:8 0
112 *406:191 *424:13 0.000137344
113 *406:191 *451:20 0
114 *406:204 *424:13 6.71659e-05
115 *406:204 *451:20 0
116 *406:221 *424:13 5.66868e-06
117 *406:221 *449:24 0.000422972
118 *406:221 *451:20 0
119 *406:221 *452:8 0.000415792
120 *406:232 *449:24 0.000558345
121 *406:232 *452:8 0.000565488
122 *406:239 *449:24 0.00019819
123 *406:239 *452:8 0.000205317
124 *406:248 *449:24 0.000308064
125 *406:248 *452:8 0.000315206
126 *406:276 *446:51 4.31485e-06
127 *406:276 *449:24 0.000742121
128 *406:276 *452:8 0.000770435
129 *406:287 *451:20 0
130 *406:287 *452:8 7.11165e-05
131 sram_addr0[0] *406:8 2.64257e-05
132 sram_addr0[1] *5908:CLK 4.26566e-05
133 sram_clk1 *406:15 0.000171288
134 sram_web0 *406:8 6.69056e-05
135 sram_web0 *406:31 3.16694e-05
136 *5788:A *406:158 0.000119989
137 *5788:A *406:167 0.000394828
138 *5835:A *5911:CLK 0
139 *5863:A1 *5913:CLK 7.34948e-06
140 *5863:A1 *406:72 4.33819e-05
141 *5865:A1 *5914:CLK 5.46011e-05
142 *5867:A1 *406:105 0.00017419
143 *5867:A1 *406:114 0.000149628
144 *5871:A *5918:CLK 0.000373548
145 *5872:A1 *406:132 0.000124157
146 *5874:A1 *5918:CLK 0.000138582
147 *5876:A1 *5920:CLK 5.23916e-05
148 *5876:A1 *406:151 0.000108054
149 *5878:A1 *5921:CLK 1.43983e-05
150 *5880:A1 *406:167 0
151 *5884:A *406:167 0.000276874
152 *5884:A *406:180 7.50722e-05
153 *5885:A1 *406:191 0
154 *5885:S *5923:CLK 1.92172e-05
155 *5886:A *5923:CLK 0.00043038
156 *5887:A1 *5925:CLK 2.32256e-05
157 *5888:A *5924:CLK 1.65872e-05
158 *5889:A1 *406:221 2.41274e-06
159 *5890:A *406:204 0.000174175
160 *5890:A *406:221 0.000144614
161 *5891:S *5926:CLK 0.000326398
162 *5900:A1 *5930:CLK 3.5777e-05
163 *5901:A *5930:CLK 4.57412e-05
164 *5903:A *406:287 0.000222684
165 *5906:A1 *5933:CLK 0
166 *5906:A1 *406:287 0
167 *5906:S *5932:CLK 0.000833735
168 *5907:A *5932:CLK 0.000122378
169 *5907:A *5933:CLK 1.79672e-05
170 *5908:D *5908:CLK 1.07248e-05
171 *5909:D *5908:CLK 2.13584e-05
172 *5911:D *5911:CLK 0.000483474
173 *5912:D *406:72 0.000121129
174 *5913:D *5913:CLK 9.38269e-05
175 *5914:D *5914:CLK 2.13584e-05
176 *5916:D *5916:CLK 0.000163928
177 *5921:D *5921:CLK 6.50586e-05
178 *5922:D *5922:CLK 6.08467e-05
179 *5922:D *406:167 0.000153225
180 *5923:D *406:191 0
181 *5924:D *5924:CLK 6.92705e-05
182 *5925:D *5925:CLK 1.87611e-05
183 *5925:D *406:221 1.03403e-05
184 *5926:D *5926:CLK 1.19856e-05
185 *5927:D *5928:CLK 1.43848e-05
186 *5929:D *5930:CLK 6.54102e-05
187 *5931:D *406:287 6.54102e-05
188 *5932:D *5932:CLK 2.20702e-05
189 *5990:A *406:31 0
190 *266:17 *5930:CLK 9.19066e-05
191 *283:16 *406:44 2.30397e-05
192 *283:16 *406:53 0.000339138
193 *283:21 *406:158 0.000196638
194 *284:17 *5908:CLK 0.00118837
195 *284:17 *406:15 0.000219249
196 *284:30 *406:31 0.000123582
197 *284:30 *406:44 0.000187573
198 *284:30 *406:53 0.000328992
199 *299:13 *406:151 0.000315191
200 *322:8 *5911:CLK 4.79439e-05
201 *322:8 *5914:CLK 0.000349963
202 *322:8 *406:75 0.000243633
203 *330:7 *5918:CLK 0.000180711
204 *336:13 *5924:CLK 0.000167076
205 *336:18 *5924:CLK 6.08467e-05
206 *363:14 *5908:CLK 6.57275e-05
207 *363:14 *5911:CLK 0
*RES
1 *5986:X *406:8 15.1107
2 *406:8 *406:15 6.14286
3 *406:15 *5909:CLK 12.2
4 *406:15 *5908:CLK 25.9143
5 *406:8 *406:31 2.55357
6 *406:31 *5934:A 12.8607
7 *406:31 *406:44 3.51786
8 *406:44 *5935:A 12.325
9 *406:44 *406:53 9.96429
10 *406:53 *406:57 12.5536
11 *406:57 *406:59 0.125
12 *406:59 *5912:CLK 12.7357
13 *406:59 *406:72 15.5893
14 *406:72 *406:75 3.28571
15 *406:75 *5913:CLK 14.7893
16 *406:75 *5911:CLK 27.0571
17 *406:72 *5914:CLK 20.4143
18 *406:57 *406:105 10.0714
19 *406:105 *5915:CLK 12.8607
20 *406:105 *406:114 4.98214
21 *406:114 *5916:CLK 20.6643
22 *406:114 *406:123 5.28571
23 *406:123 *5917:CLK 12.8607
24 *406:123 *406:132 4.67857
25 *406:132 *5918:CLK 23.5393
26 *406:132 *406:141 4.98214
27 *406:141 *5919:CLK 12.325
28 *406:141 *406:151 7.28571
29 *406:151 *406:158 6.07143
30 *406:158 *5921:CLK 12.8607
31 *406:158 *406:167 10.4464
32 *406:167 *5922:CLK 14.1286
33 *406:167 *406:180 3.46429
34 *406:180 *5923:CLK 18.1107
35 *406:180 *406:191 5.89286
36 *406:191 *5924:CLK 19.575
37 *406:191 *406:204 5.28571
38 *406:204 *5925:CLK 14.9321
39 *406:204 *406:221 9.96429
40 *406:221 *5926:CLK 20.6821
41 *406:221 *406:232 7.10714
42 *406:232 *5927:CLK 12.325
43 *406:232 *406:239 2.55357
44 *406:239 *5928:CLK 23.95
45 *406:239 *406:248 4.07143
46 *406:248 *406:251 0.857143
47 *406:251 *5929:CLK 13.1464
48 *406:251 *5930:CLK 30.1286
49 *406:248 *406:276 10.6964
50 *406:276 *5931:CLK 13.1464
51 *406:276 *406:287 7.48214
52 *406:287 *5932:CLK 23.5571
53 *406:287 *5933:CLK 15.3429
54 *406:151 *5920:CLK 18.8964
55 *406:53 *5910:CLK 12.2
*END
*D_NET *407 0.00314621
*CONN
*I *5858:C I *D sky130_fd_sc_hd__and4b_2
*I *5852:A1 I *D sky130_fd_sc_hd__a211oi_1
*I *5987:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5858:C 0.000167174
2 *5852:A1 0
3 *5987:X 0.000555993
4 *407:10 0.000723167
5 *5858:C *5852:B1 7.68538e-06
6 *5858:C *5852:C1 0.000164815
7 *5858:C *408:13 2.1588e-05
8 *5858:C *425:13 0.000118166
9 *407:10 *5850:B1 0
10 *407:10 *5852:A2 0.000143032
11 *407:10 *5852:B1 0
12 *407:10 *409:8 0.000362565
13 *407:10 *452:7 1.4091e-06
14 *5851:B *407:10 7.15593e-05
15 *5853:B *5858:C 0.000188843
16 *5854:A2 *5858:C 0.00010872
17 *5854:B1 *5858:C 1.96465e-05
18 *5855:B *5858:C 0.000224395
19 *5987:A *407:10 3.31745e-05
20 *5989:A *407:10 0.000224381
21 *317:25 *5858:C 9.89388e-06
*RES
1 *5987:X *407:10 22.3607
2 *407:10 *5852:A1 12.2
3 *407:10 *5858:C 17.5036
*END
*D_NET *408 0.00191484
*CONN
*I *5853:A I *D sky130_fd_sc_hd__nor2_1
*I *5858:A_N I *D sky130_fd_sc_hd__and4b_2
*I *5850:B1 I *D sky130_fd_sc_hd__a21o_1
*I *5988:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5853:A 0
2 *5858:A_N 0
3 *5850:B1 9.07004e-05
4 *5988:X 0.000149566
5 *408:13 0.000350389
6 *408:7 0.000409254
7 *5850:B1 *5852:B1 0.000205332
8 *408:7 *426:18 5.29759e-05
9 *5851:B *5850:B1 5.88662e-05
10 *5851:B *408:13 0.000357835
11 *5853:B *408:7 4.65954e-06
12 *5858:C *408:13 2.1588e-05
13 *317:25 *408:13 0.000213676
14 *407:10 *5850:B1 0
*RES
1 *5988:X *408:7 15.1107
2 *408:7 *408:13 5.80357
3 *408:13 *5850:B1 15.0036
4 *408:13 *5858:A_N 12.2
5 *408:7 *5853:A 12.2
*END
*D_NET *409 0.00397799
*CONN
*I *5858:D I *D sky130_fd_sc_hd__and4b_2
*I *5852:A2 I *D sky130_fd_sc_hd__a211oi_1
*I *5989:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5858:D 0.000234506
2 *5852:A2 5.30053e-05
3 *5989:X 0.000450896
4 *409:8 0.000738408
5 *409:8 *6001:A 2.04806e-05
6 *409:8 *452:7 0.000676571
7 sram_csb0 *409:8 6.12686e-06
8 *5851:B *5858:D 0.000364968
9 *5856:B1 *409:8 0
10 *5987:A *5852:A2 0.00014663
11 *5987:A *409:8 0.000489892
12 *5989:A *409:8 0.000290909
13 *321:8 *409:8 0
14 *407:10 *5852:A2 0.000143032
15 *407:10 *409:8 0.000362565
*RES
1 *5989:X *409:8 26.9679
2 *409:8 *5852:A2 14.2714
3 *409:8 *5858:D 16.5571
*END
*D_NET *410 0.00396739
*CONN
*I *5856:A1 I *D sky130_fd_sc_hd__o21a_1
*I *5857:A1 I *D sky130_fd_sc_hd__a21boi_1
*I *5990:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5856:A1 0.000366759
2 *5857:A1 0
3 *5990:X 0.00067707
4 *410:12 0.00104383
5 *410:12 *5852:B1 6.50727e-05
6 *410:12 *477:10 3.89004e-05
7 *410:12 *478:15 6.29128e-05
8 *5855:A *410:12 0.000258128
9 *5856:B1 *5856:A1 0
10 *5857:A2 *5856:A1 5.05252e-05
11 *5911:D *5856:A1 0.000260325
12 *5990:A *410:12 0.000676571
13 *317:6 *5856:A1 3.20069e-06
14 *321:8 *410:12 0.000464099
15 *322:8 *5856:A1 0
*RES
1 *5990:X *410:12 27.075
2 *410:12 *5857:A1 12.2
3 *410:12 *5856:A1 17.7893
*END
*D_NET *411 0.0256849
*CONN
*I *5793:A I *D sky130_fd_sc_hd__and2_1
*I *5991:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *5793:A 0.000226051
2 *5991:X 0.000118087
3 *411:9 0.00152323
4 *411:8 0.00141526
5 *5793:A *415:18 0.000152048
6 *5793:A *433:14 0.000142614
7 *411:9 *422:9 0.0034955
8 *411:9 *433:9 0.0130568
9 *411:9 *444:9 4.33819e-05
10 sram_addr1[3] *5793:A 6.60052e-05
11 sram_din0[15] *411:8 0.000154357
12 sram_din0[3] *5793:A 5.68225e-06
13 *5826:A *5793:A 6.70887e-06
14 *5991:A *411:8 0
15 *358:17 *411:9 0.00527914
*RES
1 *5991:X *411:8 14.7
2 *411:8 *411:9 103.625
3 *411:9 *5793:A 17.7357
*END
*D_NET *412 0.00422875
*CONN
*I *6001:A I *D sky130_fd_sc_hd__buf_2
*I *5833:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6001:A 0.000722893
2 *5833:X 0.000722893
3 *6001:A *451:18 2.99929e-05
4 sram_addr1[0] *6001:A 0.000148806
5 wb_ack_o *6001:A 1.30489e-05
6 *5856:B1 *6001:A 0
7 *5987:A *6001:A 0.00113157
8 *5989:A *6001:A 0.00107471
9 *6000:A *6001:A 0.000364356
10 *283:16 *6001:A 0
11 *409:8 *6001:A 2.04806e-05
*RES
1 *5833:X *6001:A 47.1321
*END
*D_NET *413 0.002964
*CONN
*I *6002:A I *D sky130_fd_sc_hd__buf_2
*I *5835:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6002:A 0.00097673
2 *5835:X 0.00097673
3 *6002:A *478:15 0
4 sram_addr0[1] *6002:A 0
5 sram_addr1[1] *6002:A 9.9028e-05
6 sram_din0[1] *6002:A 0.000277502
7 *5908:CLK *6002:A 0.000169093
8 *5908:D *6002:A 8.93309e-05
9 *5911:CLK *6002:A 0
10 *5988:A *6002:A 0
11 *283:10 *6002:A 0.000122083
12 *322:8 *6002:A 0
13 *363:14 *6002:A 0.000253503
*RES
1 *5835:X *6002:A 45.775
*END
*D_NET *414 0.00282827
*CONN
*I *6003:A I *D sky130_fd_sc_hd__buf_2
*I *5837:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6003:A 0.000886761
2 *5837:X 0.000886761
3 *6003:A *451:15 1.4164e-05
4 sram_addr0[2] *6003:A 0
5 sram_din0[2] *6003:A 0
6 *5753:A *6003:A 0
7 *5766:A *6003:A 0
8 *5770:A *6003:A 0.00104058
9 *270:17 *6003:A 0
*RES
1 *5837:X *6003:A 42.9357
*END
*D_NET *415 0.00548373
*CONN
*I *6004:A I *D sky130_fd_sc_hd__buf_2
*I *5840:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6004:A 0
2 *5840:X 0.00151057
3 *415:18 0.00151057
4 *415:18 *433:14 0.000476821
5 *5791:B *415:18 2.60914e-05
6 *5793:A *415:18 0.000152048
7 *5793:B *415:18 3.37543e-05
8 *5825:A *415:18 0
9 *5826:A *415:18 0.000691994
10 *5829:A *415:18 0.000346333
11 *282:9 *415:18 0.000127194
12 *282:12 *415:18 7.60597e-05
13 *282:14 *415:18 2.1558e-06
14 *286:6 *415:18 0
15 *315:9 *415:18 0.000530137
*RES
1 *5840:X *415:18 46.6643
2 *415:18 *6004:A 12.2
*END
*D_NET *416 0.00365937
*CONN
*I *6005:A I *D sky130_fd_sc_hd__buf_2
*I *5842:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6005:A 0
2 *5842:X 0.0017685
3 *416:10 0.0017685
4 sram_din0[4] *416:10 0
5 *5777:A *416:10 0
6 *5778:B *416:10 0
7 *5779:A *416:10 0
8 *313:17 *416:10 0.000122378
*RES
1 *5842:X *416:10 40.075
2 *416:10 *6005:A 12.2
*END
*D_NET *417 0.0036727
*CONN
*I *6006:A I *D sky130_fd_sc_hd__buf_2
*I *5844:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6006:A 0
2 *5844:X 0.00183635
3 *417:10 0.00183635
4 sram_din0[5] *417:10 0
5 *377:10 *417:10 0
*RES
1 *5844:X *417:10 40.8964
2 *417:10 *6006:A 12.2
*END
*D_NET *418 0.00432527
*CONN
*I *6007:A I *D sky130_fd_sc_hd__buf_2
*I *5846:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6007:A 0
2 *5846:X 0.00113501
3 *418:11 0.00113501
4 sram_addr0[6] *418:11 3.29231e-05
5 sram_din0[6] *418:11 0
6 *5848:A *418:11 0.00158518
7 *363:7 *418:11 0.000216467
8 *364:31 *418:11 0.000220665
9 *376:13 *418:11 0
*RES
1 *5846:X *418:11 38.9679
2 *418:11 *6007:A 12.2
*END
*D_NET *419 0.000641626
*CONN
*I *6008:A I *D sky130_fd_sc_hd__buf_2
*I *5848:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6008:A 0.000270739
2 *5848:X 0.000270739
3 *6008:A *6009:A 2.82954e-05
4 *5848:A *6008:A 5.56461e-05
5 *365:21 *6008:A 0
6 *373:17 *6008:A 1.62073e-05
*RES
1 *5848:X *6008:A 28.3643
*END
*D_NET *420 0.0157339
*CONN
*I *6009:A I *D sky130_fd_sc_hd__buf_2
*I *5753:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6009:A 0.00133122
2 *5753:X 3.81301e-05
3 *420:9 0.00370744
4 *420:8 0.00241435
5 sram_addr0[7] *6009:A 0
6 sram_din0[7] *6009:A 4.76283e-05
7 *5762:A *420:9 6.99486e-05
8 *5768:A *420:8 0.000156823
9 *5779:A *420:9 0.000169041
10 *5784:A *420:9 0.00022768
11 *5831:A *420:9 0.000570342
12 *6008:A *6009:A 2.82954e-05
13 *269:21 *420:9 4.77678e-05
14 *270:8 *420:9 9.18559e-06
15 *358:22 *420:8 0.00015324
16 *363:7 *6009:A 0.000285085
17 *364:30 *6009:A 0.000224395
18 *364:31 *6009:A 0.000948552
19 *380:15 *420:9 0.00530475
*RES
1 *5753:X *420:8 14.3964
2 *420:8 *420:9 55.5714
3 *420:9 *6009:A 34.3429
*END
*D_NET *421 0.000573084
*CONN
*I *6010:A I *D sky130_fd_sc_hd__buf_2
*I *5934:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6010:A 0.000262491
2 *5934:X 0.000262491
3 sram_clk0 *6010:A 4.81015e-05
*RES
1 *5934:X *6010:A 28.9536
*END
*D_NET *422 0.0366136
*CONN
*I *5796:A I *D sky130_fd_sc_hd__and2_1
*I *5992:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *5796:A 0.000801416
2 *5992:X 0.000129807
3 *422:14 0.0030711
4 *422:9 0.00381548
5 *422:8 0.00167561
6 *5796:A *444:12 0.000326626
7 *422:9 *433:9 0.000181387
8 *422:14 *444:12 0
9 sram_din0[16] *422:8 8.65002e-05
10 sram_wmask0[2] *422:14 8.79472e-05
11 *5767:A *422:14 0.000132367
12 *5798:B *5796:A 9.97706e-05
13 *5800:B *5796:A 3.98327e-05
14 *5802:B *5796:A 0.000122378
15 *5819:A *422:14 0.00043479
16 *5821:A *422:14 0
17 *5824:A *422:14 0.000711872
18 *5951:A *422:14 0.000123631
19 *5992:A *422:8 5.30921e-05
20 *269:6 *422:14 0.000205332
21 *269:10 *422:14 0.000191541
22 *286:6 *5796:A 0
23 *286:6 *422:14 0
24 *288:15 *5796:A 5.19205e-05
25 *288:18 *5796:A 4.88955e-05
26 *291:11 *5796:A 0.000123582
27 *300:10 *422:14 0.000143047
28 *313:17 *422:14 5.7908e-05
29 *352:10 *422:14 0
30 *358:17 *422:9 0.00604351
31 *368:9 *422:9 0.0143587
32 *411:9 *422:9 0.0034955
*RES
1 *5992:X *422:8 15.3071
2 *422:8 *422:9 115.536
3 *422:9 *422:14 48.7946
4 *422:14 *5796:A 30.6732
*END
*D_NET *423 0.000942625
*CONN
*I *6011:A I *D sky130_fd_sc_hd__buf_2
*I *5935:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6011:A 0.00023432
2 *5935:X 0.00023432
3 wb_ack_o *6011:A 0.000107496
4 *5990:A *6011:A 0
5 *283:16 *6011:A 4.26859e-05
6 *406:31 *6011:A 0.000174175
7 *406:44 *6011:A 0.000149628
*RES
1 *5935:X *6011:A 29.6679
*END
*D_NET *424 0.0157988
*CONN
*I *6012:A I *D sky130_fd_sc_hd__buf_2
*I *5760:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6012:A 0
2 *5760:X 0.000819893
3 *424:14 0.00240979
4 *424:13 0.00322968
5 *424:13 *451:20 0
6 *424:13 *452:8 0.00122354
7 *424:14 *446:30 6.90351e-05
8 *424:14 *446:40 0.000681942
9 *424:14 *447:30 0.00122571
10 *424:14 *448:31 0.000258657
11 *424:14 *449:22 0.00297479
12 *424:14 *449:24 9.71323e-06
13 *424:14 *452:8 0
14 sram_csb0 *424:14 0.000346871
15 sram_web0 *424:14 0
16 wb_data_o[0] *424:14 0.000107981
17 wb_data_o[10] *424:14 0.000113077
18 wb_data_o[11] *424:14 6.11074e-05
19 wb_data_o[1] *424:14 0.000108103
20 wb_data_o[2] *424:14 2.02035e-05
21 wb_data_o[3] *424:14 4.01315e-05
22 wb_data_o[4] *424:14 0.000113066
23 wb_data_o[5] *424:14 0.000112013
24 wb_data_o[6] *424:14 6.11074e-05
25 wb_data_o[7] *424:14 6.01588e-05
26 wb_data_o[8] *424:14 1.91391e-05
27 wb_data_o[9] *424:14 4.01315e-05
28 *5760:A *424:13 1.31657e-05
29 *5883:A1 *424:13 2.91531e-05
30 *5890:A *424:13 8.53663e-05
31 *5891:A1 *424:13 2.18523e-06
32 *5921:D *424:14 0.000104731
33 *5925:D *424:13 0.000122083
34 *5962:A *424:14 9.12863e-05
35 *5963:A *424:14 0.000200922
36 *5964:A *424:14 0.000160088
37 *5977:A *424:14 7.00991e-05
38 *5978:A *424:14 9.10377e-05
39 *5979:A *424:14 7.00732e-05
40 *5981:A *424:14 6.52404e-05
41 *5985:A *424:14 0.000134149
42 *284:30 *424:14 0
43 *406:57 *424:14 0.0002252
44 *406:180 *424:13 1.79807e-05
45 *406:191 *424:13 0.000137344
46 *406:204 *424:13 6.71659e-05
47 *406:221 *424:13 5.66868e-06
*RES
1 *5760:X *424:13 31.7179
2 *424:13 *424:14 76.0179
3 *424:14 *6012:A 12.325
*END
*D_NET *425 0.00636362
*CONN
*I *6013:A I *D sky130_fd_sc_hd__buf_2
*I *5908:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6013:A 0
2 *5908:Q 0.0018658
3 *425:13 0.0018658
4 *425:13 *5852:B1 2.00745e-05
5 *425:13 *426:18 0.000240261
6 wb_ack_o *425:13 0.000763397
7 *5763:A *425:13 2.0988e-05
8 *5855:B *425:13 9.80747e-05
9 *5858:C *425:13 0.000118166
10 *5908:D *425:13 1.92543e-05
11 *5987:A *425:13 0
12 *283:16 *425:13 0.0013518
*RES
1 *5908:Q *425:13 41.4679
2 *425:13 *6013:A 12.2
*END
*D_NET *426 0.00701539
*CONN
*I *6014:A I *D sky130_fd_sc_hd__buf_2
*I *5764:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6014:A 0
2 *5764:X 0.00263784
3 *426:18 0.00263784
4 sram_csb0 *426:18 0.000127179
5 *5763:A *426:18 0.000217923
6 *5764:A *426:18 0.000164843
7 *5765:A *426:18 0.000100919
8 *5853:B *426:18 0.000254466
9 *5855:B *426:18 0.000457641
10 *283:10 *426:18 4.2267e-05
11 *360:12 *426:18 8.12397e-05
12 *363:14 *426:18 0
13 *408:7 *426:18 5.29759e-05
14 *425:13 *426:18 0.000240261
*RES
1 *5764:X *426:18 47.5393
2 *426:18 *6014:A 12.2
*END
*D_NET *427 0.00198341
*CONN
*I *6015:A I *D sky130_fd_sc_hd__buf_2
*I *5786:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6015:A 0.000427102
2 *5786:X 0.000427102
3 *6015:A *6016:A 0.000159265
4 *6015:A *446:40 7.89747e-05
5 *6015:A *452:8 0.000659493
6 *406:158 *6015:A 0.000119548
7 *406:167 *6015:A 0.000111924
*RES
1 *5786:X *6015:A 36.7929
*END
*D_NET *428 0.00156889
*CONN
*I *6016:A I *D sky130_fd_sc_hd__buf_2
*I *5788:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6016:A 0.000536844
2 *5788:X 0.000536844
3 *6016:A *447:30 0.000335933
4 *6015:A *6016:A 0.000159265
*RES
1 *5788:X *6016:A 32.8464
*END
*D_NET *429 0.00968004
*CONN
*I *6017:A I *D sky130_fd_sc_hd__buf_2
*I *5790:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6017:A 0
2 *5790:X 0.000194805
3 *429:15 0.00400189
4 *429:8 0.00419669
5 *429:8 *430:11 8.62625e-06
6 *429:15 *5885:A0 0.000408447
7 *5792:A *429:15 2.16355e-05
8 *5886:A *429:15 5.03285e-05
9 *5923:D *429:15 0.000446204
10 *286:6 *429:8 0.000351415
11 *287:6 *429:8 0
12 *291:11 *429:8 0
*RES
1 *5790:X *429:8 17.4321
2 *429:8 *429:15 46.2857
3 *429:15 *6017:A 12.2
*END
*D_NET *430 0.00944605
*CONN
*I *6018:A I *D sky130_fd_sc_hd__buf_2
*I *5792:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6018:A 0.000542856
2 *5792:X 0.00344396
3 *430:11 0.00398682
4 *6018:A *5754:C 7.77309e-06
5 *6018:A *448:31 0.000107496
6 *5888:A *6018:A 0.00069491
7 *5924:CLK *6018:A 0.000277261
8 *5924:D *6018:A 9.60216e-05
9 *287:6 *430:11 0
10 *291:11 *430:11 0
11 *299:13 *6018:A 6.23875e-05
12 *336:13 *6018:A 0.00015709
13 *336:18 *6018:A 6.08467e-05
14 *429:8 *430:11 8.62625e-06
*RES
1 *5792:X *430:11 49.7536
2 *430:11 *6018:A 25.6107
*END
*D_NET *431 0.00614183
*CONN
*I *6019:A I *D sky130_fd_sc_hd__buf_2
*I *5794:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6019:A 0
2 *5794:X 9.75037e-05
3 *431:9 0.00261204
4 *431:8 0.00270954
5 *431:9 *5889:A0 0.000156351
6 *5889:A1 *431:9 0.000202034
7 *5889:S *431:9 0.000364356
*RES
1 *5794:X *431:8 14.0929
2 *431:8 *431:9 46.125
3 *431:9 *6019:A 12.2
*END
*D_NET *432 0.00994412
*CONN
*I *6020:A I *D sky130_fd_sc_hd__buf_2
*I *5797:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6020:A 0.00122372
2 *5797:X 0.000531705
3 *432:13 0.00333381
4 *432:7 0.00264179
5 *6020:A *461:8 3.14596e-05
6 *432:13 *461:8 9.19886e-06
7 *5756:D_N *432:7 9.40969e-05
8 *5756:D_N *432:13 0
9 *5797:A *432:7 0.000164829
10 *5891:S *6020:A 1.97124e-05
11 *5926:CLK *6020:A 0.000267129
12 *5926:D *6020:A 0.000464909
13 *297:6 *432:13 0.00116175
*RES
1 *5797:X *432:7 22.3071
2 *432:7 *432:13 43.9821
3 *432:13 *6020:A 29.6107
*END
*D_NET *433 0.0397144
*CONN
*I *5798:A I *D sky130_fd_sc_hd__and2_1
*I *5993:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *5798:A 0.000865333
2 *5993:X 9.98651e-05
3 *433:14 0.00276823
4 *433:9 0.00323899
5 *433:8 0.00143596
6 *433:9 *444:9 0.0146071
7 sram_din0[17] *433:8 5.33266e-05
8 sram_din0[3] *433:14 0.000178422
9 *5793:A *433:14 0.000142614
10 *5793:B *433:14 0.000149909
11 *5798:B *5798:A 0.000122378
12 *5993:A *433:8 3.09155e-05
13 *282:12 *433:14 0.000202283
14 *282:14 *433:14 1.9101e-05
15 *286:6 *5798:A 0
16 *286:6 *433:14 0
17 *287:6 *5798:A 0.000296463
18 *287:6 *433:14 0.00145642
19 *290:7 *5798:A 4.82966e-05
20 *355:10 *5798:A 0.000134419
21 *368:9 *433:9 0.00014932
22 *375:17 *433:14 0
23 *411:9 *433:9 0.0130568
24 *415:18 *433:14 0.000476821
25 *422:9 *433:9 0.000181387
*RES
1 *5993:X *433:8 14.3964
2 *433:8 *433:9 115.125
3 *433:9 *433:14 47.8839
4 *433:14 *5798:A 31.4946
*END
*D_NET *434 0.00576207
*CONN
*I *6021:A I *D sky130_fd_sc_hd__buf_2
*I *5799:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6021:A 0.000507876
2 *5799:X 0.00171377
3 *434:11 0.00222164
4 *6021:A *5758:B 0.000128902
5 *6021:A *5758:C 1.61631e-05
6 *6021:A *5758:D 0.00033061
7 *6021:A *5891:A0 0.000125081
8 *434:11 *5758:C 0.000155481
9 *434:11 *5891:A0 6.50586e-05
10 *434:11 *445:8 0
11 *5759:C *434:11 6.3657e-05
12 *5803:A *434:11 0.000134419
13 *5894:A1 *6021:A 0.000275256
14 *5894:S *6021:A 2.41483e-05
*RES
1 *5799:X *434:11 41.825
2 *434:11 *6021:A 23.825
*END
*D_NET *435 0.00757754
*CONN
*I *6022:A I *D sky130_fd_sc_hd__buf_2
*I *5801:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6022:A 0.00218343
2 *5801:X 0.00105996
3 *435:13 0.00324339
4 *6022:A *463:12 0.000112603
5 *435:13 *445:8 0
6 *5803:A *435:13 0.000325369
7 *5928:CLK *6022:A 0.00063623
8 *5928:D *6022:A 1.65445e-05
9 *293:8 *435:13 0
*RES
1 *5801:X *435:13 30.7446
2 *435:13 *6022:A 37.7982
*END
*D_NET *436 0.00557777
*CONN
*I *6023:A I *D sky130_fd_sc_hd__buf_2
*I *5803:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6023:A 0
2 *5803:X 0.000126714
3 *436:11 0.00238494
4 *436:8 0.00251165
5 *436:8 *445:8 0
6 *436:11 *5898:A0 0.000324137
7 *5897:A *436:11 6.50727e-05
8 *5898:A1 *436:11 6.92705e-05
9 *5898:S *436:11 1.92336e-05
10 *5899:A *436:11 6.92705e-05
11 *5928:D *436:11 7.479e-06
12 *293:8 *436:8 0
*RES
1 *5803:X *436:8 14.7
2 *436:8 *436:11 37.9286
3 *436:11 *6023:A 12.2
*END
*D_NET *437 0.00687154
*CONN
*I *6024:A I *D sky130_fd_sc_hd__buf_2
*I *5805:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6024:A 0.00100272
2 *5805:X 0.00241063
3 *437:11 0.00341335
4 *437:11 *445:8 0
5 *437:11 *465:7 3.5125e-05
6 *5930:D *437:11 9.71182e-06
*RES
1 *5805:X *437:11 39.7714
2 *437:11 *6024:A 27.1286
*END
*D_NET *438 0.00702604
*CONN
*I *6025:A I *D sky130_fd_sc_hd__buf_2
*I *5766:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6025:A 0
2 *5766:X 0.00156536
3 *438:13 0.00156536
4 *438:13 *5750:B 9.31538e-05
5 *438:13 *5752:A 0.000385374
6 *438:13 *5755:A_N 0.000107847
7 *438:13 *451:15 0.00197833
8 *5856:B1 *438:13 4.58907e-05
9 *5910:D *438:13 0.000911983
10 *264:8 *438:13 5.35646e-05
11 *360:12 *438:13 5.66868e-06
12 *363:14 *438:13 0
13 *406:57 *438:13 0.000313495
*RES
1 *5766:X *438:13 47.7893
2 *438:13 *6025:A 12.2
*END
*D_NET *439 0.00368398
*CONN
*I *6026:A I *D sky130_fd_sc_hd__buf_2
*I *5808:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6026:A 0
2 *5808:X 0.00163501
3 *439:11 0.00163501
4 *439:11 *440:15 0.000206005
5 *5903:A *439:11 6.08467e-05
6 *296:8 *439:11 0.000147112
7 *298:6 *439:11 0
*RES
1 *5808:X *439:11 41.7179
2 *439:11 *6026:A 12.2
*END
*D_NET *440 0.00457938
*CONN
*I *6027:A I *D sky130_fd_sc_hd__buf_2
*I *5810:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6027:A 0
2 *5810:X 0.00182756
3 *440:15 0.00182756
4 *440:15 *5904:A0 4.36818e-05
5 *440:15 *446:51 0.000304763
6 *5933:CLK *440:15 2.85139e-05
7 *5933:D *440:15 2.13584e-05
8 *323:49 *440:15 0.00031994
9 *439:11 *440:15 0.000206005
*RES
1 *5810:X *440:15 41.9679
2 *440:15 *6027:A 12.2
*END
*D_NET *441 0.00373157
*CONN
*I *6028:A I *D sky130_fd_sc_hd__buf_2
*I *5812:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6028:A 0
2 *5812:X 0.00181394
3 *441:11 0.00181394
4 *298:6 *441:11 0.000103692
*RES
1 *5812:X *441:11 41.7179
2 *441:11 *6028:A 12.2
*END
*D_NET *442 0.00111691
*CONN
*I *6029:A I *D sky130_fd_sc_hd__buf_2
*I *5814:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6029:A 0.000476608
2 *5814:X 0.000476608
3 *6029:A *6032:A 0.000145462
4 *5906:A1 *6029:A 1.82303e-05
*RES
1 *5814:X *6029:A 30.4179
*END
*D_NET *443 0.00150762
*CONN
*I *6030:A I *D sky130_fd_sc_hd__buf_2
*I *5816:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6030:A 0.000540023
2 *5816:X 0.000540023
3 *6030:A *6032:A 0.000343652
4 *5816:A *6030:A 8.39223e-05
*RES
1 *5816:X *6030:A 32.8464
*END
*D_NET *444 0.0429467
*CONN
*I *5800:A I *D sky130_fd_sc_hd__and2_1
*I *5994:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *5800:A 0
2 *5994:X 8.71745e-05
3 *444:12 0.00249885
4 *444:11 0.00249885
5 *444:9 0.00172287
6 *444:8 0.00181005
7 *444:12 *447:23 2.29056e-05
8 sram_din0[18] *444:8 0
9 *5767:A *444:12 0.000132367
10 *5796:A *444:12 0.000326626
11 *5800:B *444:12 1.07248e-05
12 *5819:A *444:12 0.000104898
13 *5831:A *444:12 0.000321771
14 *5844:A *444:12 4.00504e-05
15 *5951:A *444:12 0.000372973
16 *269:6 *444:12 6.21908e-05
17 *269:10 *444:12 5.33945e-05
18 *358:10 *444:8 9.60366e-05
19 *358:11 *444:9 0.0105952
20 *358:17 *444:9 0.00022511
21 *358:22 *444:12 0.00149042
22 *358:26 *444:12 0
23 *360:9 *444:9 0.000333723
24 *365:21 *444:9 0.00541687
25 *368:9 *444:9 7.31475e-05
26 *411:9 *444:9 4.33819e-05
27 *422:14 *444:12 0
28 *433:9 *444:9 0.0146071
*RES
1 *5994:X *444:8 14.0929
2 *444:8 *444:9 132.786
3 *444:9 *444:11 0.125
4 *444:11 *444:12 60.5357
5 *444:12 *5800:A 12.325
*END
*D_NET *445 0.0193838
*CONN
*I *6031:A I *D sky130_fd_sc_hd__buf_2
*I *5819:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6031:A 0.00199491
2 *5819:X 0.000592121
3 *445:8 0.00803783
4 *445:7 0.00663504
5 *445:8 *453:11 2.99929e-05
6 *445:8 *474:20 0.000412293
7 *5799:A *445:8 0
8 *5802:A *445:8 0.000603506
9 *5803:A *445:8 0
10 *275:9 *445:8 0
11 *292:8 *445:8 0
12 *313:17 *445:7 0.000999427
13 *358:26 *445:8 0
14 *361:14 *445:8 7.86847e-05
15 *434:11 *445:8 0
16 *435:13 *445:8 0
17 *436:8 *445:8 0
18 *437:11 *445:8 0
*RES
1 *5819:X *445:7 20.2536
2 *445:7 *445:8 118.214
3 *445:8 *6031:A 46.95
*END
*D_NET *446 0.0312489
*CONN
*I *6032:A I *D sky130_fd_sc_hd__buf_2
*I *5821:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6032:A 0.000882863
2 *5821:X 8.63643e-05
3 *446:51 0.0019918
4 *446:40 0.00230646
5 *446:30 0.00275461
6 *446:15 0.00326687
7 *446:8 0.00179615
8 *6032:A *6033:A 0.000494845
9 *446:15 *6036:A 0.000273542
10 *446:30 *447:30 0.00161478
11 *446:40 *447:30 0.00128444
12 *446:40 *448:31 0.00113099
13 *446:40 *448:34 4.11147e-05
14 *446:40 *449:24 8.45896e-06
15 *446:51 *6035:A 0.000547895
16 *446:51 *448:34 1.3813e-05
17 *446:51 *449:24 0.00284194
18 *446:51 *452:8 0.000626426
19 wb_data_o[12] *446:40 7.13655e-06
20 wb_data_o[13] *446:40 3.58315e-06
21 wb_data_o[22] *6032:A 1.91391e-05
22 wb_data_o[23] *6032:A 2.02035e-05
23 wb_data_o[24] *6032:A 0.000113077
24 wb_data_o[25] *6032:A 1.91391e-05
25 wb_data_o[26] *6032:A 0.000113077
26 wb_data_o[2] *446:30 7.86825e-06
27 *5832:B *446:15 0.000368983
28 *5833:A *446:15 6.08467e-05
29 *5835:A *446:15 0.000377259
30 *5837:A *446:15 0.000579046
31 *5869:A1 *446:30 0.000130777
32 *5965:A *446:40 6.46024e-05
33 *5975:A *6032:A 0.000150629
34 *5976:A *6032:A 0.000108103
35 *5978:A *446:30 3.91048e-05
36 *5982:A *446:30 0.000158538
37 *5984:A *446:30 0.000209609
38 *6015:A *446:40 7.89747e-05
39 *6029:A *6032:A 0.000145462
40 *6030:A *6032:A 0.000343652
41 *261:20 *446:15 9.55447e-05
42 *261:22 *446:15 0.000617942
43 *261:26 *446:15 0.00110143
44 *275:9 *446:8 4.90965e-05
45 *299:16 *446:51 0.0029387
46 *316:11 *446:15 0.000228075
47 *358:22 *446:8 7.58884e-05
48 *406:276 *446:51 4.31485e-06
49 *424:14 *446:30 6.90351e-05
50 *424:14 *446:40 0.000681942
51 *440:15 *446:51 0.000304763
*RES
1 *5821:X *446:8 14.7
2 *446:8 *446:15 39
3 *446:15 *446:30 35.8839
4 *446:30 *446:40 33.1607
5 *446:40 *446:51 48.5089
6 *446:51 *6032:A 34.2357
*END
*D_NET *447 0.0315968
*CONN
*I *6033:A I *D sky130_fd_sc_hd__buf_2
*I *5823:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6033:A 0.000817374
2 *5823:X 0.00273844
3 *447:30 0.00436491
4 *447:29 0.0041928
5 *447:23 0.0033837
6 *6033:A *6034:A 0.00181644
7 *6033:A *448:34 5.08071e-06
8 *447:23 *5863:A0 0.000140712
9 *447:23 *448:15 0.0013321
10 *447:23 *448:19 2.63439e-05
11 *447:23 *449:15 0.000111708
12 *447:23 *469:8 6.08467e-05
13 *447:30 *6034:A 9.71323e-06
14 *447:30 *448:34 0.00100253
15 wb_data_o[10] *447:30 0.000113077
16 wb_data_o[11] *447:30 6.11074e-05
17 wb_data_o[12] *447:30 1.91391e-05
18 wb_data_o[13] *447:30 1.91391e-05
19 wb_data_o[14] *447:30 0.00010797
20 wb_data_o[15] *447:30 0.000106917
21 wb_data_o[16] *447:30 6.11074e-05
22 wb_data_o[17] *447:30 1.91391e-05
23 wb_data_o[18] *447:30 6.1096e-05
24 wb_data_o[19] *447:30 4.01315e-05
25 wb_data_o[20] *447:30 0.000113077
26 wb_data_o[21] *447:30 6.11074e-05
27 wb_data_o[22] *6033:A 1.91391e-05
28 wb_data_o[23] *6033:A 2.02035e-05
29 wb_data_o[24] *6033:A 0.000113077
30 wb_data_o[25] *6033:A 1.91391e-05
31 wb_data_o[26] *6033:A 0.000113077
32 wb_data_o[27] *6033:A 1.91391e-05
33 wb_data_o[3] *447:30 4.01315e-05
34 wb_data_o[4] *447:30 0.000113066
35 wb_data_o[5] *447:30 0.000112013
36 wb_data_o[6] *447:30 6.11074e-05
37 wb_data_o[7] *447:30 6.01588e-05
38 wb_data_o[8] *447:30 1.91391e-05
39 wb_data_o[9] *447:30 4.01315e-05
40 *5819:A *447:23 6.51527e-05
41 *5844:A *447:23 0.000698715
42 *5863:A1 *447:23 0.000171288
43 *5864:A *447:23 0.000212893
44 *5869:A1 *447:30 0.000127194
45 *5902:A1 *447:30 0.000477027
46 *5912:CLK *447:29 1.03403e-05
47 *5962:A *447:30 9.12863e-05
48 *5963:A *447:30 0.000200922
49 *5964:A *447:30 0.000160088
50 *5965:A *447:30 0.000155098
51 *5966:A *447:30 0.000315896
52 *5967:A *447:30 6.52404e-05
53 *5968:A *447:30 0.000148836
54 *5970:A *447:30 0.000186119
55 *5971:A *447:30 0.000505633
56 *5973:A *447:30 9.10636e-05
57 *5974:A *447:30 0.000212287
58 *5975:A *6033:A 0.000159246
59 *5976:A *6033:A 0.000108103
60 *5979:A *447:30 7.00732e-05
61 *5981:A *447:30 6.52404e-05
62 *5982:A *447:30 0.000155012
63 *5984:A *447:30 0.000196086
64 *5985:A *447:30 0.000134149
65 *6016:A *447:30 0.000335933
66 *6032:A *6033:A 0.000494845
67 *406:72 *447:23 0.000194421
68 *406:72 *447:29 0.0001055
69 *424:14 *447:30 0.00122571
70 *444:12 *447:23 2.29056e-05
71 *446:30 *447:30 0.00161478
72 *446:40 *447:30 0.00128444
*RES
1 *5823:X *447:23 49.8964
2 *447:23 *447:29 7.25
3 *447:29 *447:30 102.58
4 *447:30 *6033:A 39.4946
*END
*D_NET *448 0.0351832
*CONN
*I *6034:A I *D sky130_fd_sc_hd__buf_2
*I *5825:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6034:A 0.000817787
2 *5825:X 0.000864533
3 *448:34 0.00200096
4 *448:31 0.00178371
5 *448:25 0.00132057
6 *448:19 0.00250982
7 *448:15 0.00265431
8 *6034:A *6035:A 0.000885529
9 *448:15 *5841:A 0.000627661
10 *448:19 *5863:A0 0.000194668
11 *448:25 *449:22 0.00264352
12 *448:31 *449:24 0.00180789
13 wb_data_o[13] *448:34 9.12416e-06
14 wb_data_o[14] *448:34 0.00010797
15 wb_data_o[15] *448:34 0.000106917
16 wb_data_o[16] *448:34 6.11074e-05
17 wb_data_o[17] *448:34 1.91391e-05
18 wb_data_o[18] *448:34 6.1096e-05
19 wb_data_o[19] *448:34 4.01315e-05
20 wb_data_o[20] *448:34 0.000113077
21 wb_data_o[21] *6034:A 6.34651e-06
22 wb_data_o[21] *448:34 4.80844e-05
23 *5816:A *6034:A 0.000863076
24 *5816:A *448:34 0.000190878
25 *5819:A *448:15 6.08467e-05
26 *5843:B *448:15 0.000494443
27 *5844:A *448:15 0.000683001
28 *5912:CLK *448:19 5.04829e-06
29 *5912:D *448:19 0.000116903
30 *5921:D *448:31 0.000101148
31 *5967:A *448:34 6.52404e-05
32 *5970:A *448:34 0.000186119
33 *5973:A *448:34 9.10636e-05
34 *5974:A *448:34 0.000212287
35 *6018:A *448:31 0.000107496
36 *6033:A *6034:A 0.00181644
37 *6033:A *448:34 5.08071e-06
38 *299:16 *448:34 0.00297386
39 *311:13 *448:15 3.07561e-05
40 *313:17 *448:15 0.00039513
41 *406:72 *448:19 0.00165034
42 *406:105 *448:25 0.000706489
43 *406:114 *448:25 0.000386202
44 *406:123 *448:25 0.000413746
45 *406:132 *448:25 0.000356005
46 *406:141 *448:25 0.000386202
47 *406:151 *448:25 0.000386202
48 *424:14 *448:31 0.000258657
49 *446:40 *448:31 0.00113099
50 *446:40 *448:34 4.11147e-05
51 *446:51 *448:34 1.3813e-05
52 *447:23 *448:15 0.0013321
53 *447:23 *448:19 2.63439e-05
54 *447:30 *6034:A 9.71323e-06
55 *447:30 *448:34 0.00100253
*RES
1 *5825:X *448:15 36.8429
2 *448:15 *448:19 22.4286
3 *448:19 *448:25 34.5
4 *448:25 *448:31 24.0893
5 *448:31 *448:34 44.2232
6 *448:34 *6034:A 42.7625
*END
*D_NET *449 0.0330489
*CONN
*I *6035:A I *D sky130_fd_sc_hd__buf_2
*I *5827:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6035:A 0.00128318
2 *5827:X 0.00195968
3 *449:24 0.00253517
4 *449:22 0.00204825
5 *449:19 0.00145994
6 *449:15 0.00262335
7 *6035:A *452:8 0.00086868
8 *449:15 *5847:A 0.000558228
9 *449:15 *476:23 3.51934e-05
10 *449:19 *5861:A0 0.00027329
11 *449:24 *452:8 0.000124267
12 wb_data_o[29] *6035:A 0
13 wb_data_o[31] *6035:A 0
14 *5816:A *6035:A 0.00128917
15 *5821:A *449:15 0.000605166
16 *5822:B *449:15 2.16355e-05
17 *5823:A *449:15 4.12831e-05
18 *5827:A *449:15 5.0715e-05
19 *5863:A1 *449:19 0.000327472
20 *5889:A1 *449:24 0.000294211
21 *5911:CLK *449:15 9.55447e-05
22 *5913:CLK *449:15 0.000155038
23 *5913:CLK *449:19 1.61631e-05
24 *5913:D *449:15 9.38269e-05
25 *6034:A *6035:A 0.000885529
26 *299:13 *449:22 9.71323e-06
27 *299:13 *449:24 0.00191495
28 *313:17 *449:15 4.1307e-05
29 *406:57 *449:22 0.000243648
30 *406:105 *449:22 1.87269e-05
31 *406:221 *449:24 0.000422972
32 *406:232 *449:24 0.000558345
33 *406:239 *449:24 0.00019819
34 *406:248 *449:24 0.000308064
35 *406:276 *449:24 0.000742121
36 *424:14 *449:22 0.00297479
37 *424:14 *449:24 9.71323e-06
38 *446:40 *449:24 8.45896e-06
39 *446:51 *6035:A 0.000547895
40 *446:51 *449:24 0.00284194
41 *447:23 *449:15 0.000111708
42 *448:25 *449:22 0.00264352
43 *448:31 *449:24 0.00180789
*RES
1 *5827:X *449:15 48.5571
2 *449:15 *449:19 12.9821
3 *449:19 *449:22 38.2589
4 *449:22 *449:24 59.625
5 *449:24 *6035:A 47.1166
*END
*D_NET *450 0.00759396
*CONN
*I *6036:A I *D sky130_fd_sc_hd__buf_2
*I *5768:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6036:A 0.00148726
2 *5768:X 0.000776586
3 *450:10 0.00226385
4 *6036:A *5834:A 0.000217951
5 *6036:A *5836:A 0.000479276
6 *5753:A *450:10 0.000142484
7 *5770:A *450:10 0
8 *5832:B *6036:A 0.000844452
9 *5837:A *450:10 0
10 *5913:D *6036:A 6.92705e-05
11 *261:20 *6036:A 4.31539e-05
12 *261:22 *6036:A 6.22703e-05
13 *261:26 *6036:A 0.000377908
14 *264:8 *450:10 6.46135e-05
15 *264:17 *450:10 0.0001592
16 *264:26 *450:10 0.00010556
17 *284:30 *6036:A 0.000217951
18 *316:8 *450:10 8.62625e-06
19 *446:15 *6036:A 0.000273542
*RES
1 *5768:X *450:10 25.8071
2 *450:10 *6036:A 43.5571
*END
*D_NET *451 0.0266065
*CONN
*I *6037:A I *D sky130_fd_sc_hd__buf_2
*I *5829:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6037:A 9.23842e-05
2 *5829:X 0.00266113
3 *451:20 0.00675082
4 *451:18 0.00689593
5 *451:15 0.00289863
6 *451:15 *5750:A 0.000118166
7 *451:15 *5752:A 0.000145065
8 *451:20 *5754:D 2.57674e-05
9 *451:20 *5757:D 0
10 *451:20 *5758:A 0
11 *451:20 *5758:D 0.000623254
12 *451:20 *5832:A 0.000169093
13 *451:20 *5867:A0 0
14 *451:20 *5876:A0 6.81008e-05
15 *451:20 *5891:A0 0.00010666
16 *451:20 *5894:A0 0
17 *451:20 *5902:A0 0.000205317
18 *451:20 *457:8 0
19 *451:20 *461:8 0
20 *451:20 *464:6 0.000113471
21 *451:20 *468:6 0
22 *451:20 *469:8 0.000144546
23 wb_data_o[30] *451:20 5.92192e-05
24 wb_data_o[31] *451:20 1.43055e-05
25 *5752:B *451:15 0.00011818
26 *5753:A *451:15 1.19513e-05
27 *5829:A *451:15 5.0715e-05
28 *5833:A *451:18 0.00015321
29 *5861:A1 *451:18 0
30 *5861:A1 *451:20 0
31 *5861:S *451:20 0
32 *5870:A *451:20 6.66538e-05
33 *5874:A1 *451:20 0
34 *5878:A1 *451:20 0
35 *5879:A *451:20 0
36 *5880:A1 *451:20 9.43341e-05
37 *5880:S *451:20 0.000367283
38 *5883:S *451:20 5.64929e-05
39 *5884:A *451:20 0
40 *5886:A *451:20 0.000210977
41 *5887:A1 *451:20 0.000257987
42 *5891:A1 *451:20 3.00073e-05
43 *5891:S *451:20 0
44 *5894:S *451:20 0
45 *5898:A1 *451:20 5.58515e-05
46 *5900:A1 *451:20 0
47 *5902:S *451:20 0
48 *5903:A *451:20 0
49 *5906:A1 *451:20 0.000310913
50 *5923:D *451:20 9.60366e-05
51 *5926:D *451:20 9.96342e-05
52 *5972:A *451:20 0.000134164
53 *6001:A *451:18 2.99929e-05
54 *6003:A *451:15 1.4164e-05
55 *260:8 *451:15 0.000115934
56 *283:16 *451:18 0
57 *284:30 *451:20 0
58 *324:30 *451:20 0
59 *330:10 *451:20 0.000217602
60 *330:27 *451:20 0.000160384
61 *330:36 *451:20 0.000802009
62 *336:8 *451:20 0
63 *336:18 *451:20 8.18789e-05
64 *342:27 *451:20 0
65 *406:167 *451:20 0
66 *406:180 *451:20 0
67 *406:191 *451:20 0
68 *406:204 *451:20 0
69 *406:221 *451:20 0
70 *406:287 *451:20 0
71 *424:13 *451:20 0
72 *438:13 *451:15 0.00197833
*RES
1 *5829:X *451:15 46.4143
2 *451:15 *451:18 4.86607
3 *451:18 *451:20 133.848
4 *451:20 *6037:A 13.6821
*END
*D_NET *452 0.0295802
*CONN
*I *6038:A I *D sky130_fd_sc_hd__buf_2
*I *5831:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6038:A 0
2 *5831:X 0.00286529
3 *452:8 0.00470922
4 *452:7 0.00757451
5 *452:7 *477:33 0.000525746
6 *452:7 *477:51 0.000410698
7 *452:7 *478:5 4.69808e-06
8 *452:7 *478:9 1.00763e-05
9 *452:8 *5891:A0 0
10 *452:8 *5894:A0 0
11 *5761:A *452:7 0.000399365
12 *5862:A *452:8 5.59308e-05
13 *5867:A1 *452:8 0.000311593
14 *5872:A1 *452:8 0.00012063
15 *5873:A *452:8 4.73211e-05
16 *5877:A *452:8 6.6516e-05
17 *5889:A1 *452:8 0.000285547
18 *5892:A *452:8 0
19 *5894:A1 *452:8 5.53789e-05
20 *5895:A *452:8 4.85353e-05
21 *5899:A *452:8 4.73076e-05
22 *5902:S *452:8 0
23 *5903:A *452:8 7.26733e-05
24 *5906:A1 *452:8 0
25 *5907:A *452:8 0
26 *5912:D *452:8 9.60366e-05
27 *5917:D *452:8 0.000179271
28 *5919:D *452:8 9.96342e-05
29 *5922:D *452:8 4.80148e-05
30 *5927:D *452:8 4.61732e-05
31 *5929:D *452:8 0.000166548
32 *5931:D *452:8 0.00017419
33 *5933:CLK *452:8 2.64881e-05
34 *5933:D *452:8 0
35 *5983:A *452:8 0.000185069
36 *6015:A *452:8 0.000659493
37 *6035:A *452:8 0.00086868
38 *283:21 *452:8 0
39 *284:30 *452:8 0.000576259
40 *299:13 *452:8 0.0022534
41 *324:25 *452:8 0.000469983
42 *342:27 *452:8 0
43 *406:57 *452:8 0.000211919
44 *406:105 *452:8 0.000256953
45 *406:114 *452:8 0.000107294
46 *406:123 *452:8 0.00018952
47 *406:132 *452:8 0.000104073
48 *406:141 *452:8 0.00017538
49 *406:151 *452:8 3.8079e-05
50 *406:158 *452:8 4.10961e-05
51 *406:167 *452:8 0
52 *406:180 *452:8 0
53 *406:221 *452:8 0.000415792
54 *406:232 *452:8 0.000565488
55 *406:239 *452:8 0.000205317
56 *406:248 *452:8 0.000315206
57 *406:276 *452:8 0.000770435
58 *406:287 *452:8 7.11165e-05
59 *407:10 *452:7 1.4091e-06
60 *409:8 *452:7 0.000676571
61 *424:13 *452:8 0.00122354
62 *424:14 *452:8 0
63 *446:51 *452:8 0.000626426
64 *449:24 *452:8 0.000124267
*RES
1 *5831:X *452:7 46.5393
2 *452:7 *452:8 137.946
3 *452:8 *6038:A 12.325
*END
*D_NET *453 0.00756941
*CONN
*I *6039:A I *D sky130_fd_sc_hd__buf_2
*I *5770:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6039:A 0.000484005
2 *5770:X 0.00259914
3 *453:11 0.00308315
4 *453:11 *5865:A0 0.00025934
5 *453:11 *474:20 0.000122083
6 *5847:B *453:11 0
7 *275:9 *453:11 0
8 *315:9 *453:11 0.00077856
9 *324:44 *6039:A 7.33372e-06
10 *324:44 *453:11 0.000205801
11 *445:8 *453:11 2.99929e-05
*RES
1 *5770:X *453:11 44.1911
2 *453:11 *6039:A 18.7089
*END
*D_NET *454 0.00489038
*CONN
*I *6040:A I *D sky130_fd_sc_hd__buf_2
*I *5772:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6040:A 0
2 *5772:X 0.00220122
3 *454:7 0.00220122
4 *5867:A1 *454:7 0.000404547
5 *5867:S *454:7 1.41291e-05
6 *5868:A *454:7 6.92705e-05
*RES
1 *5772:X *454:7 46.7357
2 *454:7 *6040:A 12.2
*END
*D_NET *455 0.0116027
*CONN
*I *5752:A I *D sky130_fd_sc_hd__and2_1
*I *5756:A I *D sky130_fd_sc_hd__or4b_1
*I *5878:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5920:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5752:A 0.000522986
2 *5756:A 0.000147751
3 *5878:A0 0.000289803
4 *5920:Q 0
5 *455:29 0.00175225
6 *455:8 0.000648315
7 *455:4 0.00144003
8 *5752:A *5750:A 0.000118166
9 *455:29 *5834:A 0
10 *455:29 *5869:A0 0.000149628
11 *455:29 *476:23 0.00238718
12 *5752:B *5752:A 7.34948e-06
13 *5756:D_N *5756:A 0.000167076
14 *5911:CLK *455:29 0.000567565
15 *5914:CLK *455:29 0.000358589
16 *322:8 *5756:A 0.000274776
17 *322:8 *455:8 0.00020172
18 *322:8 *455:29 0.00117971
19 *323:10 *5756:A 7.97533e-05
20 *323:10 *455:8 6.25955e-05
21 *356:9 *5878:A0 0.00047338
22 *406:75 *455:29 0.000243633
23 *438:13 *5752:A 0.000385374
24 *451:15 *5752:A 0.000145065
*RES
1 *5920:Q *455:4 12.2
2 *455:4 *455:8 4.03571
3 *455:8 *5878:A0 16.1464
4 *455:8 *5756:A 17.1464
5 *455:4 *455:29 43.4821
6 *455:29 *5752:A 23.825
*END
*D_NET *456 0.00168718
*CONN
*I *5756:B I *D sky130_fd_sc_hd__or4b_1
*I *5880:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5921:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5756:B 0.000318618
2 *5880:A0 0.000477957
3 *5921:Q 0
4 *456:4 0.000796575
5 *5756:D_N *5756:B 1.10813e-05
6 *5788:A *5880:A0 3.34802e-05
7 *5878:A1 *5880:A0 3.14935e-05
8 *5880:S *5880:A0 1.79807e-05
*RES
1 *5921:Q *456:4 12.2
2 *456:4 *5880:A0 18.1286
3 *456:4 *5756:B 16.4321
*END
*D_NET *457 0.00135909
*CONN
*I *5754:A I *D sky130_fd_sc_hd__or4_1
*I *5883:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5922:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5754:A 0.000100456
2 *5883:A0 0.000192018
3 *5922:Q 0.000100703
4 *457:8 0.000393177
5 *5754:A *5754:B 4.17531e-06
6 *5754:A *5754:D 0.000103983
7 *5883:A0 *5754:D 0.000167076
8 *457:8 *5754:D 6.08697e-06
9 *5756:C *457:8 0
10 *5883:A1 *5883:A0 6.92705e-05
11 *5883:S *5883:A0 0.000222149
12 *451:20 *457:8 0
*RES
1 *5922:Q *457:8 14.0929
2 *457:8 *5883:A0 16.8429
3 *457:8 *5754:A 14.3786
*END
*D_NET *458 0.00289152
*CONN
*I *5885:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5754:B I *D sky130_fd_sc_hd__or4_1
*I *5923:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5885:A0 0.000396038
2 *5754:B 0.000405664
3 *5923:Q 0
4 *458:4 0.000801702
5 *5754:A *5754:B 4.17531e-06
6 *5756:C *5754:B 1.00617e-05
7 *5885:S *5885:A0 0.000158357
8 *5886:A *5885:A0 7.24449e-05
9 *5923:CLK *5885:A0 3.40423e-05
10 *5923:D *5885:A0 0.000450416
11 *265:9 *5754:B 7.50872e-05
12 *322:8 *5754:B 7.50872e-05
13 *429:15 *5885:A0 0.000408447
*RES
1 *5923:Q *458:4 12.2
2 *458:4 *5754:B 17.3071
3 *458:4 *5885:A0 23.2179
*END
*D_NET *459 0.00284033
*CONN
*I *5754:C I *D sky130_fd_sc_hd__or4_1
*I *5887:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5924:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5754:C 0.000428009
2 *5887:A0 0.000206282
3 *5924:Q 0.000408923
4 *459:7 0.00104321
5 *5754:C *5754:D 0
6 *5888:A *5754:C 0.000148114
7 *5924:CLK *5887:A0 0.000380788
8 *5924:D *5754:C 1.39351e-05
9 *5924:D *5887:A0 0.000113968
10 *5924:D *459:7 8.93229e-05
11 *6018:A *5754:C 7.77309e-06
*RES
1 *5924:Q *459:7 18.5036
2 *459:7 *5887:A0 16.4321
3 *459:7 *5754:C 20.1643
*END
*D_NET *460 0.00297047
*CONN
*I *5889:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5754:D I *D sky130_fd_sc_hd__or4_1
*I *5925:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5889:A0 0.000245146
2 *5754:D 0.000538429
3 *5925:Q 0.000103201
4 *460:6 0.000886776
5 *5754:A *5754:D 0.000103983
6 *5754:C *5754:D 0
7 *5883:A0 *5754:D 0.000167076
8 *5883:S *5754:D 0.000170592
9 *5887:A1 *5754:D 2.99929e-05
10 *5887:A1 *460:6 7.69735e-05
11 *5888:A *5754:D 0
12 *5924:D *5754:D 0
13 *336:8 *460:6 3.40703e-05
14 *336:13 *5754:D 3.22726e-05
15 *336:13 *460:6 1.93378e-05
16 *336:18 *5754:D 0.000374412
17 *431:9 *5889:A0 0.000156351
18 *451:20 *5754:D 2.57674e-05
19 *457:8 *5754:D 6.08697e-06
*RES
1 *5925:Q *460:6 14.8786
2 *460:6 *5754:D 24.0393
3 *460:6 *5889:A0 15.325
*END
*D_NET *461 0.00349119
*CONN
*I *5758:A I *D sky130_fd_sc_hd__or4_1
*I *5891:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5926:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5758:A 4.29763e-05
2 *5891:A0 0.000639849
3 *5926:Q 0.000663748
4 *461:8 0.00134657
5 *5891:A0 *5758:D 0.000334808
6 *5759:C *5758:A 8.03393e-06
7 *5759:C *461:8 0.000117741
8 *6020:A *461:8 3.14596e-05
9 *6021:A *5891:A0 0.000125081
10 *432:13 *461:8 9.19886e-06
11 *434:11 *5891:A0 6.50586e-05
12 *451:20 *5758:A 0
13 *451:20 *5891:A0 0.00010666
14 *451:20 *461:8 0
15 *452:8 *5891:A0 0
*RES
1 *5926:Q *461:8 20.8429
2 *461:8 *5891:A0 22.7179
3 *461:8 *5758:A 13.0571
*END
*D_NET *462 0.00214715
*CONN
*I *5758:B I *D sky130_fd_sc_hd__or4_1
*I *5894:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5927:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5758:B 0.000418808
2 *5894:A0 8.48228e-05
3 *5927:Q 0.000345374
4 *462:8 0.000849006
5 *5894:A1 *5894:A0 0
6 *5894:S *5758:B 0.00011818
7 *5894:S *5894:A0 3.31882e-05
8 *5894:S *462:8 6.79599e-05
9 *6021:A *5758:B 0.000128902
10 *342:15 *462:8 0.000100913
11 *451:20 *5894:A0 0
12 *452:8 *5894:A0 0
*RES
1 *5927:Q *462:8 16.7714
2 *462:8 *5894:A0 13.6643
3 *462:8 *5758:B 17.3786
*END
*D_NET *463 0.00247777
*CONN
*I *5758:C I *D sky130_fd_sc_hd__or4_1
*I *5896:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5928:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5758:C 0.000296919
2 *5896:A0 0
3 *5928:Q 0.000498226
4 *463:12 0.000795145
5 *5897:A *5758:C 7.09558e-05
6 *5897:A *463:12 0.000102981
7 *5928:CLK *463:12 4.45999e-05
8 *5928:D *463:12 0.000101567
9 *6021:A *5758:C 1.61631e-05
10 *6022:A *463:12 0.000112603
11 *266:17 *5758:C 9.77871e-05
12 *342:11 *5758:C 1.41976e-05
13 *342:15 *5758:C 0.000110297
14 *391:15 *5758:C 6.08467e-05
15 *434:11 *5758:C 0.000155481
*RES
1 *5928:Q *463:12 21.6286
2 *463:12 *5896:A0 12.2
3 *463:12 *5758:C 19.2536
*END
*D_NET *464 0.00283666
*CONN
*I *5898:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5758:D I *D sky130_fd_sc_hd__or4_1
*I *5929:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5898:A0 7.1313e-05
2 *5758:D 0.00035025
3 *5929:Q 7.24382e-05
4 *464:6 0.000494001
5 *5891:A0 *5758:D 0.000334808
6 *5898:S *5898:A0 0.000122378
7 *6021:A *5758:D 0.00033061
8 *436:11 *5898:A0 0.000324137
9 *451:20 *5758:D 0.000623254
10 *451:20 *464:6 0.000113471
*RES
1 *5929:Q *464:6 13.9679
2 *464:6 *5758:D 22.9321
3 *464:6 *5898:A0 14.9143
*END
*D_NET *465 0.0028644
*CONN
*I *5757:A I *D sky130_fd_sc_hd__or4_1
*I *5900:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5930:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5757:A 0.000207842
2 *5900:A0 0.000154264
3 *5930:Q 0.00043091
4 *465:7 0.000793016
5 *5757:A *5757:C 0.00022284
6 *5900:A1 *5900:A0 6.64392e-05
7 *5900:S *5900:A0 3.14978e-05
8 *5901:A *5757:A 3.66465e-05
9 *5901:A *5900:A0 0.000152129
10 *5930:D *5757:A 6.43474e-05
11 *5930:D *5900:A0 8.52802e-05
12 *266:17 *5757:A 0.000343746
13 *266:17 *5900:A0 0.000222684
14 *323:49 *5757:A 0
15 *391:15 *5900:A0 1.76282e-05
16 *437:11 *465:7 3.5125e-05
*RES
1 *5930:Q *465:7 16.9679
2 *465:7 *5900:A0 16.95
3 *465:7 *5757:A 18.575
*END
*D_NET *466 0.00172837
*CONN
*I *5757:B I *D sky130_fd_sc_hd__or4_1
*I *5902:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5931:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5757:B 0.000337708
2 *5902:A0 0.000297263
3 *5931:Q 0
4 *466:4 0.000634971
5 *5757:B *5757:D 7.06473e-05
6 *5902:A0 *5757:D 0
7 *5900:A1 *5902:A0 0.000113197
8 *5902:A1 *5902:A0 6.92705e-05
9 *451:20 *5902:A0 0.000205317
*RES
1 *5931:Q *466:4 12.2
2 *466:4 *5902:A0 17.5929
3 *466:4 *5757:B 16.0214
*END
*D_NET *467 0.0029377
*CONN
*I *5904:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5757:C I *D sky130_fd_sc_hd__or4_1
*I *5932:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5904:A0 0.000157254
2 *5757:C 0.000405506
3 *5932:Q 0.000613684
4 *467:7 0.00117644
5 *5757:A *5757:C 0.00022284
6 *5906:S *5757:C 5.41377e-05
7 *5906:S *5904:A0 0.000111358
8 *5932:CLK *467:7 6.50727e-05
9 *5932:D *467:7 2.688e-05
10 *323:49 *5757:C 0
11 *323:49 *5904:A0 6.08467e-05
12 *440:15 *5904:A0 4.36818e-05
*RES
1 *5932:Q *467:7 19.0214
2 *467:7 *5757:C 20.0929
3 *467:7 *5904:A0 15.6286
*END
*D_NET *468 0.00157304
*CONN
*I *5906:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5757:D I *D sky130_fd_sc_hd__or4_1
*I *5933:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5906:A0 0.000167956
2 *5757:D 0.00036808
3 *5933:Q 0.000135923
4 *468:6 0.000671959
5 *5757:B *5757:D 7.06473e-05
6 *5902:A0 *5757:D 0
7 *5905:A *468:6 0
8 *5906:S *5906:A0 0.000118245
9 *5932:CLK *5906:A0 4.02303e-05
10 *451:20 *5757:D 0
11 *451:20 *468:6 0
*RES
1 *5933:Q *468:6 14.575
2 *468:6 *5757:D 19.1821
3 *468:6 *5906:A0 15.7357
*END
*D_NET *469 0.00134282
*CONN
*I *5861:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5832:A I *D sky130_fd_sc_hd__and2_1
*I *5912:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5861:A0 3.50373e-05
2 *5832:A 9.95936e-05
3 *5912:Q 0.000134881
4 *469:8 0.000269512
5 *5861:A1 *5832:A 0
6 *5861:S *5861:A0 6.92705e-05
7 *5861:S *469:8 0
8 *5863:A1 *5861:A0 8.67474e-05
9 *284:30 *5832:A 0
10 *284:30 *469:8 0
11 *447:23 *469:8 6.08467e-05
12 *449:19 *5861:A0 0.00027329
13 *451:20 *5832:A 0.000169093
14 *451:20 *469:8 0.000144546
*RES
1 *5912:Q *469:8 14.8071
2 *469:8 *5832:A 14.575
3 *469:8 *5861:A0 14.5036
*END
*D_NET *470 0.00208462
*CONN
*I *5863:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5834:A I *D sky130_fd_sc_hd__and2_1
*I *5913:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5863:A0 0.000252965
2 *5834:A 0.000177583
3 *5913:Q 0
4 *470:5 0.000430548
5 *5863:A0 *476:23 0
6 *5863:A1 *5863:A0 6.92705e-05
7 *5911:CLK *5834:A 0
8 *6036:A *5834:A 0.000217951
9 *261:26 *5834:A 0.000222149
10 *363:14 *5834:A 0.000104142
11 *363:14 *5863:A0 6.31665e-05
12 *406:72 *5863:A0 0.000211464
13 *447:23 *5863:A0 0.000140712
14 *448:19 *5863:A0 0.000194668
15 *455:29 *5834:A 0
*RES
1 *5913:Q *470:5 12.325
2 *470:5 *5834:A 17.2536
3 *470:5 *5863:A0 19.7357
*END
*D_NET *471 0.00387966
*CONN
*I *5836:A I *D sky130_fd_sc_hd__and2_1
*I *5865:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5914:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5836:A 0.000909835
2 *5865:A0 0.000313418
3 *5914:Q 0
4 *471:4 0.00122325
5 *5836:A *5841:A 9.77069e-05
6 *5865:A0 *476:23 0
7 *5863:S *5865:A0 6.50586e-05
8 *6036:A *5836:A 0.000479276
9 *261:22 *5836:A 0.000483474
10 *324:44 *5865:A0 4.82966e-05
11 *360:12 *5836:A 0
12 *363:14 *5836:A 0
13 *363:14 *5865:A0 0
14 *453:11 *5865:A0 0.00025934
*RES
1 *5914:Q *471:4 12.2
2 *471:4 *5865:A0 19.45
3 *471:4 *5836:A 29.0214
*END
*D_NET *472 0.00597477
*CONN
*I *5839:A I *D sky130_fd_sc_hd__and2_1
*I *5867:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5915:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5839:A 0.00215541
2 *5867:A0 0.000421627
3 *5915:Q 0
4 *472:4 0.00257703
5 *264:26 *5839:A 0.000518462
6 *284:30 *5867:A0 0.000146645
7 *364:38 *5839:A 0.0001556
8 *451:20 *5867:A0 0
*RES
1 *5915:Q *472:4 12.2
2 *472:4 *5867:A0 17.8071
3 *472:4 *5839:A 39.6107
*END
*D_NET *473 0.00560009
*CONN
*I *5841:A I *D sky130_fd_sc_hd__and2_1
*I *5869:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5916:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5841:A 0.00146117
2 *5869:A0 0.000273044
3 *5916:Q 0
4 *473:4 0.00173422
5 *5836:A *5841:A 9.77069e-05
6 *5869:A1 *5869:A0 6.64392e-05
7 *311:13 *5841:A 0.000370815
8 *322:8 *5869:A0 0.00015324
9 *324:11 *5869:A0 0.000118796
10 *324:25 *5869:A0 3.89073e-05
11 *360:12 *5841:A 0.000508462
12 *363:14 *5841:A 0
13 *448:15 *5841:A 0.000627661
14 *455:29 *5869:A0 0.000149628
*RES
1 *5916:Q *473:4 12.2
2 *473:4 *5869:A0 19.0393
3 *473:4 *5841:A 39.5214
*END
*D_NET *474 0.00812271
*CONN
*I *5843:A I *D sky130_fd_sc_hd__and2_1
*I *5872:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5917:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5843:A 0
2 *5872:A0 0.00033889
3 *5917:Q 0
4 *474:20 0.00324815
5 *474:4 0.00358704
6 *5839:B *474:20 0
7 *264:26 *474:20 0
8 *283:21 *5872:A0 0.000208915
9 *284:30 *5872:A0 0.000205332
10 *445:8 *474:20 0.000412293
11 *453:11 *474:20 0.000122083
*RES
1 *5917:Q *474:4 12.2
2 *474:4 *5872:A0 18.0036
3 *474:4 *474:20 44.0714
4 *474:20 *5843:A 12.2
*END
*D_NET *475 0.00785681
*CONN
*I *5874:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5845:A I *D sky130_fd_sc_hd__and2_1
*I *5918:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5874:A0 0.000595563
2 *5845:A 0.000578119
3 *5918:Q 0.000667442
4 *475:8 0.00184112
5 *311:8 *5845:A 5.22654e-06
6 *353:9 *5874:A0 0.00171571
7 *354:16 *475:8 1.19618e-05
8 *360:12 *5845:A 0.00171329
9 *360:12 *475:8 0.000161951
10 *362:29 *5845:A 0.000515566
11 *362:29 *475:8 5.08607e-05
*RES
1 *5918:Q *475:8 20.8607
2 *475:8 *5845:A 33.7
3 *475:8 *5874:A0 26.0036
*END
*D_NET *476 0.00935989
*CONN
*I *5847:A I *D sky130_fd_sc_hd__and2_1
*I *5876:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5919:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5847:A 0.000443152
2 *5876:A0 0.000198667
3 *5919:Q 0
4 *476:23 0.00265049
5 *476:4 0.00240601
6 *5863:A0 *476:23 0
7 *5865:A0 *476:23 0
8 *5876:S *5876:A0 0.000118166
9 *5911:CLK *476:23 2.41274e-06
10 *5997:A *5876:A0 0.000423922
11 *299:7 *476:23 6.83555e-05
12 *363:14 *476:23 0
13 *449:15 *5847:A 0.000558228
14 *449:15 *476:23 3.51934e-05
15 *451:20 *5876:A0 6.81008e-05
16 *455:29 *476:23 0.00238718
*RES
1 *5919:Q *476:4 12.2
2 *476:4 *5876:A0 17.8071
3 *476:4 *476:23 42.0893
4 *476:23 *5847:A 22.5929
*END
*D_NET *477 0.00580965
*CONN
*I *5750:B I *D sky130_fd_sc_hd__or2_1
*I *5755:A_N I *D sky130_fd_sc_hd__and2b_2
*I *5849:B I *D sky130_fd_sc_hd__nor2_1
*I *5850:A2 I *D sky130_fd_sc_hd__a21o_1
*I *5852:C1 I *D sky130_fd_sc_hd__a211oi_1
*I *5910:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5750:B 8.06349e-05
2 *5755:A_N 9.94714e-05
3 *5849:B 2.75505e-05
4 *5850:A2 0
5 *5852:C1 0.000262423
6 *5910:Q 0.000196666
7 *477:51 0.000394259
8 *477:33 0.000346192
9 *477:10 0.000395638
10 *477:8 0.000434371
11 *5849:B *5849:A 1.61631e-05
12 *5852:C1 *5852:B1 0.000373106
13 *477:51 *5849:A 9.55447e-05
14 *477:51 *478:9 0.000140551
15 *5854:A2 *5852:C1 0.000137936
16 *5854:B1 *5852:C1 5.04829e-06
17 *5856:B1 *477:8 7.83397e-05
18 *5856:B1 *477:10 3.06126e-05
19 *5857:A2 *477:33 7.16754e-05
20 *5858:C *5852:C1 0.000164815
21 *5910:D *477:8 1.19721e-05
22 *5911:CLK *477:51 0.000479276
23 *5911:D *5849:B 8.60694e-05
24 *5911:D *477:33 4.30507e-05
25 *5911:D *477:51 0.000157002
26 *317:21 *5852:C1 0
27 *317:25 *5852:C1 8.89094e-05
28 *321:8 *477:10 5.53934e-05
29 *360:12 *5750:B 0.0002212
30 *360:12 *5755:A_N 0.000139435
31 *363:14 *5755:A_N 0
32 *410:12 *477:10 3.89004e-05
33 *438:13 *5750:B 9.31538e-05
34 *438:13 *5755:A_N 0.000107847
35 *452:7 *477:33 0.000525746
36 *452:7 *477:51 0.000410698
*RES
1 *5910:Q *477:8 15.0214
2 *477:8 *477:10 2.85714
3 *477:10 *5852:C1 19.6286
4 *477:10 *5850:A2 12.325
5 *477:8 *477:33 4.78571
6 *477:33 *5849:B 13.7
7 *477:33 *477:51 9.83929
8 *477:51 *5755:A_N 14.8071
9 *477:51 *5750:B 15.1821
*END
*D_NET *478 0.00668202
*CONN
*I *5750:A I *D sky130_fd_sc_hd__or2_1
*I *5755:B I *D sky130_fd_sc_hd__and2b_2
*I *5849:A I *D sky130_fd_sc_hd__nor2_1
*I *5850:A1 I *D sky130_fd_sc_hd__a21o_1
*I *5852:B1 I *D sky130_fd_sc_hd__a211oi_1
*I *5911:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5750:A 0.000112871
2 *5755:B 7.70791e-05
3 *5849:A 5.49073e-05
4 *5850:A1 0
5 *5852:B1 0.000345304
6 *5911:Q 8.73842e-05
7 *478:42 0.00018995
8 *478:15 0.000777928
9 *478:9 0.00128234
10 *478:5 0.000882197
11 *5752:A *5750:A 0.000118166
12 *5849:B *5849:A 1.61631e-05
13 *5850:B1 *5852:B1 0.000205332
14 *5851:B *5852:B1 5.68225e-06
15 *5852:C1 *5852:B1 0.000373106
16 *5854:A2 *5852:B1 1.72799e-05
17 *5854:B1 *5852:B1 8.62625e-06
18 *5855:A *478:15 0
19 *5858:C *5852:B1 7.68538e-06
20 *5911:CLK *5849:A 3.20069e-06
21 *5911:D *5849:A 0.000111708
22 *5911:D *478:9 0.000724686
23 *5987:A *5852:B1 0
24 *6002:A *478:15 0
25 *322:8 *5849:A 4.33979e-05
26 *322:8 *478:15 0.00015324
27 *360:12 *5750:A 7.84098e-05
28 *360:12 *5755:B 4.44417e-05
29 *362:29 *5750:A 0.000269679
30 *362:29 *5755:B 0.00017416
31 *407:10 *5852:B1 0
32 *410:12 *5852:B1 6.50727e-05
33 *410:12 *478:15 6.29128e-05
34 *425:13 *5852:B1 2.00745e-05
35 *451:15 *5750:A 0.000118166
36 *452:7 *478:5 4.69808e-06
37 *452:7 *478:9 1.00763e-05
38 *477:51 *5849:A 9.55447e-05
39 *477:51 *478:9 0.000140551
*RES
1 *5911:Q *478:5 13.1464
2 *478:5 *478:9 10.1071
3 *478:9 *478:15 7.96429
4 *478:15 *5852:B1 20.2357
5 *478:15 *5850:A1 12.2
6 *478:9 *5849:A 14.0036
7 *478:5 *478:42 0.125
8 *478:42 *5755:B 14.575
9 *478:42 *5750:A 16.7357
*END