blob: 844acad457855ee2a00e1f3637297c10f884cdf7 [file] [log] [blame]
OpenSTA 2.3.1 61c0f9d73c Copyright (c) 2021, Parallax Software, Inc.
License GPLv3: GNU GPL version 3 <http://gnu.org/licenses/gpl.html>
This is free software, and you are free to change and redistribute it
under certain conditions; type `show_copying' for details.
This program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.
Warning: /home/videogamo/Work/mpw5/pdk/sky130A/libs.ref/sky130_fd_sc_hvl/lib/sky130_fd_sc_hvl__tt_025C_3v30_lv1v80.lib line 1, default_operating_condition tt_025C_3v30_lv1v80 not found.
Warning: ../verilog/gl/user_project_wrapper.v line 49, module sky130_fd_sc_hvl__fill_2 not found. Creating black box for FILLER_0_28.
Warning: ../verilog/gl/user_project_wrapper.v line 55, module sky130_fd_sc_hvl__fill_1 not found. Creating black box for FILLER_0_30.
Warning: ../verilog/gl/user_project_wrapper.v line 705, module sky130_fd_sc_hd__fill_2 not found. Creating black box for FILLER_0_11.
Warning: ../verilog/gl/user_project_wrapper.v line 709, module sky130_fd_sc_hd__fill_1 not found. Creating black box for FILLER_0_113.
Warning: ../verilog/gl/user_project_wrapper.v line 1224, module sky130_ef_io__corner_pad not found. Creating black box for \mgmt_corner[0] .
Warning: ../verilog/gl/user_project_wrapper.v line 1282, module sky130_ef_io__vddio_hvc_clamped_pad not found. Creating black box for \mgmt_vddio_hvclamp_pad[0] .
Warning: ../verilog/gl/user_project_wrapper.v line 1342, module sky130_ef_io__vssio_hvc_clamped_pad not found. Creating black box for \mgmt_vssio_hvclamp_pad[0] .
Warning: ../verilog/gl/user_project_wrapper.v line 1973, module sky130_fd_sc_hd__tapvpwrvgnd_1 not found. Creating black box for TAP_100.
Warning: ../verilog/gl/user_project_wrapper.v line 4766, module simple_por not found. Creating black box for por.
Warning: ./spef/chip_io.spef line 9225, syntax error, unexpected CAP, expecting KW_P or KW_I.
Warning: ./spef/housekeeping.spef line 29368, pwr_ctrl_out[0] not connected to net housekeeping/pwr_ctrl_out[0].
Warning: ./spef/housekeeping.spef line 29375, pwr_ctrl_out[0] not connected to net housekeeping/pwr_ctrl_out[0].
Warning: ./spef/housekeeping.spef line 29383, pwr_ctrl_out[1] not connected to net housekeeping/pwr_ctrl_out[1].
Warning: ./spef/housekeeping.spef line 29390, pwr_ctrl_out[1] not connected to net housekeeping/pwr_ctrl_out[1].
Warning: ./spef/housekeeping.spef line 29398, pwr_ctrl_out[2] not connected to net housekeeping/pwr_ctrl_out[2].
Warning: ./spef/housekeeping.spef line 29404, pwr_ctrl_out[2] not connected to net housekeeping/pwr_ctrl_out[2].
Warning: ./spef/housekeeping.spef line 29412, pwr_ctrl_out[3] not connected to net housekeeping/pwr_ctrl_out[3].
Warning: ./spef/housekeeping.spef line 29420, pwr_ctrl_out[3] not connected to net housekeeping/pwr_ctrl_out[3].
Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_1\[0\]/one.
Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_1\[0\]/one.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_1\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_1\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_1\[1\]/one.
Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_1\[1\]/one.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_1\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_1\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_2\[1\]/one.
Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_2\[1\]/one.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_2\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_2\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_2\[2\]/one.
Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_2\[2\]/one.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_2\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_2\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[10\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[10\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[3\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[3\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[4\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[4\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[5\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[5\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[6\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[6\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[7\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[7\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[8\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[8\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[9\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[9\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[3\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[3\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[4\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[4\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[5\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[5\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[10\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[10\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[11\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[11\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[12\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[12\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[13\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[13\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[14\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[14\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[15\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[15\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[3\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[3\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[4\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[4\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[5\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[5\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[6\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[6\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[7\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[7\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[8\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[8\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[9\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[9\]/zero.
Warning: ./spef/gpio_defaults_block_1803.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_0/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_1803.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_0/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_1803.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_0/gpio_defaults_high\[10\].
Warning: ./spef/gpio_defaults_block_1803.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_0/gpio_defaults_high\[10\].
Warning: ./spef/gpio_defaults_block_1803.spef line 139, gpio_defaults[11] not connected to net gpio_defaults_block_0/gpio_defaults_low\[11\].
Warning: ./spef/gpio_defaults_block_1803.spef line 144, gpio_defaults[11] not connected to net gpio_defaults_block_0/gpio_defaults_low\[11\].
Warning: ./spef/gpio_defaults_block_1803.spef line 152, gpio_defaults[12] not connected to net gpio_defaults_block_0/gpio_defaults_low\[12\].
Warning: ./spef/gpio_defaults_block_1803.spef line 156, gpio_defaults[12] not connected to net gpio_defaults_block_0/gpio_defaults_low\[12\].
Warning: ./spef/gpio_defaults_block_1803.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_1/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_1803.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_1/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_1803.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_1/gpio_defaults_high\[10\].
Warning: ./spef/gpio_defaults_block_1803.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_1/gpio_defaults_high\[10\].
Warning: ./spef/gpio_defaults_block_1803.spef line 139, gpio_defaults[11] not connected to net gpio_defaults_block_1/gpio_defaults_low\[11\].
Warning: ./spef/gpio_defaults_block_1803.spef line 144, gpio_defaults[11] not connected to net gpio_defaults_block_1/gpio_defaults_low\[11\].
Warning: ./spef/gpio_defaults_block_1803.spef line 152, gpio_defaults[12] not connected to net gpio_defaults_block_1/gpio_defaults_low\[12\].
Warning: ./spef/gpio_defaults_block_1803.spef line 156, gpio_defaults[12] not connected to net gpio_defaults_block_1/gpio_defaults_low\[12\].
Warning: ./spef/gpio_defaults_block_0403.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_2/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_0403.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_2/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_0403.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_3/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_0403.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_3/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_0403.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_4/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_0403.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_4/gpio_defaults_low\[0\].
Warning: ./spef/caravel.spef line 4115, *2810 not connected to net gpio_clock_1\[0\].
Warning: ./spef/caravel.spef line 4116, *2803 not connected to net gpio_clock_1\[0\].
Warning: ./spef/caravel.spef line 4187, *2803 not connected to net gpio_clock_1\[0\].
Warning: ./spef/caravel.spef line 4194, *2810 not connected to net gpio_clock_1\[0\].
Warning: ./spef/caravel.spef line 4218, *2805 not connected to net gpio_clock_1\[10\].
Warning: ./spef/caravel.spef line 4219, *2804 not connected to net gpio_clock_1\[10\].
Warning: ./spef/caravel.spef line 4286, *2804 not connected to net gpio_clock_1\[10\].
Warning: ./spef/caravel.spef line 4298, *2805 not connected to net gpio_clock_1\[10\].
Warning: ./spef/caravel.spef line 4323, *2806 not connected to net gpio_clock_1\[11\].
Warning: ./spef/caravel.spef line 4324, *2805 not connected to net gpio_clock_1\[11\].
Warning: ./spef/caravel.spef line 4415, *2805 not connected to net gpio_clock_1\[11\].
Warning: ./spef/caravel.spef line 4423, *2806 not connected to net gpio_clock_1\[11\].
Warning: ./spef/caravel.spef line 4443, *2807 not connected to net gpio_clock_1\[12\].
Warning: ./spef/caravel.spef line 4446, *2806 not connected to net gpio_clock_1\[12\].
Warning: ./spef/caravel.spef line 4502, *2806 not connected to net gpio_clock_1\[12\].
Warning: ./spef/caravel.spef line 4519, *2807 not connected to net gpio_clock_1\[12\].
Warning: ./spef/caravel.spef line 4531, *2808 not connected to net gpio_clock_1\[13\].
Warning: ./spef/caravel.spef line 4532, *2807 not connected to net gpio_clock_1\[13\].
Warning: ./spef/caravel.spef line 4594, *2807 not connected to net gpio_clock_1\[13\].
Warning: ./spef/caravel.spef line 4603, *2808 not connected to net gpio_clock_1\[13\].
Warning: ./spef/caravel.spef line 4629, *2809 not connected to net gpio_clock_1\[14\].
Warning: ./spef/caravel.spef line 4630, *2808 not connected to net gpio_clock_1\[14\].
Warning: ./spef/caravel.spef line 4685, *2808 not connected to net gpio_clock_1\[14\].
Warning: ./spef/caravel.spef line 4688, *2809 not connected to net gpio_clock_1\[14\].
Warning: ./spef/caravel.spef line 4710, *2783 not connected to net gpio_clock_1\[15\].
Warning: ./spef/caravel.spef line 4711, *2809 not connected to net gpio_clock_1\[15\].
Warning: ./spef/caravel.spef line 4904, *2809 not connected to net gpio_clock_1\[15\].
Warning: ./spef/caravel.spef line 4906, *2783 not connected to net gpio_clock_1\[15\].
Warning: ./spef/caravel.spef line 4935, *2784 not connected to net gpio_clock_1\[16\].
Warning: ./spef/caravel.spef line 4936, *2783 not connected to net gpio_clock_1\[16\].
Warning: ./spef/caravel.spef line 5008, *2783 not connected to net gpio_clock_1\[16\].
Warning: ./spef/caravel.spef line 5009, *2784 not connected to net gpio_clock_1\[16\].
Warning: ./spef/caravel.spef line 5022, *2784 not connected to net gpio_clock_1\[17\].
Warning: ./spef/caravel.spef line 5023, *2785 not connected to net gpio_clock_1\[17\].
Warning: ./spef/caravel.spef line 5121, *2785 not connected to net gpio_clock_1\[17\].
Warning: ./spef/caravel.spef line 5127, *2784 not connected to net gpio_clock_1\[17\].
Warning: ./spef/caravel.spef line 5137, *2785 not connected to net gpio_clock_1\[18\].
Warning: ./spef/caravel.spef line 5190, *2785 not connected to net gpio_clock_1\[18\].
Warning: ./spef/caravel.spef line 5202, *2811 not connected to net gpio_clock_1\[1\].
Warning: ./spef/caravel.spef line 5203, *2810 not connected to net gpio_clock_1\[1\].
Warning: ./spef/caravel.spef line 5289, *2810 not connected to net gpio_clock_1\[1\].
Warning: ./spef/caravel.spef line 5297, *2811 not connected to net gpio_clock_1\[1\].
Warning: ./spef/caravel.spef line 5321, *2812 not connected to net gpio_clock_1\[2\].
Warning: ./spef/caravel.spef line 5324, *2811 not connected to net gpio_clock_1\[2\].
Warning: ./spef/caravel.spef line 5411, *2811 not connected to net gpio_clock_1\[2\].
Warning: ./spef/caravel.spef line 5434, *2812 not connected to net gpio_clock_1\[2\].
Warning: ./spef/caravel.spef line 5446, *2813 not connected to net gpio_clock_1\[3\].
Warning: ./spef/caravel.spef line 5447, *2812 not connected to net gpio_clock_1\[3\].
Warning: ./spef/caravel.spef line 5504, *2812 not connected to net gpio_clock_1\[3\].
Warning: ./spef/caravel.spef line 5511, *2813 not connected to net gpio_clock_1\[3\].
Warning: ./spef/caravel.spef line 5535, *2814 not connected to net gpio_clock_1\[4\].
Warning: ./spef/caravel.spef line 5536, *2813 not connected to net gpio_clock_1\[4\].
Warning: ./spef/caravel.spef line 5599, *2813 not connected to net gpio_clock_1\[4\].
Warning: ./spef/caravel.spef line 5611, *2814 not connected to net gpio_clock_1\[4\].
Warning: ./spef/caravel.spef line 5630, *2815 not connected to net gpio_clock_1\[5\].
Warning: ./spef/caravel.spef line 5631, *2814 not connected to net gpio_clock_1\[5\].
Warning: ./spef/caravel.spef line 5713, *2814 not connected to net gpio_clock_1\[5\].
Warning: ./spef/caravel.spef line 5719, *2815 not connected to net gpio_clock_1\[5\].
Warning: ./spef/caravel.spef line 5737, *2814 not connected to net gpio_clock_1\[5\].
Warning: ./spef/caravel.spef line 5749, *2816 not connected to net gpio_clock_1\[6\].
Warning: ./spef/caravel.spef line 5750, *2815 not connected to net gpio_clock_1\[6\].
Warning: ./spef/caravel.spef line 5835, *2815 not connected to net gpio_clock_1\[6\].
Warning: ./spef/caravel.spef line 5845, *2816 not connected to net gpio_clock_1\[6\].
Warning: ./spef/caravel.spef line 5859, *2815 not connected to net gpio_clock_1\[6\].
Warning: ./spef/caravel.spef line 5871, *2817 not connected to net gpio_clock_1\[7\].
Warning: ./spef/caravel.spef line 5872, *2816 not connected to net gpio_clock_1\[7\].
Warning: ./spef/caravel.spef line 5970, *2816 not connected to net gpio_clock_1\[7\].
Warning: ./spef/caravel.spef line 5977, *2817 not connected to net gpio_clock_1\[7\].
Warning: ./spef/caravel.spef line 6002, *2818 not connected to net gpio_clock_1\[8\].
Warning: ./spef/caravel.spef line 6003, *2817 not connected to net gpio_clock_1\[8\].
Warning: ./spef/caravel.spef line 6077, *2817 not connected to net gpio_clock_1\[8\].
Warning: ./spef/caravel.spef line 6082, *2818 not connected to net gpio_clock_1\[8\].
Warning: ./spef/caravel.spef line 6107, *2804 not connected to net gpio_clock_1\[9\].
Warning: ./spef/caravel.spef line 6108, *2818 not connected to net gpio_clock_1\[9\].
Warning: ./spef/caravel.spef line 6166, *2818 not connected to net gpio_clock_1\[9\].
Warning: ./spef/caravel.spef line 6168, *2804 not connected to net gpio_clock_1\[9\].
Warning: ./spef/caravel.spef line 6189, *2803 not connected to net gpio_clock_1_shifted\[0\].
Warning: ./spef/caravel.spef line 6233, *2803 not connected to net gpio_clock_1_shifted\[0\].
Warning: ./spef/caravel.spef line 6239, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6243, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6248, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6253, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6257, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6265, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6270, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6274, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6284, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6289, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6293, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6302, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6307, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6311, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6318, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6323, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6327, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6335, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6340, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6344, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6351, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6356, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6360, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6369, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6374, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6378, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6385, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6390, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6394, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6400, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6405, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6409, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6414, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6419, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6423, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6432, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6437, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6441, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6445, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6450, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6454, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6460, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6465, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6469, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6476, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6481, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6485, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6492, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6497, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6501, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6508, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6513, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6517, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6525, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6530, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6534, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6539, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6544, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6548, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6554, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6561, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6565, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6573, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6578, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6582, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6591, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6596, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6600, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6608, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6613, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6617, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6625, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6630, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6634, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6641, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6646, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6650, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6655, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6660, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6664, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6668, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6673, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6677, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6683, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6688, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6692, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6699, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6704, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6708, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6715, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6720, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6724, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6730, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6735, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6739, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6746, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6751, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6755, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6761, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6766, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6770, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6777, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6782, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6786, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6792, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6797, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6801, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6809, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6814, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6818, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6826, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6831, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6835, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6843, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6848, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6852, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6858, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6863, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6867, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6873, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6878, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6882, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6886, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6891, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6895, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6901, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6906, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6910, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6916, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6921, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6925, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6931, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6936, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 6940, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 6946, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 6953, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6957, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6963, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6968, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6972, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6978, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6983, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6987, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6993, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6998, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7002, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7007, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7012, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7016, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7022, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7027, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7031, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7039, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7044, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7048, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7055, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7060, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7064, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7071, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7076, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7080, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7085, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7090, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7094, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7098, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7103, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7107, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7114, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7119, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7123, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7129, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7134, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7138, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7144, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7149, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7153, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7159, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7164, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7168, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7174, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7179, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7183, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7191, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7196, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7200, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7205, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7211, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7215, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7221, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7226, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7230, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7239, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7244, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7248, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7256, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7261, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7265, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7273, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7278, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7282, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7288, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7293, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7297, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7304, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7309, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7313, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7320, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7325, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7329, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7333, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7338, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7342, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7348, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7353, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7357, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7364, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7369, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7373, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7381, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7386, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7390, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7397, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7402, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7406, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7413, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7418, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7422, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7428, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7433, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7437, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7443, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7448, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7452, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7460, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7465, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7469, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7477, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7482, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7486, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7494, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7499, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7503, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7511, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7516, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7520, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7526, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7531, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7535, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7541, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7546, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7550, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7554, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7559, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7563, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7569, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7574, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7578, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7584, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7589, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7593, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7599, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7604, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7608, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7614, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7619, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7623, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7629, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7634, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7638, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7644, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7649, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7653, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7659, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7664, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7668, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7674, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7681, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7685, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7692, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7697, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7701, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7710, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7715, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7719, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7726, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7731, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7735, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7742, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7747, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7751, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7756, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7761, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7765, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7769, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7774, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7778, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7784, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7789, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7793, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7799, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7804, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7808, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7815, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7820, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7824, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7830, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7835, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7839, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7846, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7851, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7855, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7861, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7866, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7870, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7877, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7882, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7886, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7889, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7894, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7898, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7902, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7907, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7911, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7915, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7920, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7924, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7928, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7933, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7937, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7941, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7946, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7950, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7954, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7959, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 7963, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 7969, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 7974, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7978, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7982, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7987, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7991, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7995, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8000, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8004, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8008, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8013, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8017, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8021, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8026, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8030, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8034, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8039, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8043, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8047, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8052, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8056, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8060, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8065, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8069, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8072, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8077, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8081, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8084, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8089, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8093, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8097, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8102, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8106, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8112, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8117, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8121, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8125, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8130, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8134, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8138, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8143, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8147, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8151, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8156, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8160, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8164, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8169, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8173, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8177, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8182, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8186, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8190, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8195, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8199, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8203, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8208, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8212, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8216, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8221, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8225, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8229, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8234, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8238, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8242, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8247, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8251, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8257, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8262, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8266, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8269, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8274, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8278, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8281, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8286, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8290, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8294, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8299, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8303, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8307, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8312, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8316, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8320, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8325, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8329, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8333, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8338, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8342, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8346, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8351, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8355, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8359, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8364, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8368, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8372, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8377, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8381, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8385, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8390, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8394, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8400, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8405, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8409, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8413, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8418, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8422, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8426, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8431, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8435, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8439, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8444, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8448, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8451, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8456, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8460, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8463, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8468, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8472, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8476, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8481, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8485, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8489, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8494, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8498, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8502, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8507, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8511, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8515, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8520, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8524, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8528, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8533, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8537, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8544, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8549, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8553, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8557, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8562, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8566, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8570, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8575, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8579, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8583, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8588, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8592, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8596, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8601, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8605, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8609, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8614, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8618, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8622, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8627, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8631, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8634, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8639, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8643, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8646, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8651, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8655, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8659, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8664, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8668, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8672, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8677, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8681, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8685, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8690, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8694, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8698, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8703, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8707, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8711, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8716, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8720, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8724, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8729, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8733, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8737, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8742, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8746, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8750, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8755, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8759, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8763, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8768, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8772, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8776, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8781, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8785, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8789, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8794, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8798, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8802, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8807, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8811, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8814, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8819, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8823, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8829, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8834, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8838, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8841, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8846, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8850, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8854, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8859, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8863, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8867, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8872, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8876, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8880, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8885, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8889, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8893, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8898, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8902, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8906, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8911, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8915, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8919, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8924, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8928, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8932, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8937, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8941, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8945, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8950, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8954, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8958, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8963, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 8967, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 8972, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 8977, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8981, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8985, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8990, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8994, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8998, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 9003, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 9007, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 9010, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 9015, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9019, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9022, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9027, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9031, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9035, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9040, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9044, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9048, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9053, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9057, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9061, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9066, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9070, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9074, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9079, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9083, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9087, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9092, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9096, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9100, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9105, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9109, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9117, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9122, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9126, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9130, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9135, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9139, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9143, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9148, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9152, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9156, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9161, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9165, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9169, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9174, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9178, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9182, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9187, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9191, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9194, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9199, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9203, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9210, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9215, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9219, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9222, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9227, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9231, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9239, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9244, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9248, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9255, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9260, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9264, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9268, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9273, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9277, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9281, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9286, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9290, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9294, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9299, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9303, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9307, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9312, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9316, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9320, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9325, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9329, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9333, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9338, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9342, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9346, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9351, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9355, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9359, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9364, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9368, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9372, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9377, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9381, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9385, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9390, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9394, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9400, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9405, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9409, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9413, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9418, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9422, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9425, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9430, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9434, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9437, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9442, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9446, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9450, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9455, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9459, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9463, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9468, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9472, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9476, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9481, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9485, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9489, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9494, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9498, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9502, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9507, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9511, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9515, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9520, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9524, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9528, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9533, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9537, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9543, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9548, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9552, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9556, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9561, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9565, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9569, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9574, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9578, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9582, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9587, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9591, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9595, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9600, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9604, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9607, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9612, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9616, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9620, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9625, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9629, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9633, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9638, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9642, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9646, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9651, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9655, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9659, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9664, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9668, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9672, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9677, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9681, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9685, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9690, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9694, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9698, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9703, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9707, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9711, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9716, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9720, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9724, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9729, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9733, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9737, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9742, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9746, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9750, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9755, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9759, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9763, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9768, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9772, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9776, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9781, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9785, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9788, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9793, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9797, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9800, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9805, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9809, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9813, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9818, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9822, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9828, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9833, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9837, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9841, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9846, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9850, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9854, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9859, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9863, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9867, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9872, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9876, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9880, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9885, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9889, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9893, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9898, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9902, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9906, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9911, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9915, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9919, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9924, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9928, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9932, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9937, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9941, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9945, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9950, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9954, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9958, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9963, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9967, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9973, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9978, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9982, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9985, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9990, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 9994, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 9997, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10002, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10006, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10010, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10015, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10019, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10023, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10028, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10032, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10036, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10041, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10045, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10049, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10054, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10058, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10062, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10067, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10071, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10075, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10080, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10084, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10088, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10093, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10097, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10101, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10106, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10110, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10117, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10122, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10126, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10130, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10135, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10139, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10143, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10148, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10152, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10156, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10161, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10165, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10168, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10173, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10177, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10180, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10185, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10189, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10193, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10198, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10202, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10206, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10211, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10215, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10219, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10224, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10228, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10232, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10237, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10241, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10245, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10250, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10254, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10260, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10265, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10269, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10273, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10278, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10282, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10286, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10291, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10295, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10299, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10304, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10308, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10312, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10317, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10321, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10325, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10330, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10334, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10338, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10343, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10347, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10350, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10355, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10359, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10363, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10368, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10372, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10376, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10381, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10385, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10389, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10394, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10398, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10404, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10409, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10413, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10417, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10422, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10426, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10430, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10435, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10439, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10443, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10448, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10452, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10456, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10461, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10465, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10469, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10474, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10478, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10482, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10487, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10491, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10495, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10500, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10504, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10508, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10513, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10517, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10521, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10526, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10530, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10533, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10538, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10542, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10547, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10552, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10556, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10560, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10565, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10569, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10573, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10578, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10582, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10586, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10591, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10595, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10599, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10604, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10608, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10612, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10617, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10621, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10625, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10630, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10634, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10638, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10643, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10647, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10651, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10656, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10660, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10664, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10669, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10673, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10677, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10682, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10686, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10690, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10695, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10699, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10707, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10712, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10716, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10720, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10725, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10729, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10733, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10738, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10742, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10745, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10750, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10754, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10757, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10762, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10766, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10770, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10775, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10779, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10783, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10788, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10792, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10796, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10801, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10805, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10809, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10814, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10818, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10822, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10827, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10831, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10835, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10840, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10844, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10851, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10856, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10860, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10864, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10869, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10873, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10877, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10882, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10886, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10890, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10895, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10899, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10903, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10908, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10912, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10916, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10921, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10925, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10928, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10933, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10937, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10940, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10945, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10949, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10953, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10958, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10962, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10966, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10971, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10975, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10979, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10984, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10988, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10996, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11001, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11005, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11009, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11014, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11018, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11022, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11027, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11031, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11035, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11040, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11044, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11048, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11053, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11057, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11061, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11066, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11070, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11074, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11079, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11083, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11087, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11092, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11096, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11100, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11105, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11109, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11112, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11117, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11121, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11124, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11129, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11133, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11141, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11146, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11150, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11154, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11159, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11163, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11167, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11172, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11176, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11180, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11185, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11189, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11193, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11198, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11202, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11206, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11211, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11215, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11219, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11224, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11228, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11232, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11237, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11241, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11245, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11250, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11254, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11258, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11263, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11267, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11271, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11276, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11280, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11286, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11291, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11295, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11299, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11304, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11308, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11311, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11316, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11320, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11323, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11328, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11332, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11336, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11341, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11345, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11349, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11354, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11358, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11362, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11367, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11371, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11375, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11380, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11384, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11388, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11393, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11397, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11401, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11406, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11410, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11414, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11419, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11423, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11428, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11433, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11437, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11441, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11446, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11450, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11454, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11459, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11463, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11467, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11472, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11476, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11480, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11485, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11489, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11492, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11497, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11501, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11504, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11509, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11513, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11517, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11522, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11526, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11530, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11535, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11539, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11543, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11548, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11552, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11556, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11561, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11565, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11569, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11574, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11578, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11582, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11587, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11591, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11595, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11600, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11604, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11608, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11613, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11617, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11621, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11626, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11630, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11634, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11639, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11643, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11647, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11652, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11656, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11660, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11665, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11669, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11672, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11677, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11681, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11685, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11690, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11694, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11696, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11701, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11705, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11711, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11716, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11720, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11722, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11727, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11731, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11733, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11738, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11742, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11744, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11749, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11753, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11755, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11760, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11764, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11766, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11771, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11775, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11777, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11782, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11786, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11788, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11793, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11797, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11799, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11804, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11808, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11810, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11815, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11819, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11821, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11826, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11830, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11837, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11842, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11846, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11848, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11853, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11857, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11860, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11865, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11869, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11873, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11878, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11882, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11886, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11891, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11895, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11899, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11904, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11908, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11912, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11917, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11921, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11925, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11930, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11934, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11938, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11943, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11947, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11951, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11956, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11960, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11964, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11969, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11973, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11979, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11984, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11988, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11992, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11997, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12001, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12005, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12010, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12014, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12018, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12023, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12027, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12030, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12035, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12039, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12045, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12050, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12054, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12061, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12066, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12070, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12077, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12082, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12086, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12090, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12095, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12099, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12104, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12109, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12113, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12119, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12124, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12128, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12133, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12138, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12142, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12150, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12155, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12159, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12165, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12170, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12174, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12180, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12185, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12189, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12193, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12198, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12202, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12208, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12213, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12217, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12223, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12228, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12232, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12238, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12243, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12247, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12253, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12258, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12262, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12268, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12273, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12277, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12283, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12288, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12292, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12296, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12301, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12305, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12310, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12315, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12319, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12326, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12331, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12335, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12341, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12346, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12350, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12358, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12363, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12367, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12373, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12378, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12382, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12386, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12391, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12395, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12401, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12406, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12410, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12414, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12419, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12423, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12429, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12434, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12438, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12444, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12449, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12453, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12459, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12464, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12468, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12475, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12480, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12484, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12489, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12494, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12498, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12504, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12509, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12513, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12519, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12524, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12528, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12536, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12541, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12545, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12551, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12556, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12560, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12568, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12573, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12577, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12585, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12590, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12594, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12600, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12605, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12609, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12614, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12619, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12623, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12627, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12632, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12636, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12642, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12647, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12651, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12658, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12663, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12667, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12674, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12679, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12683, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12689, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12694, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12698, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12705, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12710, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12714, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12720, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12725, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12729, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12733, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12738, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12742, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12749, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12754, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12758, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12764, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12769, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12773, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12781, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12786, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12790, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12799, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12804, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12808, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12816, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12821, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12825, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12831, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12836, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12840, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12844, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12849, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12853, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12859, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12864, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12868, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12874, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12879, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12883, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12890, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12953, *2810 not connected to net gpio_load_1\[0\].
Warning: ./spef/caravel.spef line 12954, *2803 not connected to net gpio_load_1\[0\].
Warning: ./spef/caravel.spef line 13023, *2803 not connected to net gpio_load_1\[0\].
Warning: ./spef/caravel.spef line 13029, *2810 not connected to net gpio_load_1\[0\].
Warning: ./spef/caravel.spef line 13051, *2805 not connected to net gpio_load_1\[10\].
Warning: ./spef/caravel.spef line 13054, *2804 not connected to net gpio_load_1\[10\].
Warning: ./spef/caravel.spef line 13171, *2804 not connected to net gpio_load_1\[10\].
Warning: ./spef/caravel.spef line 13193, *2805 not connected to net gpio_load_1\[10\].
Warning: ./spef/caravel.spef line 13205, *2806 not connected to net gpio_load_1\[11\].
Warning: ./spef/caravel.spef line 13206, *2805 not connected to net gpio_load_1\[11\].
Warning: ./spef/caravel.spef line 13337, *2805 not connected to net gpio_load_1\[11\].
Warning: ./spef/caravel.spef line 13344, *2806 not connected to net gpio_load_1\[11\].
Warning: ./spef/caravel.spef line 13366, *2807 not connected to net gpio_load_1\[12\].
Warning: ./spef/caravel.spef line 13367, *2806 not connected to net gpio_load_1\[12\].
Warning: ./spef/caravel.spef line 13471, *2806 not connected to net gpio_load_1\[12\].
Warning: ./spef/caravel.spef line 13474, *2807 not connected to net gpio_load_1\[12\].
Warning: ./spef/caravel.spef line 13497, *2808 not connected to net gpio_load_1\[13\].
Warning: ./spef/caravel.spef line 13498, *2807 not connected to net gpio_load_1\[13\].
Warning: ./spef/caravel.spef line 13569, *2807 not connected to net gpio_load_1\[13\].
Warning: ./spef/caravel.spef line 13577, *2808 not connected to net gpio_load_1\[13\].
Warning: ./spef/caravel.spef line 13594, *2807 not connected to net gpio_load_1\[13\].
Warning: ./spef/caravel.spef line 13606, *2809 not connected to net gpio_load_1\[14\].
Warning: ./spef/caravel.spef line 13607, *2808 not connected to net gpio_load_1\[14\].
Warning: ./spef/caravel.spef line 13675, *2808 not connected to net gpio_load_1\[14\].
Warning: ./spef/caravel.spef line 13678, *2809 not connected to net gpio_load_1\[14\].
Warning: ./spef/caravel.spef line 13706, *2783 not connected to net gpio_load_1\[15\].
Warning: ./spef/caravel.spef line 13707, *2809 not connected to net gpio_load_1\[15\].
Warning: ./spef/caravel.spef line 13766, *2809 not connected to net gpio_load_1\[15\].
Warning: ./spef/caravel.spef line 13768, *2783 not connected to net gpio_load_1\[15\].
Warning: ./spef/caravel.spef line 13791, *2784 not connected to net gpio_load_1\[16\].
Warning: ./spef/caravel.spef line 13792, *2783 not connected to net gpio_load_1\[16\].
Warning: ./spef/caravel.spef line 13853, *2783 not connected to net gpio_load_1\[16\].
Warning: ./spef/caravel.spef line 13854, *2784 not connected to net gpio_load_1\[16\].
Warning: ./spef/caravel.spef line 13867, *2785 not connected to net gpio_load_1\[17\].
Warning: ./spef/caravel.spef line 13868, *2784 not connected to net gpio_load_1\[17\].
Warning: ./spef/caravel.spef line 13939, *2784 not connected to net gpio_load_1\[17\].
Warning: ./spef/caravel.spef line 13940, *2785 not connected to net gpio_load_1\[17\].
Warning: ./spef/caravel.spef line 13950, *2785 not connected to net gpio_load_1\[18\].
Warning: ./spef/caravel.spef line 13989, *2785 not connected to net gpio_load_1\[18\].
Warning: ./spef/caravel.spef line 14001, *2811 not connected to net gpio_load_1\[1\].
Warning: ./spef/caravel.spef line 14002, *2810 not connected to net gpio_load_1\[1\].
Warning: ./spef/caravel.spef line 14080, *2810 not connected to net gpio_load_1\[1\].
Warning: ./spef/caravel.spef line 14088, *2811 not connected to net gpio_load_1\[1\].
Warning: ./spef/caravel.spef line 14114, *2812 not connected to net gpio_load_1\[2\].
Warning: ./spef/caravel.spef line 14117, *2811 not connected to net gpio_load_1\[2\].
Warning: ./spef/caravel.spef line 14211, *2811 not connected to net gpio_load_1\[2\].
Warning: ./spef/caravel.spef line 14234, *2812 not connected to net gpio_load_1\[2\].
Warning: ./spef/caravel.spef line 14246, *2813 not connected to net gpio_load_1\[3\].
Warning: ./spef/caravel.spef line 14247, *2812 not connected to net gpio_load_1\[3\].
Warning: ./spef/caravel.spef line 14313, *2812 not connected to net gpio_load_1\[3\].
Warning: ./spef/caravel.spef line 14319, *2813 not connected to net gpio_load_1\[3\].
Warning: ./spef/caravel.spef line 14344, *2814 not connected to net gpio_load_1\[4\].
Warning: ./spef/caravel.spef line 14345, *2813 not connected to net gpio_load_1\[4\].
Warning: ./spef/caravel.spef line 14412, *2813 not connected to net gpio_load_1\[4\].
Warning: ./spef/caravel.spef line 14422, *2814 not connected to net gpio_load_1\[4\].
Warning: ./spef/caravel.spef line 14442, *2815 not connected to net gpio_load_1\[5\].
Warning: ./spef/caravel.spef line 14443, *2814 not connected to net gpio_load_1\[5\].
Warning: ./spef/caravel.spef line 14525, *2814 not connected to net gpio_load_1\[5\].
Warning: ./spef/caravel.spef line 14527, *2815 not connected to net gpio_load_1\[5\].
Warning: ./spef/caravel.spef line 14554, *2816 not connected to net gpio_load_1\[6\].
Warning: ./spef/caravel.spef line 14555, *2815 not connected to net gpio_load_1\[6\].
Warning: ./spef/caravel.spef line 14608, *2815 not connected to net gpio_load_1\[6\].
Warning: ./spef/caravel.spef line 14609, *2816 not connected to net gpio_load_1\[6\].
Warning: ./spef/caravel.spef line 14634, *2817 not connected to net gpio_load_1\[7\].
Warning: ./spef/caravel.spef line 14635, *2816 not connected to net gpio_load_1\[7\].
Warning: ./spef/caravel.spef line 14766, *2816 not connected to net gpio_load_1\[7\].
Warning: ./spef/caravel.spef line 14769, *2817 not connected to net gpio_load_1\[7\].
Warning: ./spef/caravel.spef line 14801, *2818 not connected to net gpio_load_1\[8\].
Warning: ./spef/caravel.spef line 14802, *2817 not connected to net gpio_load_1\[8\].
Warning: ./spef/caravel.spef line 14917, *2817 not connected to net gpio_load_1\[8\].
Warning: ./spef/caravel.spef line 14919, *2818 not connected to net gpio_load_1\[8\].
Warning: ./spef/caravel.spef line 14943, *2804 not connected to net gpio_load_1\[9\].
Warning: ./spef/caravel.spef line 14946, *2818 not connected to net gpio_load_1\[9\].
Warning: ./spef/caravel.spef line 15070, *2818 not connected to net gpio_load_1\[9\].
Warning: ./spef/caravel.spef line 15092, *2804 not connected to net gpio_load_1\[9\].
Warning: ./spef/caravel.spef line 15102, *2803 not connected to net gpio_load_1_shifted\[0\].
Warning: ./spef/caravel.spef line 15151, *2803 not connected to net gpio_load_1_shifted\[0\].
Warning: ./spef/caravel.spef line 15268, *2810 not connected to net gpio_resetn_1\[0\].
Warning: ./spef/caravel.spef line 15271, *2803 not connected to net gpio_resetn_1\[0\].
Warning: ./spef/caravel.spef line 15345, *2803 not connected to net gpio_resetn_1\[0\].
Warning: ./spef/caravel.spef line 15366, *2810 not connected to net gpio_resetn_1\[0\].
Warning: ./spef/caravel.spef line 15378, *2805 not connected to net gpio_resetn_1\[10\].
Warning: ./spef/caravel.spef line 15379, *2804 not connected to net gpio_resetn_1\[10\].
Warning: ./spef/caravel.spef line 15455, *2804 not connected to net gpio_resetn_1\[10\].
Warning: ./spef/caravel.spef line 15465, *2805 not connected to net gpio_resetn_1\[10\].
Warning: ./spef/caravel.spef line 15490, *2806 not connected to net gpio_resetn_1\[11\].
Warning: ./spef/caravel.spef line 15491, *2805 not connected to net gpio_resetn_1\[11\].
Warning: ./spef/caravel.spef line 15578, *2805 not connected to net gpio_resetn_1\[11\].
Warning: ./spef/caravel.spef line 15589, *2806 not connected to net gpio_resetn_1\[11\].
Warning: ./spef/caravel.spef line 15614, *2807 not connected to net gpio_resetn_1\[12\].
Warning: ./spef/caravel.spef line 15615, *2806 not connected to net gpio_resetn_1\[12\].
Warning: ./spef/caravel.spef line 15679, *2806 not connected to net gpio_resetn_1\[12\].
Warning: ./spef/caravel.spef line 15682, *2807 not connected to net gpio_resetn_1\[12\].
Warning: ./spef/caravel.spef line 15708, *2808 not connected to net gpio_resetn_1\[13\].
Warning: ./spef/caravel.spef line 15709, *2807 not connected to net gpio_resetn_1\[13\].
Warning: ./spef/caravel.spef line 15770, *2807 not connected to net gpio_resetn_1\[13\].
Warning: ./spef/caravel.spef line 15779, *2808 not connected to net gpio_resetn_1\[13\].
Warning: ./spef/caravel.spef line 15805, *2809 not connected to net gpio_resetn_1\[14\].
Warning: ./spef/caravel.spef line 15806, *2808 not connected to net gpio_resetn_1\[14\].
Warning: ./spef/caravel.spef line 15866, *2808 not connected to net gpio_resetn_1\[14\].
Warning: ./spef/caravel.spef line 15870, *2809 not connected to net gpio_resetn_1\[14\].
Warning: ./spef/caravel.spef line 15892, *2783 not connected to net gpio_resetn_1\[15\].
Warning: ./spef/caravel.spef line 15893, *2809 not connected to net gpio_resetn_1\[15\].
Warning: ./spef/caravel.spef line 15950, *2809 not connected to net gpio_resetn_1\[15\].
Warning: ./spef/caravel.spef line 15953, *2783 not connected to net gpio_resetn_1\[15\].
Warning: ./spef/caravel.spef line 15976, *2784 not connected to net gpio_resetn_1\[16\].
Warning: ./spef/caravel.spef line 15977, *2783 not connected to net gpio_resetn_1\[16\].
Warning: ./spef/caravel.spef line 16051, *2783 not connected to net gpio_resetn_1\[16\].
Warning: ./spef/caravel.spef line 16052, *2784 not connected to net gpio_resetn_1\[16\].
Warning: ./spef/caravel.spef line 16065, *2785 not connected to net gpio_resetn_1\[17\].
Warning: ./spef/caravel.spef line 16066, *2784 not connected to net gpio_resetn_1\[17\].
Warning: ./spef/caravel.spef line 16154, *2784 not connected to net gpio_resetn_1\[17\].
Warning: ./spef/caravel.spef line 16158, *2785 not connected to net gpio_resetn_1\[17\].
Warning: ./spef/caravel.spef line 16168, *2785 not connected to net gpio_resetn_1\[18\].
Warning: ./spef/caravel.spef line 16255, *2785 not connected to net gpio_resetn_1\[18\].
Warning: ./spef/caravel.spef line 16267, *2811 not connected to net gpio_resetn_1\[1\].
Warning: ./spef/caravel.spef line 16268, *2810 not connected to net gpio_resetn_1\[1\].
Warning: ./spef/caravel.spef line 16336, *2810 not connected to net gpio_resetn_1\[1\].
Warning: ./spef/caravel.spef line 16344, *2811 not connected to net gpio_resetn_1\[1\].
Warning: ./spef/caravel.spef line 16366, *2812 not connected to net gpio_resetn_1\[2\].
Warning: ./spef/caravel.spef line 16369, *2811 not connected to net gpio_resetn_1\[2\].
Warning: ./spef/caravel.spef line 16421, *2811 not connected to net gpio_resetn_1\[2\].
Warning: ./spef/caravel.spef line 16437, *2812 not connected to net gpio_resetn_1\[2\].
Warning: ./spef/caravel.spef line 16449, *2813 not connected to net gpio_resetn_1\[3\].
Warning: ./spef/caravel.spef line 16450, *2812 not connected to net gpio_resetn_1\[3\].
Warning: ./spef/caravel.spef line 16518, *2812 not connected to net gpio_resetn_1\[3\].
Warning: ./spef/caravel.spef line 16525, *2813 not connected to net gpio_resetn_1\[3\].
Warning: ./spef/caravel.spef line 16549, *2814 not connected to net gpio_resetn_1\[4\].
Warning: ./spef/caravel.spef line 16550, *2813 not connected to net gpio_resetn_1\[4\].
Warning: ./spef/caravel.spef line 16609, *2813 not connected to net gpio_resetn_1\[4\].
Warning: ./spef/caravel.spef line 16621, *2814 not connected to net gpio_resetn_1\[4\].
Warning: ./spef/caravel.spef line 16640, *2815 not connected to net gpio_resetn_1\[5\].
Warning: ./spef/caravel.spef line 16641, *2814 not connected to net gpio_resetn_1\[5\].
Warning: ./spef/caravel.spef line 16724, *2814 not connected to net gpio_resetn_1\[5\].
Warning: ./spef/caravel.spef line 16730, *2815 not connected to net gpio_resetn_1\[5\].
Warning: ./spef/caravel.spef line 16757, *2816 not connected to net gpio_resetn_1\[6\].
Warning: ./spef/caravel.spef line 16758, *2815 not connected to net gpio_resetn_1\[6\].
Warning: ./spef/caravel.spef line 16848, *2815 not connected to net gpio_resetn_1\[6\].
Warning: ./spef/caravel.spef line 16853, *2816 not connected to net gpio_resetn_1\[6\].
Warning: ./spef/caravel.spef line 16878, *2817 not connected to net gpio_resetn_1\[7\].
Warning: ./spef/caravel.spef line 16879, *2816 not connected to net gpio_resetn_1\[7\].
Warning: ./spef/caravel.spef line 16957, *2816 not connected to net gpio_resetn_1\[7\].
Warning: ./spef/caravel.spef line 16959, *2817 not connected to net gpio_resetn_1\[7\].
Warning: ./spef/caravel.spef line 16984, *2818 not connected to net gpio_resetn_1\[8\].
Warning: ./spef/caravel.spef line 16987, *2817 not connected to net gpio_resetn_1\[8\].
Warning: ./spef/caravel.spef line 17065, *2817 not connected to net gpio_resetn_1\[8\].
Warning: ./spef/caravel.spef line 17085, *2818 not connected to net gpio_resetn_1\[8\].
Warning: ./spef/caravel.spef line 17097, *2804 not connected to net gpio_resetn_1\[9\].
Warning: ./spef/caravel.spef line 17098, *2818 not connected to net gpio_resetn_1\[9\].
Warning: ./spef/caravel.spef line 17176, *2818 not connected to net gpio_resetn_1\[9\].
Warning: ./spef/caravel.spef line 17185, *2804 not connected to net gpio_resetn_1\[9\].
Warning: ./spef/caravel.spef line 17207, *2803 not connected to net gpio_resetn_1_shifted\[0\].
Warning: ./spef/caravel.spef line 17259, *2803 not connected to net gpio_resetn_1_shifted\[0\].
Warning: ./spef/caravel.spef line 53740, *2819 not connected to net mprj_cyc_o_core.
Warning: ./spef/caravel.spef line 53775, *2819 not connected to net mprj_cyc_o_core.
Warning: ./spef/caravel.spef line 75154, *2823 not connected to net rstb_h.
Warning: ./spef/caravel.spef line 75155, *2742 not connected to net rstb_h.
Warning: ./spef/caravel.spef line 75179, *2742 not connected to net rstb_h.
Warning: ./spef/caravel.spef line 75184, *2823 not connected to net rstb_h.
set ::env(IO_PCT) "0.2"
set ::env(SYNTH_MAX_FANOUT) "5"
set ::env(SYNTH_CAP_LOAD) "33"
set ::env(SYNTH_TIMING_DERATE) 0.05
set ::env(SYNTH_CLOCK_UNCERTAINITY) 0.25
set ::env(SYNTH_CLOCK_TRANSITION) 0.15
## MASTER CLOCKS
create_clock [get_ports {"clock"} ] -name "clock" -period 25
set_propagated_clock [get_clocks {"clock"}]
## INPUT/OUTPUT DELAYS
set input_delay_value 1
set output_delay_value [expr 25 * $::env(IO_PCT)]
puts "\[INFO\]: Setting output delay to: $output_delay_value"
[INFO]: Setting output delay to: 5.0
puts "\[INFO\]: Setting input delay to: $input_delay_value"
[INFO]: Setting input delay to: 1
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {gpio}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[0]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[1]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[2]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[3]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[4]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[5]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[6]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[7]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[8]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[9]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[10]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[11]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[12]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[13]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[14]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[15]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[16]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[17]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[18]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[19]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[20]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[21]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[22]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[23]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[24]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[25]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[26]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[27]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[28]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[29]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[30]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[31]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[32]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[33]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[34]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[35]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[36]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[37]}]
set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_csb}]
set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_clk}]
set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io0}]
set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io1}]
set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design]
## Set system monitoring mux select to zero so that the clock/user_clk monitoring is disabled
set_case_analysis 0 [get_pins housekeeping/_4449_/S]
set_case_analysis 0 [get_pins housekeeping/_4450_/S]
## FALSE PATHS (ASYNCHRONOUS INPUTS)
set_false_path -from [get_ports {resetb}]
set_false_path -from [get_ports mprj_io[*]]
set_false_path -from [get_ports gpio]
# TODO set this as parameter
set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]
puts "\[INFO\]: Setting load to: $cap_load"
[INFO]: Setting load to: 0.033
set_load $cap_load [all_outputs]
puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %"
[INFO]: Setting timing derate to: 0.5 %
set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}]
set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}]
puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)"
[INFO]: Setting clock uncertainity to: 0.25
set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks {clock}]
puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)"
[INFO]: Setting clock transition to: 0.15
set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {clock}]
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9640_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.38 0.13 17.34 ^ housekeeping/_9640_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
17.34 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.01 5.74 ^ housekeeping/_9640_/CLK (sky130_fd_sc_hd__dfrtp_2)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.87 library removal time
5.87 data required time
-----------------------------------------------------------------------------
5.87 data required time
-17.34 data arrival time
-----------------------------------------------------------------------------
11.46 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9759_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.14 17.34 ^ housekeeping/_9759_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
17.34 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.01 5.74 ^ housekeeping/_9759_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.34 data arrival time
-----------------------------------------------------------------------------
11.46 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9587_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.14 17.34 ^ housekeeping/_9587_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
17.34 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.01 5.74 ^ housekeeping/_9587_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.34 data arrival time
-----------------------------------------------------------------------------
11.47 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9062_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.14 17.34 ^ housekeeping/_9062_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.34 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9062_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.87 library removal time
5.87 data required time
-----------------------------------------------------------------------------
5.87 data required time
-17.34 data arrival time
-----------------------------------------------------------------------------
11.47 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9119_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.14 17.35 ^ housekeeping/_9119_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.25 5.99 clock uncertainty
-0.66 5.33 clock reconvergence pessimism
0.54 5.87 library removal time
5.87 data required time
-----------------------------------------------------------------------------
5.87 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.47 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9067_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9067_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9067_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.47 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9064_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9064_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9064_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.47 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9060_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9060_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9060_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.33 clock reconvergence pessimism
0.54 5.87 library removal time
5.87 data required time
-----------------------------------------------------------------------------
5.87 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.47 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9066_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9066_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9066_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.25 5.99 clock uncertainty
-0.66 5.33 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.48 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9063_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9063_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9063_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.33 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.48 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9068_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9068_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9068_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.25 5.99 clock uncertainty
-0.66 5.33 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.48 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9061_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9061_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9061_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.33 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.48 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9666_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9666_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9666_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.48 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9665_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.15 17.35 ^ housekeeping/_9665_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9665_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.48 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9659_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.15 17.36 ^ housekeeping/_9659_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.36 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9659_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.36 data arrival time
-----------------------------------------------------------------------------
11.48 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9680_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.07 17.28 ^ housekeeping/_9680_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.28 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 5.68 ^ housekeeping/_9680_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.48 5.75 library removal time
5.75 data required time
-----------------------------------------------------------------------------
5.75 data required time
-17.28 data arrival time
-----------------------------------------------------------------------------
11.53 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9676_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.08 17.28 ^ housekeeping/_9676_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.28 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 5.68 ^ housekeeping/_9676_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.48 5.75 library removal time
5.75 data required time
-----------------------------------------------------------------------------
5.75 data required time
-17.28 data arrival time
-----------------------------------------------------------------------------
11.53 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9681_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.08 17.28 ^ housekeeping/_9681_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.28 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 5.68 ^ housekeeping/_9681_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.48 5.75 library removal time
5.75 data required time
-----------------------------------------------------------------------------
5.75 data required time
-17.28 data arrival time
-----------------------------------------------------------------------------
11.53 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9679_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.08 17.28 ^ housekeeping/_9679_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.28 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 5.68 ^ housekeeping/_9679_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.48 5.75 library removal time
5.75 data required time
-----------------------------------------------------------------------------
5.75 data required time
-17.28 data arrival time
-----------------------------------------------------------------------------
11.53 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9677_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.32 0.08 17.29 ^ housekeeping/_9677_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.29 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 5.68 ^ housekeeping/_9677_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.49 5.75 library removal time
5.75 data required time
-----------------------------------------------------------------------------
5.75 data required time
-17.29 data arrival time
-----------------------------------------------------------------------------
11.53 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9675_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.32 0.08 17.29 ^ housekeeping/_9675_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.29 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 5.68 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.49 5.76 library removal time
5.76 data required time
-----------------------------------------------------------------------------
5.76 data required time
-17.29 data arrival time
-----------------------------------------------------------------------------
11.53 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9678_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.32 0.09 17.29 ^ housekeeping/_9678_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.29 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 5.68 ^ housekeeping/_9678_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.49 5.76 library removal time
5.76 data required time
-----------------------------------------------------------------------------
5.76 data required time
-17.29 data arrival time
-----------------------------------------------------------------------------
11.54 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9663_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.15 17.36 ^ housekeeping/_9663_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.36 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 5.68 ^ housekeeping/_9663_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.28 clock reconvergence pessimism
0.52 5.79 library removal time
5.79 data required time
-----------------------------------------------------------------------------
5.79 data required time
-17.36 data arrival time
-----------------------------------------------------------------------------
11.56 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9664_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.15 17.36 ^ housekeeping/_9664_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.36 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 5.68 ^ housekeeping/_9664_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.52 5.79 library removal time
5.79 data required time
-----------------------------------------------------------------------------
5.79 data required time
-17.36 data arrival time
-----------------------------------------------------------------------------
11.56 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9660_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.15 17.36 ^ housekeeping/_9660_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.36 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 5.68 ^ housekeeping/_9660_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.28 clock reconvergence pessimism
0.52 5.79 library removal time
5.79 data required time
-----------------------------------------------------------------------------
5.79 data required time
-17.36 data arrival time
-----------------------------------------------------------------------------
11.56 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9661_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.15 17.36 ^ housekeeping/_9661_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.36 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 5.68 ^ housekeeping/_9661_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.52 5.79 library removal time
5.79 data required time
-----------------------------------------------------------------------------
5.79 data required time
-17.36 data arrival time
-----------------------------------------------------------------------------
11.56 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9662_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.15 17.36 ^ housekeeping/_9662_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.36 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 5.68 ^ housekeeping/_9662_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.52 5.79 library removal time
5.79 data required time
-----------------------------------------------------------------------------
5.79 data required time
-17.36 data arrival time
-----------------------------------------------------------------------------
11.56 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9065_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.14 17.35 ^ housekeeping/_9065_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.00 5.67 ^ housekeeping/_9065_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.92 clock uncertainty
-0.66 5.26 clock reconvergence pessimism
0.52 5.78 library removal time
5.78 data required time
-----------------------------------------------------------------------------
5.78 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.57 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9059_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9059_/SET_B (sky130_fd_sc_hd__dfstp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9059_/CLK (sky130_fd_sc_hd__dfstp_1)
0.25 5.99 clock uncertainty
-0.66 5.33 clock reconvergence pessimism
0.25 5.58 library removal time
5.58 data required time
-----------------------------------------------------------------------------
5.58 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.77 slack (MET)
Startpoint: soc/core/_37568_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_36378_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_0_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.27 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_0_1_core_clk (net)
0.05 0.00 4.27 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.40 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_0_2_core_clk (net)
0.05 0.00 4.40 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.11 4.51 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_1_0_3_core_clk (net)
0.03 0.00 4.51 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.24 0.24 4.75 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.08 soc/core/clknet_1_0_4_core_clk (net)
0.24 0.03 4.78 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.17 4.95 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_2_0_0_core_clk (net)
0.03 0.00 4.95 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.46 0.38 5.33 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.15 soc/core/clknet_2_0_1_core_clk (net)
0.46 0.03 5.36 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.21 5.57 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_3_1_0_core_clk (net)
0.04 0.00 5.57 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.10 5.68 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_3_1_1_core_clk (net)
0.03 0.00 5.68 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.08 0.14 5.82 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.02 soc/core/clknet_3_1_2_core_clk (net)
0.08 0.00 5.82 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.09 0.17 6.00 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_3_0_core_clk (net)
0.09 0.00 6.00 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 6.13 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_7_0_core_clk (net)
0.04 0.00 6.13 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.52 0.43 6.57 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
22 0.18 soc/core/clknet_5_7_1_core_clk (net)
0.52 0.00 6.57 ^ soc/core/clkbuf_leaf_383_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.28 6.85 ^ soc/core/clkbuf_leaf_383_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.08 soc/core/clknet_leaf_383_core_clk (net)
0.10 0.00 6.85 ^ soc/core/_37568_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.09 0.36 7.21 ^ soc/core/_37568_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.02 soc/core/VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[0] (net)
0.09 0.00 7.21 ^ soc/core/_33651_/A0 (sky130_fd_sc_hd__mux2_2)
0.14 0.23 7.43 ^ soc/core/_33651_/X (sky130_fd_sc_hd__mux2_2)
2 0.02 soc/core/_01775_ (net)
0.14 0.00 7.43 ^ soc/core/_33652_/A1 (sky130_fd_sc_hd__mux2_1)
0.04 0.14 7.58 ^ soc/core/_33652_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 soc/core/_00033_ (net)
0.04 0.00 7.58 ^ soc/core/_28173_/A1 (sky130_fd_sc_hd__a21o_1)
0.03 0.09 7.66 ^ soc/core/_28173_/X (sky130_fd_sc_hd__a21o_1)
1 0.00 soc/core/_03844_ (net)
0.03 0.00 7.66 ^ soc/core/_36378_/D (sky130_fd_sc_hd__dfxtp_1)
7.66 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_2_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_2_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.18 6.15 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_4_0_core_clk (net)
0.05 0.00 6.15 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.29 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_4_1_core_clk (net)
0.04 0.00 6.29 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.14 0.21 6.50 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_3_4_2_core_clk (net)
0.14 0.01 6.50 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.73 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_9_0_core_clk (net)
0.10 0.00 6.73 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.16 6.89 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_18_0_core_clk (net)
0.04 0.00 6.89 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.78 0.64 7.52 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
32 0.26 soc/core/clknet_5_18_1_core_clk (net)
0.79 0.09 7.61 ^ soc/core/clkbuf_leaf_373_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.34 7.94 ^ soc/core/clkbuf_leaf_373_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.07 soc/core/clknet_leaf_373_core_clk (net)
0.10 0.00 7.95 ^ soc/core/_36378_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.20 clock uncertainty
-0.71 7.48 clock reconvergence pessimism
-0.02 7.46 library hold time
7.46 data required time
-----------------------------------------------------------------------------
7.46 data required time
-7.66 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/hack_soc/_5083_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5083_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/hack_soc/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.26 5.23 ^ mprj/hack_soc/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/hack_soc/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 5.23 ^ mprj/hack_soc/_5083_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.32 5.55 ^ mprj/hack_soc/_5083_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/hack_soc/soc.ram_encoder_0.output_buffer[10] (net)
0.07 0.00 5.55 ^ mprj/hack_soc/_3925_/A1 (sky130_fd_sc_hd__o21a_1)
0.03 0.11 5.66 ^ mprj/hack_soc/_3925_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/hack_soc/_0113_ (net)
0.03 0.00 5.66 ^ mprj/hack_soc/_5083_/D (sky130_fd_sc_hd__dfxtp_1)
5.66 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/hack_soc/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 6.07 ^ mprj/hack_soc/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/hack_soc/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 6.07 ^ mprj/hack_soc/_5083_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.32 clock uncertainty
-0.84 5.48 clock reconvergence pessimism
-0.03 5.45 library hold time
5.45 data required time
-----------------------------------------------------------------------------
5.45 data required time
-5.66 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/hack_soc/_5445_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5445_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.55 5.08 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.08 ^ mprj/hack_soc/clkbuf_leaf_23_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.29 5.37 ^ mprj/hack_soc/clkbuf_leaf_23_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
19 0.06 mprj/hack_soc/clknet_leaf_23_wb_clk_i (net)
0.09 0.00 5.37 ^ mprj/hack_soc/_5445_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.05 0.31 5.68 v mprj/hack_soc/_5445_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 mprj/hack_soc/soc.hack_wait_clocks[0] (net)
0.05 0.00 5.68 v mprj/hack_soc/_4958_/A (sky130_fd_sc_hd__nand2_1)
0.04 0.05 5.74 ^ mprj/hack_soc/_4958_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 mprj/hack_soc/_2315_ (net)
0.04 0.00 5.74 ^ mprj/hack_soc/_4960_/A1 (sky130_fd_sc_hd__a21oi_1)
0.04 0.05 5.79 v mprj/hack_soc/_4960_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 mprj/hack_soc/_0442_ (net)
0.04 0.00 5.79 v mprj/hack_soc/_5445_/D (sky130_fd_sc_hd__dfxtp_1)
5.79 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.61 5.90 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.90 ^ mprj/hack_soc/clkbuf_leaf_23_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.32 6.22 ^ mprj/hack_soc/clkbuf_leaf_23_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
19 0.06 mprj/hack_soc/clknet_leaf_23_wb_clk_i (net)
0.09 0.00 6.23 ^ mprj/hack_soc/_5445_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.48 clock uncertainty
-0.85 5.62 clock reconvergence pessimism
-0.04 5.58 library hold time
5.58 data required time
-----------------------------------------------------------------------------
5.58 data required time
-5.79 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/hack_soc/_5446_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5446_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.68 0.54 5.06 ^ mprj/hack_soc/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_1_0_wb_clk_i (net)
0.68 0.00 5.06 ^ mprj/hack_soc/clkbuf_leaf_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.31 5.37 ^ mprj/hack_soc/clkbuf_leaf_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.08 mprj/hack_soc/clknet_leaf_1_wb_clk_i (net)
0.11 0.00 5.37 ^ mprj/hack_soc/_5446_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.33 5.70 ^ mprj/hack_soc/_5446_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 mprj/hack_soc/soc.hack_wait_clocks[1] (net)
0.08 0.00 5.70 ^ mprj/hack_soc/_4961_/A1 (sky130_fd_sc_hd__a21o_1)
0.03 0.10 5.81 ^ mprj/hack_soc/_4961_/X (sky130_fd_sc_hd__a21o_1)
1 0.00 mprj/hack_soc/_0443_ (net)
0.03 0.00 5.81 ^ mprj/hack_soc/_5446_/D (sky130_fd_sc_hd__dfxtp_1)
5.81 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/hack_soc/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.68 0.59 5.88 ^ mprj/hack_soc/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_1_0_wb_clk_i (net)
0.68 0.01 5.88 ^ mprj/hack_soc/clkbuf_leaf_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.34 6.22 ^ mprj/hack_soc/clkbuf_leaf_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.08 mprj/hack_soc/clknet_leaf_1_wb_clk_i (net)
0.11 0.00 6.23 ^ mprj/hack_soc/_5446_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.48 clock uncertainty
-0.85 5.62 clock reconvergence pessimism
-0.02 5.60 library hold time
5.60 data required time
-----------------------------------------------------------------------------
5.60 data required time
-5.81 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/hack_soc/_5275_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5013_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/hack_soc/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.27 5.24 ^ mprj/hack_soc/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.06 mprj/hack_soc/clknet_leaf_20_wb_clk_i (net)
0.09 0.00 5.24 ^ mprj/hack_soc/_5275_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.32 5.56 ^ mprj/hack_soc/_5275_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/hack_soc/soc.ram_encoder_0.request_address[1] (net)
0.07 0.00 5.56 ^ mprj/hack_soc/_3703_/B2 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.67 ^ mprj/hack_soc/_3703_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/hack_soc/_0048_ (net)
0.04 0.00 5.67 ^ mprj/hack_soc/_5013_/D (sky130_fd_sc_hd__dfxtp_1)
5.67 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/hack_soc/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.30 6.08 ^ mprj/hack_soc/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.06 mprj/hack_soc/clknet_leaf_20_wb_clk_i (net)
0.09 0.00 6.08 ^ mprj/hack_soc/_5013_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.33 clock uncertainty
-0.84 5.49 clock reconvergence pessimism
-0.02 5.47 library hold time
5.47 data required time
-----------------------------------------------------------------------------
5.47 data required time
-5.67 data arrival time
-----------------------------------------------------------------------------
0.21 slack (MET)
Startpoint: mprj/hack_soc/_5180_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5010_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.55 5.08 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_0_0_wb_clk_i (net)
0.70 0.01 5.08 ^ mprj/hack_soc/clkbuf_leaf_25_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.29 5.37 ^ mprj/hack_soc/clkbuf_leaf_25_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
18 0.06 mprj/hack_soc/clknet_leaf_25_wb_clk_i (net)
0.09 0.00 5.37 ^ mprj/hack_soc/_5180_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.32 5.70 ^ mprj/hack_soc/_5180_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/hack_soc/soc.rom_encoder_0.request_address[0] (net)
0.07 0.00 5.70 ^ mprj/hack_soc/_3686_/B2 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.81 ^ mprj/hack_soc/_3686_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/hack_soc/_0045_ (net)
0.04 0.00 5.81 ^ mprj/hack_soc/_5010_/D (sky130_fd_sc_hd__dfxtp_1)
5.81 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.61 5.90 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_0_0_wb_clk_i (net)
0.70 0.01 5.90 ^ mprj/hack_soc/clkbuf_leaf_25_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.32 6.23 ^ mprj/hack_soc/clkbuf_leaf_25_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
18 0.06 mprj/hack_soc/clknet_leaf_25_wb_clk_i (net)
0.09 0.00 6.23 ^ mprj/hack_soc/_5010_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.48 clock uncertainty
-0.85 5.62 clock reconvergence pessimism
-0.02 5.60 library hold time
5.60 data required time
-----------------------------------------------------------------------------
5.60 data required time
-5.81 data arrival time
-----------------------------------------------------------------------------
0.21 slack (MET)
Startpoint: mprj/hack_soc/_5179_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5179_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.68 0.54 5.06 ^ mprj/hack_soc/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_1_0_wb_clk_i (net)
0.68 0.01 5.07 ^ mprj/hack_soc/clkbuf_leaf_30_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.26 5.32 ^ mprj/hack_soc/clkbuf_leaf_30_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 mprj/hack_soc/clknet_leaf_30_wb_clk_i (net)
0.06 0.00 5.32 ^ mprj/hack_soc/_5179_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.10 0.33 5.65 ^ mprj/hack_soc/_5179_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 mprj/hack_soc/soc.rom_encoder_0.input_bits_left[4] (net)
0.10 0.00 5.65 ^ mprj/hack_soc/_4168_/A1 (sky130_fd_sc_hd__a21o_1)
0.03 0.10 5.75 ^ mprj/hack_soc/_4168_/X (sky130_fd_sc_hd__a21o_1)
1 0.00 mprj/hack_soc/_0207_ (net)
0.03 0.00 5.75 ^ mprj/hack_soc/_5179_/D (sky130_fd_sc_hd__dfxtp_1)
5.75 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/hack_soc/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.68 0.59 5.88 ^ mprj/hack_soc/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_1_0_wb_clk_i (net)
0.68 0.01 5.89 ^ mprj/hack_soc/clkbuf_leaf_30_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.28 6.17 ^ mprj/hack_soc/clkbuf_leaf_30_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 mprj/hack_soc/clknet_leaf_30_wb_clk_i (net)
0.06 0.00 6.17 ^ mprj/hack_soc/_5179_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.42 clock uncertainty
-0.85 5.57 clock reconvergence pessimism
-0.03 5.54 library hold time
5.54 data required time
-----------------------------------------------------------------------------
5.54 data required time
-5.75 data arrival time
-----------------------------------------------------------------------------
0.21 slack (MET)
Startpoint: mprj/hack_soc/_5276_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5012_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/hack_soc/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.27 5.24 ^ mprj/hack_soc/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.06 mprj/hack_soc/clknet_leaf_20_wb_clk_i (net)
0.09 0.00 5.24 ^ mprj/hack_soc/_5276_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.32 5.56 ^ mprj/hack_soc/_5276_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/hack_soc/soc.ram_encoder_0.request_address[2] (net)
0.07 0.00 5.56 ^ mprj/hack_soc/_3702_/B2 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.68 ^ mprj/hack_soc/_3702_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/hack_soc/_0047_ (net)
0.04 0.00 5.68 ^ mprj/hack_soc/_5012_/D (sky130_fd_sc_hd__dfxtp_1)
5.68 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/hack_soc/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.30 6.08 ^ mprj/hack_soc/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.06 mprj/hack_soc/clknet_leaf_20_wb_clk_i (net)
0.09 0.00 6.08 ^ mprj/hack_soc/_5012_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.33 clock uncertainty
-0.84 5.49 clock reconvergence pessimism
-0.03 5.47 library hold time
5.47 data required time
-----------------------------------------------------------------------------
5.47 data required time
-5.68 data arrival time
-----------------------------------------------------------------------------
0.21 slack (MET)
Startpoint: mprj/hack_soc/_5178_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5178_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.55 5.08 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.08 ^ mprj/hack_soc/clkbuf_leaf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.28 5.36 ^ mprj/hack_soc/clkbuf_leaf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/hack_soc/clknet_leaf_0_wb_clk_i (net)
0.08 0.00 5.36 ^ mprj/hack_soc/_5178_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.33 5.69 ^ mprj/hack_soc/_5178_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 mprj/hack_soc/soc.rom_encoder_0.input_bits_left[3] (net)
0.08 0.00 5.69 ^ mprj/hack_soc/_4166_/A1 (sky130_fd_sc_hd__a211o_1)
0.03 0.11 5.80 ^ mprj/hack_soc/_4166_/X (sky130_fd_sc_hd__a211o_1)
1 0.00 mprj/hack_soc/_0206_ (net)
0.03 0.00 5.80 ^ mprj/hack_soc/_5178_/D (sky130_fd_sc_hd__dfxtp_1)
5.80 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.61 5.90 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.90 ^ mprj/hack_soc/clkbuf_leaf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.31 6.21 ^ mprj/hack_soc/clkbuf_leaf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/hack_soc/clknet_leaf_0_wb_clk_i (net)
0.08 0.00 6.21 ^ mprj/hack_soc/_5178_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.46 clock uncertainty
-0.85 5.61 clock reconvergence pessimism
-0.02 5.59 library hold time
5.59 data required time
-----------------------------------------------------------------------------
5.59 data required time
-5.80 data arrival time
-----------------------------------------------------------------------------
0.21 slack (MET)
Startpoint: mprj/hack_soc/_5181_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5009_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.55 5.08 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.08 ^ mprj/hack_soc/clkbuf_leaf_26_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.28 5.36 ^ mprj/hack_soc/clkbuf_leaf_26_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
16 0.05 mprj/hack_soc/clknet_leaf_26_wb_clk_i (net)
0.08 0.00 5.36 ^ mprj/hack_soc/_5181_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.32 5.68 ^ mprj/hack_soc/_5181_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/hack_soc/soc.rom_encoder_0.request_address[1] (net)
0.07 0.00 5.68 ^ mprj/hack_soc/_3685_/B2 (sky130_fd_sc_hd__a22o_1)
0.05 0.12 5.80 ^ mprj/hack_soc/_3685_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/hack_soc/_0044_ (net)
0.05 0.00 5.80 ^ mprj/hack_soc/_5009_/D (sky130_fd_sc_hd__dfxtp_1)
5.80 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.61 5.90 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.90 ^ mprj/hack_soc/clkbuf_leaf_26_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.31 6.21 ^ mprj/hack_soc/clkbuf_leaf_26_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
16 0.05 mprj/hack_soc/clknet_leaf_26_wb_clk_i (net)
0.08 0.00 6.22 ^ mprj/hack_soc/_5009_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.47 clock uncertainty
-0.85 5.61 clock reconvergence pessimism
-0.03 5.59 library hold time
5.59 data required time
-----------------------------------------------------------------------------
5.59 data required time
-5.80 data arrival time
-----------------------------------------------------------------------------
0.21 slack (MET)
Startpoint: mprj/hack_soc/_5086_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5086_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/hack_soc/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.25 5.22 ^ mprj/hack_soc/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
11 0.04 mprj/hack_soc/clknet_leaf_17_wb_clk_i (net)
0.07 0.00 5.22 ^ mprj/hack_soc/_5086_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.32 5.55 ^ mprj/hack_soc/_5086_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/hack_soc/soc.ram_encoder_0.output_buffer[13] (net)
0.08 0.00 5.55 ^ mprj/hack_soc/_3935_/A1 (sky130_fd_sc_hd__o21a_1)
0.04 0.11 5.66 ^ mprj/hack_soc/_3935_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/hack_soc/_0116_ (net)
0.04 0.00 5.66 ^ mprj/hack_soc/_5086_/D (sky130_fd_sc_hd__dfxtp_1)
5.66 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/hack_soc/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 6.06 ^ mprj/hack_soc/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
11 0.04 mprj/hack_soc/clknet_leaf_17_wb_clk_i (net)
0.07 0.00 6.06 ^ mprj/hack_soc/_5086_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.31 clock uncertainty
-0.84 5.47 clock reconvergence pessimism
-0.03 5.45 library hold time
5.45 data required time
-----------------------------------------------------------------------------
5.45 data required time
-5.66 data arrival time
-----------------------------------------------------------------------------
0.21 slack (MET)
Startpoint: mprj/hack_soc/_5273_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5273_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.49 0.41 4.93 ^ mprj/hack_soc/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.09 mprj/hack_soc/clknet_2_3_0_wb_clk_i (net)
0.49 0.00 4.93 ^ mprj/hack_soc/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.24 5.18 ^ mprj/hack_soc/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
10 0.04 mprj/hack_soc/clknet_leaf_13_wb_clk_i (net)
0.07 0.00 5.18 ^ mprj/hack_soc/_5273_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.10 0.33 5.51 ^ mprj/hack_soc/_5273_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 mprj/hack_soc/soc.ram_encoder_0.input_bits_left[4] (net)
0.10 0.00 5.51 ^ mprj/hack_soc/_4518_/A1 (sky130_fd_sc_hd__a21o_1)
0.03 0.11 5.62 ^ mprj/hack_soc/_4518_/X (sky130_fd_sc_hd__a21o_1)
1 0.00 mprj/hack_soc/_0301_ (net)
0.03 0.00 5.62 ^ mprj/hack_soc/_5273_/D (sky130_fd_sc_hd__dfxtp_1)
5.62 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/hack_soc/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.49 0.46 5.74 ^ mprj/hack_soc/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.09 mprj/hack_soc/clknet_2_3_0_wb_clk_i (net)
0.49 0.00 5.74 ^ mprj/hack_soc/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.27 6.01 ^ mprj/hack_soc/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
10 0.04 mprj/hack_soc/clknet_leaf_13_wb_clk_i (net)
0.07 0.00 6.01 ^ mprj/hack_soc/_5273_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.26 clock uncertainty
-0.83 5.43 clock reconvergence pessimism
-0.03 5.40 library hold time
5.40 data required time
-----------------------------------------------------------------------------
5.40 data required time
-5.62 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: soc/core/_38793_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_38144_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 5.61 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.20 5.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_15_0_core_clk (net)
0.11 0.00 5.82 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.96 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_30_0_core_clk (net)
0.04 0.00 5.96 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.79 0.60 6.56 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.27 soc/core/clknet_5_30_1_core_clk (net)
0.79 0.03 6.60 ^ soc/core/clkbuf_leaf_171_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.28 6.88 ^ soc/core/clkbuf_leaf_171_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
10 0.04 soc/core/clknet_leaf_171_core_clk (net)
0.08 0.00 6.88 ^ soc/core/_38793_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.03 0.33 7.21 v soc/core/_38793_/Q (sky130_fd_sc_hd__dfxtp_4)
2 0.01 soc/core/mgmtsoc_master_rx_fifo_source_payload_data[2] (net)
0.03 0.00 7.21 v soc/core/hold1910/A (sky130_fd_sc_hd__buf_4)
0.10 0.18 7.39 v soc/core/hold1910/X (sky130_fd_sc_hd__buf_4)
2 0.07 soc/core/net4759 (net)
0.10 0.01 7.40 v soc/core/_22635_/B (sky130_fd_sc_hd__nand3_1)
0.10 0.14 7.54 ^ soc/core/_22635_/Y (sky130_fd_sc_hd__nand3_1)
1 0.01 soc/core/_11030_ (net)
0.10 0.00 7.54 ^ soc/core/_22639_/A1 (sky130_fd_sc_hd__a31oi_4)
0.06 0.13 7.67 v soc/core/_22639_/Y (sky130_fd_sc_hd__a31oi_4)
2 0.03 soc/core/_05610_ (net)
0.06 0.00 7.68 v soc/core/_38144_/D (sky130_fd_sc_hd__dfxtp_1)
7.68 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.01 6.49 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.21 6.71 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_13_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.86 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_26_0_core_clk (net)
0.04 0.00 6.86 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
1.01 0.84 7.70 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.35 soc/core/clknet_5_26_1_core_clk (net)
1.01 0.03 7.73 ^ soc/core/clkbuf_leaf_146_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.35 8.09 ^ soc/core/clkbuf_leaf_146_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.07 soc/core/clknet_leaf_146_core_clk (net)
0.10 0.00 8.09 ^ soc/core/_38144_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.34 clock uncertainty
-0.83 7.51 clock reconvergence pessimism
-0.05 7.46 library hold time
7.46 data required time
-----------------------------------------------------------------------------
7.46 data required time
-7.68 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: mprj/hack_soc/_5277_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5011_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/hack_soc/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.27 5.24 ^ mprj/hack_soc/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.06 mprj/hack_soc/clknet_leaf_20_wb_clk_i (net)
0.09 0.00 5.24 ^ mprj/hack_soc/_5277_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.33 5.57 ^ mprj/hack_soc/_5277_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/hack_soc/soc.ram_encoder_0.request_address[3] (net)
0.08 0.00 5.57 ^ mprj/hack_soc/_3701_/B2 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.68 ^ mprj/hack_soc/_3701_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/hack_soc/_0046_ (net)
0.04 0.00 5.68 ^ mprj/hack_soc/_5011_/D (sky130_fd_sc_hd__dfxtp_1)
5.68 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/hack_soc/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.30 6.08 ^ mprj/hack_soc/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.06 mprj/hack_soc/clknet_leaf_20_wb_clk_i (net)
0.09 0.00 6.08 ^ mprj/hack_soc/_5011_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.33 clock uncertainty
-0.84 5.49 clock reconvergence pessimism
-0.02 5.47 library hold time
5.47 data required time
-----------------------------------------------------------------------------
5.47 data required time
-5.68 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: mprj/hack_soc/_5094_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5094_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/hack_soc/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.24 5.21 ^ mprj/hack_soc/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/hack_soc/clknet_leaf_22_wb_clk_i (net)
0.06 0.00 5.21 ^ mprj/hack_soc/_5094_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.32 5.53 ^ mprj/hack_soc/_5094_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/hack_soc/soc.ram_encoder_0.data_out[1] (net)
0.08 0.00 5.53 ^ mprj/hack_soc/_3970_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.12 5.65 ^ mprj/hack_soc/_3970_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/hack_soc/_0124_ (net)
0.04 0.00 5.65 ^ mprj/hack_soc/_5094_/D (sky130_fd_sc_hd__dfxtp_1)
5.65 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/hack_soc/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.27 6.05 ^ mprj/hack_soc/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/hack_soc/clknet_leaf_22_wb_clk_i (net)
0.06 0.00 6.05 ^ mprj/hack_soc/_5094_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.30 clock uncertainty
-0.84 5.46 clock reconvergence pessimism
-0.03 5.43 library hold time
5.43 data required time
-----------------------------------------------------------------------------
5.43 data required time
-5.65 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: soc/core/_35419_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_35478_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 5.61 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.20 5.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_14_0_core_clk (net)
0.10 0.00 5.81 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.95 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_29_0_core_clk (net)
0.04 0.00 5.95 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.70 0.56 6.51 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.24 soc/core/clknet_5_29_1_core_clk (net)
0.70 0.01 6.52 ^ soc/core/clkbuf_leaf_283_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.30 6.82 ^ soc/core/clkbuf_leaf_283_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.08 soc/core/clknet_leaf_283_core_clk (net)
0.11 0.00 6.82 ^ soc/core/_35419_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.32 7.14 ^ soc/core/_35419_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][27] (net)
0.06 0.00 7.14 ^ soc/core/_32821_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 7.26 ^ soc/core/_32821_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags$rdreg[0]$d[27] (net)
0.05 0.00 7.26 ^ soc/core/_30172_/A1 (sky130_fd_sc_hd__mux2_1)
0.04 0.11 7.37 ^ soc/core/_30172_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 soc/core/_15886_ (net)
0.04 0.00 7.37 ^ soc/core/_30173_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 7.45 ^ soc/core/_30173_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/_02944_ (net)
0.04 0.00 7.45 ^ soc/core/_35478_/D (sky130_fd_sc_hd__dfxtp_1)
7.45 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_2_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_2_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.06 0.19 6.16 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_5_0_core_clk (net)
0.06 0.00 6.16 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 6.30 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_5_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.20 6.49 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_5_2_core_clk (net)
0.12 0.01 6.50 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.22 6.72 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_11_0_core_clk (net)
0.11 0.00 6.72 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.16 6.88 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_23_0_core_clk (net)
0.04 0.00 6.88 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.66 0.58 7.46 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.22 soc/core/clknet_5_23_1_core_clk (net)
0.66 0.01 7.47 ^ soc/core/clkbuf_leaf_282_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.31 7.79 ^ soc/core/clkbuf_leaf_282_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.06 soc/core/clknet_leaf_282_core_clk (net)
0.09 0.00 7.79 ^ soc/core/_35478_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.04 clock uncertainty
-0.79 7.25 clock reconvergence pessimism
-0.02 7.23 library hold time
7.23 data required time
-----------------------------------------------------------------------------
7.23 data required time
-7.45 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: mprj/hack_soc/_5014_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5014_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/hack_soc/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.26 5.23 ^ mprj/hack_soc/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/hack_soc/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 5.23 ^ mprj/hack_soc/_5014_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.32 5.55 ^ mprj/hack_soc/_5014_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/hack_soc/soc.ram_encoder_0.output_buffer[1] (net)
0.07 0.00 5.55 ^ mprj/hack_soc/_3704_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.12 5.67 ^ mprj/hack_soc/_3704_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/hack_soc/_0049_ (net)
0.04 0.00 5.67 ^ mprj/hack_soc/_5014_/D (sky130_fd_sc_hd__dfxtp_1)
5.67 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/hack_soc/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 6.07 ^ mprj/hack_soc/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/hack_soc/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 6.07 ^ mprj/hack_soc/_5014_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.32 clock uncertainty
-0.84 5.48 clock reconvergence pessimism
-0.03 5.45 library hold time
5.45 data required time
-----------------------------------------------------------------------------
5.45 data required time
-5.67 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: mprj/hack_soc/_5093_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5093_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/hack_soc/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.24 5.21 ^ mprj/hack_soc/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/hack_soc/clknet_leaf_22_wb_clk_i (net)
0.06 0.00 5.21 ^ mprj/hack_soc/_5093_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.32 5.53 ^ mprj/hack_soc/_5093_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/hack_soc/soc.ram_encoder_0.data_out[0] (net)
0.08 0.00 5.53 ^ mprj/hack_soc/_3969_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.12 5.65 ^ mprj/hack_soc/_3969_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/hack_soc/_0123_ (net)
0.04 0.00 5.65 ^ mprj/hack_soc/_5093_/D (sky130_fd_sc_hd__dfxtp_1)
5.65 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/hack_soc/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.27 6.05 ^ mprj/hack_soc/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/hack_soc/clknet_leaf_22_wb_clk_i (net)
0.06 0.00 6.05 ^ mprj/hack_soc/_5093_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.30 clock uncertainty
-0.84 5.46 clock reconvergence pessimism
-0.03 5.43 library hold time
5.43 data required time
-----------------------------------------------------------------------------
5.43 data required time
-5.65 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: mprj/hack_soc/_5350_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5173_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.55 5.08 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_0_0_wb_clk_i (net)
0.70 0.01 5.08 ^ mprj/hack_soc/clkbuf_leaf_28_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.25 5.33 ^ mprj/hack_soc/clkbuf_leaf_28_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 mprj/hack_soc/clknet_leaf_28_wb_clk_i (net)
0.05 0.00 5.33 ^ mprj/hack_soc/_5350_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.09 0.33 5.66 ^ mprj/hack_soc/_5350_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.01 mprj/hack_soc/soc.rom_encoder_0.data_out[12] (net)
0.09 0.00 5.66 ^ mprj/hack_soc/_4144_/A0 (sky130_fd_sc_hd__mux2_1)
0.04 0.13 5.79 ^ mprj/hack_soc/_4144_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 mprj/hack_soc/_1741_ (net)
0.04 0.00 5.79 ^ mprj/hack_soc/_4145_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 5.86 ^ mprj/hack_soc/_4145_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 mprj/hack_soc/_0201_ (net)
0.04 0.00 5.86 ^ mprj/hack_soc/_5173_/D (sky130_fd_sc_hd__dfxtp_2)
5.86 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.61 5.90 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_0_0_wb_clk_i (net)
0.70 0.01 5.90 ^ mprj/hack_soc/clkbuf_leaf_27_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.32 6.23 ^ mprj/hack_soc/clkbuf_leaf_27_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
23 0.07 mprj/hack_soc/clknet_leaf_27_wb_clk_i (net)
0.10 0.00 6.23 ^ mprj/hack_soc/_5173_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 6.48 clock uncertainty
-0.82 5.66 clock reconvergence pessimism
-0.02 5.64 library hold time
5.64 data required time
-----------------------------------------------------------------------------
5.64 data required time
-5.86 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: mprj/hack_soc/_5096_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5096_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/hack_soc/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.24 5.21 ^ mprj/hack_soc/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/hack_soc/clknet_leaf_22_wb_clk_i (net)
0.06 0.00 5.21 ^ mprj/hack_soc/_5096_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.32 5.53 ^ mprj/hack_soc/_5096_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/hack_soc/soc.ram_encoder_0.data_out[3] (net)
0.08 0.00 5.53 ^ mprj/hack_soc/_3974_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.13 5.66 ^ mprj/hack_soc/_3974_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/hack_soc/_0126_ (net)
0.04 0.00 5.66 ^ mprj/hack_soc/_5096_/D (sky130_fd_sc_hd__dfxtp_1)
5.66 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/hack_soc/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.27 6.05 ^ mprj/hack_soc/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/hack_soc/clknet_leaf_22_wb_clk_i (net)
0.06 0.00 6.05 ^ mprj/hack_soc/_5096_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.30 clock uncertainty
-0.84 5.46 clock reconvergence pessimism
-0.03 5.43 library hold time
5.43 data required time
-----------------------------------------------------------------------------
5.43 data required time
-5.66 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: soc/core/_38376_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_38192_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 5.44 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.18 5.61 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 5.61 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.20 5.81 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 5.81 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.95 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 5.95 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.64 6.59 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 6.62 ^ soc/core/clkbuf_leaf_126_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.31 6.93 ^ soc/core/clkbuf_leaf_126_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.07 soc/core/clknet_leaf_126_core_clk (net)
0.10 0.00 6.93 ^ soc/core/_38376_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.06 0.34 7.28 v soc/core/_38376_/Q (sky130_fd_sc_hd__dfxtp_2)
5 0.02 soc/core/mgmtsoc_bus_errors[18] (net)
0.06 0.00 7.28 v soc/core/hold1504/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.09 0.18 7.46 v soc/core/hold1504/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 soc/core/net4353 (net)
0.09 0.00 7.46 v soc/core/_22466_/B (sky130_fd_sc_hd__nand3_2)
0.09 0.12 7.58 ^ soc/core/_22466_/Y (sky130_fd_sc_hd__nand3_2)
1 0.01 soc/core/_10909_ (net)
0.09 0.00 7.58 ^ soc/core/_22467_/A2 (sky130_fd_sc_hd__a21oi_1)
0.05 0.07 7.65 v soc/core/_22467_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 soc/core/_05658_ (net)
0.05 0.00 7.65 v soc/core/_38192_/D (sky130_fd_sc_hd__dfxtp_1)
7.65 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.01 6.49 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.21 6.71 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_13_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.86 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_26_0_core_clk (net)
0.04 0.00 6.86 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
1.01 0.84 7.70 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.35 soc/core/clknet_5_26_1_core_clk (net)
1.01 0.04 7.74 ^ soc/core/clkbuf_leaf_145_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.36 8.10 ^ soc/core/clkbuf_leaf_145_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.07 soc/core/clknet_leaf_145_core_clk (net)
0.11 0.00 8.10 ^ soc/core/_38192_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.35 clock uncertainty
-0.88 7.47 clock reconvergence pessimism
-0.04 7.43 library hold time
7.43 data required time
-----------------------------------------------------------------------------
7.43 data required time
-7.65 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: soc/core/_35415_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_35474_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 5.61 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.20 5.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_14_0_core_clk (net)
0.10 0.00 5.81 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.95 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_29_0_core_clk (net)
0.04 0.00 5.95 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.70 0.56 6.51 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.24 soc/core/clknet_5_29_1_core_clk (net)
0.71 0.03 6.54 ^ soc/core/clkbuf_leaf_285_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.30 6.84 ^ soc/core/clkbuf_leaf_285_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.08 soc/core/clknet_leaf_285_core_clk (net)
0.10 0.00 6.84 ^ soc/core/_35415_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.32 7.16 ^ soc/core/_35415_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][23] (net)
0.06 0.00 7.16 ^ soc/core/_32817_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 7.28 ^ soc/core/_32817_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags$rdreg[0]$d[23] (net)
0.05 0.00 7.28 ^ soc/core/_30180_/A1 (sky130_fd_sc_hd__mux2_1)
0.04 0.12 7.39 ^ soc/core/_30180_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 soc/core/_15890_ (net)
0.04 0.00 7.39 ^ soc/core/_30181_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 7.46 ^ soc/core/_30181_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/_02940_ (net)
0.04 0.00 7.46 ^ soc/core/_35474_/D (sky130_fd_sc_hd__dfxtp_1)
7.46 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_2_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_2_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.06 0.19 6.16 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_5_0_core_clk (net)
0.06 0.00 6.16 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 6.30 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_5_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.20 6.49 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_5_2_core_clk (net)
0.12 0.01 6.50 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.22 6.72 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_11_0_core_clk (net)
0.11 0.00 6.72 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.16 6.88 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_23_0_core_clk (net)
0.04 0.00 6.88 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.66 0.58 7.46 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.22 soc/core/clknet_5_23_1_core_clk (net)
0.66 0.03 7.50 ^ soc/core/clkbuf_leaf_286_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.30 7.80 ^ soc/core/clkbuf_leaf_286_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.04 soc/core/clknet_leaf_286_core_clk (net)
0.08 0.00 7.80 ^ soc/core/_35474_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.05 clock uncertainty
-0.79 7.26 clock reconvergence pessimism
-0.03 7.24 library hold time
7.24 data required time
-----------------------------------------------------------------------------
7.24 data required time
-7.46 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: mprj/hack_soc/_5095_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5095_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/hack_soc/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.24 5.21 ^ mprj/hack_soc/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/hack_soc/clknet_leaf_22_wb_clk_i (net)
0.06 0.00 5.21 ^ mprj/hack_soc/_5095_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.32 5.53 ^ mprj/hack_soc/_5095_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/hack_soc/soc.ram_encoder_0.data_out[2] (net)
0.08 0.00 5.53 ^ mprj/hack_soc/_3971_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.13 5.66 ^ mprj/hack_soc/_3971_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/hack_soc/_0125_ (net)
0.04 0.00 5.66 ^ mprj/hack_soc/_5095_/D (sky130_fd_sc_hd__dfxtp_1)
5.66 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/hack_soc/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.27 6.05 ^ mprj/hack_soc/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/hack_soc/clknet_leaf_22_wb_clk_i (net)
0.06 0.00 6.05 ^ mprj/hack_soc/_5095_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.30 clock uncertainty
-0.84 5.46 clock reconvergence pessimism
-0.03 5.43 library hold time
5.43 data required time
-----------------------------------------------------------------------------
5.43 data required time
-5.66 data arrival time
-----------------------------------------------------------------------------
0.23 slack (MET)
Startpoint: mprj/hack_soc/_5085_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5085_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/hack_soc/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.26 5.23 ^ mprj/hack_soc/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/hack_soc/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 5.23 ^ mprj/hack_soc/_5085_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.09 0.33 5.56 ^ mprj/hack_soc/_5085_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/hack_soc/soc.ram_encoder_0.output_buffer[12] (net)
0.09 0.00 5.56 ^ mprj/hack_soc/_3931_/A1 (sky130_fd_sc_hd__o21a_1)
0.04 0.12 5.68 ^ mprj/hack_soc/_3931_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/hack_soc/_0115_ (net)
0.04 0.00 5.68 ^ mprj/hack_soc/_5085_/D (sky130_fd_sc_hd__dfxtp_1)
5.68 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/hack_soc/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 6.07 ^ mprj/hack_soc/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/hack_soc/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 6.07 ^ mprj/hack_soc/_5085_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.32 clock uncertainty
-0.84 5.48 clock reconvergence pessimism
-0.03 5.45 library hold time
5.45 data required time
-----------------------------------------------------------------------------
5.45 data required time
-5.68 data arrival time
-----------------------------------------------------------------------------
0.23 slack (MET)
Startpoint: mprj/hack_soc/_5045_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5045_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.55 5.08 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.08 ^ mprj/hack_soc/clkbuf_leaf_29_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.26 5.34 ^ mprj/hack_soc/clkbuf_leaf_29_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/hack_soc/clknet_leaf_29_wb_clk_i (net)
0.06 0.00 5.34 ^ mprj/hack_soc/_5045_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.32 5.67 v mprj/hack_soc/_5045_/Q (sky130_fd_sc_hd__dfxtp_1)
5 0.01 mprj/hack_soc/soc.spi_video_ram_1.state_counter[4] (net)
0.07 0.00 5.67 v mprj/hack_soc/_3802_/A1 (sky130_fd_sc_hd__a21oi_1)
0.08 0.12 5.79 ^ mprj/hack_soc/_3802_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 mprj/hack_soc/_0075_ (net)
0.08 0.00 5.79 ^ mprj/hack_soc/_5045_/D (sky130_fd_sc_hd__dfxtp_1)
5.79 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.61 5.90 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.90 ^ mprj/hack_soc/clkbuf_leaf_29_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.29 6.19 ^ mprj/hack_soc/clkbuf_leaf_29_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/hack_soc/clknet_leaf_29_wb_clk_i (net)
0.06 0.00 6.19 ^ mprj/hack_soc/_5045_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.44 clock uncertainty
-0.85 5.59 clock reconvergence pessimism
-0.04 5.56 library hold time
5.56 data required time
-----------------------------------------------------------------------------
5.56 data required time
-5.79 data arrival time
-----------------------------------------------------------------------------
0.23 slack (MET)
Startpoint: soc/core/_36721_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_36723_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 5.61 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.20 5.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_14_0_core_clk (net)
0.10 0.00 5.81 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.96 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_28_0_core_clk (net)
0.04 0.00 5.96 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.75 0.59 6.54 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.26 soc/core/clknet_5_28_1_core_clk (net)
0.76 0.02 6.57 ^ soc/core/clkbuf_leaf_232_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.28 6.85 ^ soc/core/clkbuf_leaf_232_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.05 soc/core/clknet_leaf_232_core_clk (net)
0.08 0.00 6.85 ^ soc/core/_36721_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.15 0.39 7.24 ^ soc/core/_36721_/Q (sky130_fd_sc_hd__dfxtp_2)
5 0.03 soc/core/mgmtsoc_litespisdrphycore_sr_in[18] (net)
0.15 0.00 7.25 ^ soc/core/_33731_/A0 (sky130_fd_sc_hd__mux2_1)
0.04 0.14 7.38 ^ soc/core/_33731_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 soc/core/_02782_ (net)
0.04 0.00 7.38 ^ soc/core/_27215_/A1 (sky130_fd_sc_hd__mux2_1)
0.04 0.11 7.49 ^ soc/core/_27215_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 soc/core/_14181_ (net)
0.04 0.00 7.49 ^ soc/core/_27216_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 7.57 ^ soc/core/_27216_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/_04189_ (net)
0.04 0.00 7.57 ^ soc/core/_36723_/D (sky130_fd_sc_hd__dfxtp_1)
7.57 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_25_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.81 0.68 7.54 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
32 0.28 soc/core/clknet_5_25_1_core_clk (net)
0.82 0.06 7.60 ^ soc/core/clkbuf_leaf_233_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.34 7.94 ^ soc/core/clkbuf_leaf_233_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.07 soc/core/clknet_leaf_233_core_clk (net)
0.10 0.00 7.94 ^ soc/core/_36723_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.19 clock uncertainty
-0.83 7.36 clock reconvergence pessimism
-0.02 7.34 library hold time
7.34 data required time
-----------------------------------------------------------------------------
7.34 data required time
-7.57 data arrival time
-----------------------------------------------------------------------------
0.23 slack (MET)
Startpoint: soc/core/_38394_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_38396_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 5.61 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.20 5.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_15_0_core_clk (net)
0.11 0.00 5.82 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.96 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_30_0_core_clk (net)
0.04 0.00 5.96 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.79 0.60 6.56 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.27 soc/core/clknet_5_30_1_core_clk (net)
0.79 0.02 6.58 ^ soc/core/clkbuf_leaf_173_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.27 6.85 ^ soc/core/clkbuf_leaf_173_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
6 0.03 soc/core/clknet_leaf_173_core_clk (net)
0.07 0.00 6.85 ^ soc/core/_38394_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.08 0.38 7.23 v soc/core/_38394_/Q (sky130_fd_sc_hd__dfxtp_4)
9 0.05 soc/core/litespi_state[1] (net)
0.08 0.00 7.23 v soc/core/_21775_/A (sky130_fd_sc_hd__and2_1)
0.04 0.15 7.38 v soc/core/_21775_/X (sky130_fd_sc_hd__and2_1)
1 0.00 soc/core/_10425_ (net)
0.04 0.00 7.38 v soc/core/_21776_/A (sky130_fd_sc_hd__inv_2)
0.07 0.07 7.45 ^ soc/core/_21776_/Y (sky130_fd_sc_hd__inv_2)
3 0.01 soc/core/_10426_ (net)
0.07 0.00 7.45 ^ soc/core/_21777_/A3 (sky130_fd_sc_hd__o311ai_1)
0.06 0.08 7.53 v soc/core/_21777_/Y (sky130_fd_sc_hd__o311ai_1)
1 0.00 soc/core/_10427_ (net)
0.06 0.00 7.53 v soc/core/_21778_/C1 (sky130_fd_sc_hd__o211a_1)
0.03 0.10 7.63 v soc/core/_21778_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 soc/core/_05862_ (net)
0.03 0.00 7.63 v soc/core/_38396_/D (sky130_fd_sc_hd__dfxtp_4)
7.63 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.01 6.49 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.21 6.71 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_13_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_27_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.86 ^ soc/core/clkbuf_5_27_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_27_0_core_clk (net)
0.04 0.00 6.86 ^ soc/core/clkbuf_5_27_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.91 0.76 7.62 ^ soc/core/clkbuf_5_27_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
36 0.31 soc/core/clknet_5_27_1_core_clk (net)
0.91 0.03 7.65 ^ soc/core/clkbuf_leaf_172_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.35 8.01 ^ soc/core/clkbuf_leaf_172_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.08 soc/core/clknet_leaf_172_core_clk (net)
0.11 0.00 8.01 ^ soc/core/_38396_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.25 8.26 clock uncertainty
-0.83 7.43 clock reconvergence pessimism
-0.03 7.40 library hold time
7.40 data required time
-----------------------------------------------------------------------------
7.40 data required time
-7.63 data arrival time
-----------------------------------------------------------------------------
0.23 slack (MET)
Startpoint: mprj/hack_soc/_5279_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5079_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/hack_soc/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.27 5.24 ^ mprj/hack_soc/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.06 mprj/hack_soc/clknet_leaf_20_wb_clk_i (net)
0.09 0.00 5.24 ^ mprj/hack_soc/_5279_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.09 0.34 5.58 ^ mprj/hack_soc/_5279_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/hack_soc/soc.ram_encoder_0.request_address[5] (net)
0.09 0.00 5.58 ^ mprj/hack_soc/_3905_/B2 (sky130_fd_sc_hd__a221o_1)
0.04 0.13 5.71 ^ mprj/hack_soc/_3905_/X (sky130_fd_sc_hd__a221o_1)
1 0.00 mprj/hack_soc/_0109_ (net)
0.04 0.00 5.71 ^ mprj/hack_soc/_5079_/D (sky130_fd_sc_hd__dfxtp_1)
5.71 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/hack_soc/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 6.07 ^ mprj/hack_soc/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/hack_soc/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 6.07 ^ mprj/hack_soc/_5079_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.32 clock uncertainty
-0.81 5.51 clock reconvergence pessimism
-0.03 5.48 library hold time
5.48 data required time
-----------------------------------------------------------------------------
5.48 data required time
-5.71 data arrival time
-----------------------------------------------------------------------------
0.23 slack (MET)
Startpoint: mprj/hack_soc/_5081_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5081_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/hack_soc/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.26 5.23 ^ mprj/hack_soc/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/hack_soc/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 5.23 ^ mprj/hack_soc/_5081_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.09 0.33 5.56 ^ mprj/hack_soc/_5081_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/hack_soc/soc.ram_encoder_0.output_buffer[8] (net)
0.09 0.00 5.56 ^ mprj/hack_soc/_3918_/A1 (sky130_fd_sc_hd__o21a_1)
0.04 0.12 5.68 ^ mprj/hack_soc/_3918_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/hack_soc/_0111_ (net)
0.04 0.00 5.68 ^ mprj/hack_soc/_5081_/D (sky130_fd_sc_hd__dfxtp_1)
5.68 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/hack_soc/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 6.07 ^ mprj/hack_soc/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/hack_soc/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 6.07 ^ mprj/hack_soc/_5081_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.32 clock uncertainty
-0.84 5.48 clock reconvergence pessimism
-0.03 5.45 library hold time
5.45 data required time
-----------------------------------------------------------------------------
5.45 data required time
-5.68 data arrival time
-----------------------------------------------------------------------------
0.23 slack (MET)
Startpoint: mprj/hack_soc/_5082_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5082_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/hack_soc/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.26 5.23 ^ mprj/hack_soc/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/hack_soc/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 5.23 ^ mprj/hack_soc/_5082_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.09 0.33 5.56 ^ mprj/hack_soc/_5082_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/hack_soc/soc.ram_encoder_0.output_buffer[9] (net)
0.09 0.00 5.56 ^ mprj/hack_soc/_3922_/A1 (sky130_fd_sc_hd__o21a_1)
0.04 0.12 5.69 ^ mprj/hack_soc/_3922_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/hack_soc/_0112_ (net)
0.04 0.00 5.69 ^ mprj/hack_soc/_5082_/D (sky130_fd_sc_hd__dfxtp_1)
5.69 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/hack_soc/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 6.07 ^ mprj/hack_soc/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/hack_soc/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 6.07 ^ mprj/hack_soc/_5082_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.32 clock uncertainty
-0.84 5.48 clock reconvergence pessimism
-0.03 5.45 library hold time
5.45 data required time
-----------------------------------------------------------------------------
5.45 data required time
-5.69 data arrival time
-----------------------------------------------------------------------------
0.23 slack (MET)
Startpoint: mprj/hack_soc/_5153_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5153_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.49 0.41 4.93 ^ mprj/hack_soc/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.09 mprj/hack_soc/clknet_2_3_0_wb_clk_i (net)
0.49 0.00 4.94 ^ mprj/hack_soc/clkbuf_leaf_12_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.26 5.19 ^ mprj/hack_soc/clkbuf_leaf_12_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
19 0.06 mprj/hack_soc/clknet_leaf_12_wb_clk_i (net)
0.09 0.00 5.20 ^ mprj/hack_soc/_5153_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.06 0.35 5.55 ^ mprj/hack_soc/_5153_/Q (sky130_fd_sc_hd__dfxtp_4)
4 0.02 mprj/hack_soc/soc.spi_video_ram_1.write_fifo.write_pointer[2] (net)
0.06 0.00 5.55 ^ mprj/hack_soc/_4089_/A1 (sky130_fd_sc_hd__o21a_1)
0.03 0.11 5.66 ^ mprj/hack_soc/_4089_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/hack_soc/_0181_ (net)
0.03 0.00 5.66 ^ mprj/hack_soc/_5153_/D (sky130_fd_sc_hd__dfxtp_4)
5.66 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/hack_soc/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.49 0.46 5.74 ^ mprj/hack_soc/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.09 mprj/hack_soc/clknet_2_3_0_wb_clk_i (net)
0.49 0.01 5.74 ^ mprj/hack_soc/clkbuf_leaf_12_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.29 6.03 ^ mprj/hack_soc/clkbuf_leaf_12_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
19 0.06 mprj/hack_soc/clknet_leaf_12_wb_clk_i (net)
0.09 0.00 6.03 ^ mprj/hack_soc/_5153_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.25 6.28 clock uncertainty
-0.84 5.45 clock reconvergence pessimism
-0.02 5.42 library hold time
5.42 data required time
-----------------------------------------------------------------------------
5.42 data required time
-5.66 data arrival time
-----------------------------------------------------------------------------
0.23 slack (MET)
Startpoint: mprj/hack_soc/_5087_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5087_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.00 4.97 ^ mprj/hack_soc/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.28 5.25 ^ mprj/hack_soc/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.08 mprj/hack_soc/clknet_leaf_16_wb_clk_i (net)
0.11 0.00 5.25 ^ mprj/hack_soc/_5087_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.09 0.34 5.60 ^ mprj/hack_soc/_5087_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/hack_soc/soc.ram_encoder_0.output_buffer[14] (net)
0.09 0.00 5.60 ^ mprj/hack_soc/_3938_/A1 (sky130_fd_sc_hd__o21a_1)
0.04 0.12 5.71 ^ mprj/hack_soc/_3938_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/hack_soc/_0117_ (net)
0.04 0.00 5.71 ^ mprj/hack_soc/_5087_/D (sky130_fd_sc_hd__dfxtp_1)
5.71 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.00 5.78 ^ mprj/hack_soc/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.31 6.09 ^ mprj/hack_soc/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.08 mprj/hack_soc/clknet_leaf_16_wb_clk_i (net)
0.11 0.00 6.09 ^ mprj/hack_soc/_5087_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.34 clock uncertainty
-0.84 5.50 clock reconvergence pessimism
-0.02 5.48 library hold time
5.48 data required time
-----------------------------------------------------------------------------
5.48 data required time
-5.71 data arrival time
-----------------------------------------------------------------------------
0.23 slack (MET)
Startpoint: mprj/hack_soc/_5007_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5007_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.55 5.08 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.08 ^ mprj/hack_soc/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.30 5.38 ^ mprj/hack_soc/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
21 0.07 mprj/hack_soc/clknet_leaf_24_wb_clk_i (net)
0.10 0.00 5.38 ^ mprj/hack_soc/_5007_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.33 5.72 ^ mprj/hack_soc/_5007_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/hack_soc/soc.rom_encoder_0.output_buffer[4] (net)
0.08 0.00 5.72 ^ mprj/hack_soc/_3683_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.13 5.84 ^ mprj/hack_soc/_3683_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/hack_soc/_0042_ (net)
0.04 0.00 5.84 ^ mprj/hack_soc/_5007_/D (sky130_fd_sc_hd__dfxtp_1)
5.84 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.61 5.90 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.90 ^ mprj/hack_soc/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.33 6.23 ^ mprj/hack_soc/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
21 0.07 mprj/hack_soc/clknet_leaf_24_wb_clk_i (net)
0.10 0.00 6.24 ^ mprj/hack_soc/_5007_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.49 clock uncertainty
-0.85 5.63 clock reconvergence pessimism
-0.02 5.61 library hold time
5.61 data required time
-----------------------------------------------------------------------------
5.61 data required time
-5.84 data arrival time
-----------------------------------------------------------------------------
0.23 slack (MET)
Startpoint: mprj/hack_soc/_5155_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5155_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.49 0.41 4.93 ^ mprj/hack_soc/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.09 mprj/hack_soc/clknet_2_3_0_wb_clk_i (net)
0.49 0.01 4.94 ^ mprj/hack_soc/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 5.16 ^ mprj/hack_soc/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
129 0.02 mprj/hack_soc/clknet_leaf_11_wb_clk_i (net)
0.05 0.00 5.16 ^ mprj/hack_soc/_5155_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.07 0.35 5.51 ^ mprj/hack_soc/_5155_/Q (sky130_fd_sc_hd__dfxtp_4)
4 0.02 mprj/hack_soc/soc.spi_video_ram_1.write_fifo.write_pointer[4] (net)
0.07 0.00 5.51 ^ mprj/hack_soc/_4096_/A1 (sky130_fd_sc_hd__o21a_1)
0.04 0.11 5.62 ^ mprj/hack_soc/_4096_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/hack_soc/_0183_ (net)
0.04 0.00 5.62 ^ mprj/hack_soc/_5155_/D (sky130_fd_sc_hd__dfxtp_4)
5.62 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/hack_soc/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.49 0.46 5.74 ^ mprj/hack_soc/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.09 mprj/hack_soc/clknet_2_3_0_wb_clk_i (net)
0.49 0.01 5.74 ^ mprj/hack_soc/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.25 6.00 ^ mprj/hack_soc/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
129 0.02 mprj/hack_soc/clknet_leaf_11_wb_clk_i (net)
0.05 0.00 6.00 ^ mprj/hack_soc/_5155_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.25 6.25 clock uncertainty
-0.83 5.41 clock reconvergence pessimism
-0.03 5.39 library hold time
5.39 data required time
-----------------------------------------------------------------------------
5.39 data required time
-5.62 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: soc/core/_36721_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_36722_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 5.61 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.20 5.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_14_0_core_clk (net)
0.10 0.00 5.81 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.96 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_28_0_core_clk (net)
0.04 0.00 5.96 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.75 0.59 6.54 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.26 soc/core/clknet_5_28_1_core_clk (net)
0.76 0.02 6.57 ^ soc/core/clkbuf_leaf_232_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.28 6.85 ^ soc/core/clkbuf_leaf_232_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.05 soc/core/clknet_leaf_232_core_clk (net)
0.08 0.00 6.85 ^ soc/core/_36721_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.15 0.39 7.24 ^ soc/core/_36721_/Q (sky130_fd_sc_hd__dfxtp_2)
5 0.03 soc/core/mgmtsoc_litespisdrphycore_sr_in[18] (net)
0.15 0.00 7.24 ^ soc/core/_33732_/A1 (sky130_fd_sc_hd__mux2_1)
0.04 0.15 7.39 ^ soc/core/_33732_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 soc/core/_02780_ (net)
0.04 0.00 7.39 ^ soc/core/_27217_/A1 (sky130_fd_sc_hd__mux2_1)
0.04 0.11 7.50 ^ soc/core/_27217_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 soc/core/_14182_ (net)
0.04 0.00 7.50 ^ soc/core/_27218_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 7.57 ^ soc/core/_27218_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/_04188_ (net)
0.04 0.00 7.57 ^ soc/core/_36722_/D (sky130_fd_sc_hd__dfxtp_2)
7.57 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_25_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.81 0.68 7.54 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
32 0.28 soc/core/clknet_5_25_1_core_clk (net)
0.82 0.06 7.60 ^ soc/core/clkbuf_leaf_233_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.34 7.94 ^ soc/core/clkbuf_leaf_233_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.07 soc/core/clknet_leaf_233_core_clk (net)
0.10 0.00 7.94 ^ soc/core/_36722_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 8.19 clock uncertainty
-0.83 7.36 clock reconvergence pessimism
-0.02 7.34 library hold time
7.34 data required time
-----------------------------------------------------------------------------
7.34 data required time
-7.57 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: soc/core/_39133_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_38050_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 5.44 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.18 5.61 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 5.61 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.20 5.81 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 5.81 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.95 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 5.95 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.64 6.59 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.01 6.60 ^ soc/core/clkbuf_leaf_127_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.30 6.90 ^ soc/core/clkbuf_leaf_127_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
20 0.06 soc/core/clknet_leaf_127_core_clk (net)
0.09 0.00 6.90 ^ soc/core/_39133_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.09 0.35 7.25 v soc/core/_39133_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.02 soc/core/gpioin0_enable_storage (net)
0.09 0.00 7.25 v soc/core/_23910_/A2 (sky130_fd_sc_hd__a32oi_4)
0.13 0.23 7.48 ^ soc/core/_23910_/Y (sky130_fd_sc_hd__a32oi_4)
1 0.01 soc/core/_12211_ (net)
0.13 0.00 7.48 ^ soc/core/_23913_/A2 (sky130_fd_sc_hd__a41oi_2)
0.05 0.16 7.63 v soc/core/_23913_/Y (sky130_fd_sc_hd__a41oi_2)
1 0.01 soc/core/_05516_ (net)
0.05 0.00 7.64 v soc/core/_38050_/D (sky130_fd_sc_hd__dfxtp_1)
7.64 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.01 6.49 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.21 6.71 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_13_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.86 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_26_0_core_clk (net)
0.04 0.00 6.86 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
1.01 0.84 7.70 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.35 soc/core/clknet_5_26_1_core_clk (net)
1.01 0.01 7.71 ^ soc/core/clkbuf_leaf_136_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.36 8.07 ^ soc/core/clkbuf_leaf_136_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.07 soc/core/clknet_leaf_136_core_clk (net)
0.11 0.00 8.07 ^ soc/core/_38050_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.32 clock uncertainty
-0.88 7.44 clock reconvergence pessimism
-0.04 7.40 library hold time
7.40 data required time
-----------------------------------------------------------------------------
7.40 data required time
-7.64 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: housekeeping/_9014_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: housekeeping/_9014_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 4.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 4.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 4.31 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 4.50 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net)
0.13 0.00 4.50 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.25 4.75 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net)
0.23 0.00 4.75 ^ housekeeping/_9014_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.36 5.12 ^ housekeeping/_9014_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 housekeeping/net328 (net)
0.07 0.00 5.12 ^ housekeeping/_6982_/B1 (sky130_fd_sc_hd__o22a_1)
0.04 0.12 5.23 ^ housekeeping/_6982_/X (sky130_fd_sc_hd__o22a_1)
1 0.00 housekeeping/_0264_ (net)
0.04 0.00 5.23 ^ housekeeping/_9014_/D (sky130_fd_sc_hd__dfxtp_1)
5.23 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.27 5.54 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net)
0.23 0.00 5.54 ^ housekeeping/_9014_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.79 clock uncertainty
-0.79 5.00 clock reconvergence pessimism
-0.01 5.00 library hold time
5.00 data required time
-----------------------------------------------------------------------------
5.00 data required time
-5.23 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: housekeeping/_9025_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: housekeeping/_9025_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 4.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 4.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 4.31 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 4.50 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net)
0.13 0.00 4.50 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.25 4.75 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net)
0.23 0.00 4.75 ^ housekeeping/_9025_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.36 5.12 ^ housekeeping/_9025_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 housekeeping/net354 (net)
0.07 0.00 5.12 ^ housekeeping/_6967_/B1 (sky130_fd_sc_hd__o22a_1)
0.04 0.12 5.24 ^ housekeeping/_6967_/X (sky130_fd_sc_hd__o22a_1)
1 0.00 housekeeping/_0275_ (net)
0.04 0.00 5.24 ^ housekeeping/_9025_/D (sky130_fd_sc_hd__dfxtp_1)
5.24 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.27 5.54 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net)
0.23 0.00 5.54 ^ housekeeping/_9025_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.79 clock uncertainty
-0.79 5.00 clock reconvergence pessimism
-0.01 5.00 library hold time
5.00 data required time
-----------------------------------------------------------------------------
5.00 data required time
-5.24 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: mprj/hack_soc/_5340_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5163_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.55 5.08 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.08 ^ mprj/hack_soc/clkbuf_leaf_27_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.29 5.37 ^ mprj/hack_soc/clkbuf_leaf_27_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
23 0.07 mprj/hack_soc/clknet_leaf_27_wb_clk_i (net)
0.10 0.01 5.38 ^ mprj/hack_soc/_5340_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.30 5.68 ^ mprj/hack_soc/_5340_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.00 mprj/hack_soc/soc.rom_encoder_0.data_out[2] (net)
0.04 0.00 5.68 ^ mprj/hack_soc/_4122_/A0 (sky130_fd_sc_hd__mux2_1)
0.04 0.11 5.79 ^ mprj/hack_soc/_4122_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 mprj/hack_soc/_1729_ (net)
0.04 0.00 5.79 ^ mprj/hack_soc/_4123_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 5.86 ^ mprj/hack_soc/_4123_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 mprj/hack_soc/_0191_ (net)
0.04 0.00 5.86 ^ mprj/hack_soc/_5163_/D (sky130_fd_sc_hd__dfxtp_1)
5.86 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.61 5.90 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.90 ^ mprj/hack_soc/clkbuf_leaf_26_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.31 6.21 ^ mprj/hack_soc/clkbuf_leaf_26_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
16 0.05 mprj/hack_soc/clknet_leaf_26_wb_clk_i (net)
0.08 0.00 6.22 ^ mprj/hack_soc/_5163_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.47 clock uncertainty
-0.82 5.64 clock reconvergence pessimism
-0.02 5.62 library hold time
5.62 data required time
-----------------------------------------------------------------------------
5.62 data required time
-5.86 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: soc/core/_39118_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_39119_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 5.61 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.20 5.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_14_0_core_clk (net)
0.10 0.00 5.81 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.95 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_29_0_core_clk (net)
0.04 0.00 5.95 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.70 0.56 6.51 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.24 soc/core/clknet_5_29_1_core_clk (net)
0.70 0.01 6.52 ^ soc/core/clkbuf_leaf_212_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.30 6.82 ^ soc/core/clkbuf_leaf_212_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.07 soc/core/clknet_leaf_212_core_clk (net)
0.10 0.00 6.82 ^ soc/core/_39118_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.32 7.14 ^ soc/core/_39118_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.01 soc/core/count[16] (net)
0.06 0.00 7.14 ^ soc/core/_16941_/A (sky130_fd_sc_hd__inv_2)
0.03 0.04 7.18 v soc/core/_16941_/Y (sky130_fd_sc_hd__inv_2)
4 0.01 soc/core/_07006_ (net)
0.03 0.00 7.18 v soc/core/_16943_/C (sky130_fd_sc_hd__and4_2)
0.08 0.25 7.44 v soc/core/_16943_/X (sky130_fd_sc_hd__and4_2)
4 0.02 soc/core/_07008_ (net)
0.08 0.00 7.44 v soc/core/_19486_/A (sky130_fd_sc_hd__inv_2)
0.03 0.05 7.49 ^ soc/core/_19486_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/_08990_ (net)
0.03 0.00 7.49 ^ soc/core/_19488_/B (sky130_fd_sc_hd__nand3_1)
0.06 0.07 7.56 v soc/core/_19488_/Y (sky130_fd_sc_hd__nand3_1)
1 0.00 soc/core/_06585_ (net)
0.06 0.00 7.56 v soc/core/_39119_/D (sky130_fd_sc_hd__dfxtp_1)
7.56 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.18 6.16 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.29 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 6.29 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.20 6.49 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 6.49 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.22 6.72 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_15_0_core_clk (net)
0.11 0.00 6.72 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_31_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.93 0.76 7.64 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
38 0.32 soc/core/clknet_5_31_1_core_clk (net)
0.93 0.01 7.65 ^ soc/core/clkbuf_leaf_206_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.34 8.00 ^ soc/core/clkbuf_leaf_206_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.06 soc/core/clknet_leaf_206_core_clk (net)
0.10 0.00 8.00 ^ soc/core/_39119_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.25 clock uncertainty
-0.88 7.37 clock reconvergence pessimism
-0.05 7.32 library hold time
7.32 data required time
-----------------------------------------------------------------------------
7.32 data required time
-7.56 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: soc/core/_37941_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_38228_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 5.61 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.20 5.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_14_0_core_clk (net)
0.10 0.00 5.81 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.95 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_29_0_core_clk (net)
0.04 0.00 5.95 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.70 0.56 6.51 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.24 soc/core/clknet_5_29_1_core_clk (net)
0.71 0.02 6.53 ^ soc/core/clkbuf_leaf_216_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.30 6.84 ^ soc/core/clkbuf_leaf_216_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.08 soc/core/clknet_leaf_216_core_clk (net)
0.11 0.00 6.84 ^ soc/core/_37941_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.11 0.41 7.25 v soc/core/_37941_/Q (sky130_fd_sc_hd__dfxtp_4)
14 0.07 soc/core/uartwishbonebridge_rs232phyrx_state (net)
0.11 0.00 7.25 v soc/core/hold1495/A (sky130_fd_sc_hd__buf_4)
0.08 0.19 7.44 v soc/core/hold1495/X (sky130_fd_sc_hd__buf_4)
4 0.05 soc/core/net4344 (net)
0.08 0.01 7.45 v soc/core/_22375_/A2 (sky130_fd_sc_hd__a31oi_1)
0.08 0.15 7.61 ^ soc/core/_22375_/Y (sky130_fd_sc_hd__a31oi_1)
1 0.00 soc/core/_05694_ (net)
0.08 0.00 7.61 ^ soc/core/_38228_/D (sky130_fd_sc_hd__dfxtp_1)
7.61 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_2_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_2_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.06 0.19 6.16 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_5_0_core_clk (net)
0.06 0.00 6.16 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 6.30 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_5_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.20 6.49 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_5_2_core_clk (net)
0.12 0.01 6.50 ^ soc/core/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.21 6.71 ^ soc/core/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_10_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.86 ^ soc/core/clkbuf_5_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_21_0_core_clk (net)
0.04 0.00 6.86 ^ soc/core/clkbuf_5_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.85 0.72 7.59 ^ soc/core/clkbuf_5_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
36 0.29 soc/core/clknet_5_21_1_core_clk (net)
0.85 0.02 7.61 ^ soc/core/clkbuf_leaf_307_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.33 7.94 ^ soc/core/clkbuf_leaf_307_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
20 0.05 soc/core/clknet_leaf_307_core_clk (net)
0.09 0.00 7.94 ^ soc/core/_38228_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.19 clock uncertainty
-0.79 7.40 clock reconvergence pessimism
-0.03 7.37 library hold time
7.37 data required time
-----------------------------------------------------------------------------
7.37 data required time
-7.61 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: housekeeping/_9026_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: housekeeping/_9026_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 4.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 4.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 4.31 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 4.50 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net)
0.13 0.00 4.50 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.25 4.75 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net)
0.23 0.00 4.75 ^ housekeeping/_9026_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.37 5.12 ^ housekeeping/_9026_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 housekeeping/net355 (net)
0.07 0.00 5.12 ^ housekeeping/_6966_/B1 (sky130_fd_sc_hd__o22a_1)
0.04 0.12 5.24 ^ housekeeping/_6966_/X (sky130_fd_sc_hd__o22a_1)
1 0.00 housekeeping/_0276_ (net)
0.04 0.00 5.24 ^ housekeeping/_9026_/D (sky130_fd_sc_hd__dfxtp_1)
5.24 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.27 5.54 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net)
0.23 0.00 5.54 ^ housekeeping/_9026_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.79 clock uncertainty
-0.79 5.00 clock reconvergence pessimism
-0.01 5.00 library hold time
5.00 data required time
-----------------------------------------------------------------------------
5.00 data required time
-5.24 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: mprj/hack_soc/_5050_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5050_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.55 5.08 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.08 ^ mprj/hack_soc/clkbuf_leaf_29_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.26 5.34 ^ mprj/hack_soc/clkbuf_leaf_29_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/hack_soc/clknet_leaf_29_wb_clk_i (net)
0.06 0.00 5.34 ^ mprj/hack_soc/_5050_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.32 5.67 v mprj/hack_soc/_5050_/Q (sky130_fd_sc_hd__dfxtp_1)
5 0.01 mprj/hack_soc/soc.spi_video_ram_1.state_counter[9] (net)
0.07 0.00 5.67 v mprj/hack_soc/_3817_/A1 (sky130_fd_sc_hd__a21oi_1)
0.09 0.13 5.80 ^ mprj/hack_soc/_3817_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 mprj/hack_soc/_0080_ (net)
0.09 0.00 5.80 ^ mprj/hack_soc/_5050_/D (sky130_fd_sc_hd__dfxtp_1)
5.80 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.61 5.90 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.90 ^ mprj/hack_soc/clkbuf_leaf_29_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.29 6.19 ^ mprj/hack_soc/clkbuf_leaf_29_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/hack_soc/clknet_leaf_29_wb_clk_i (net)
0.06 0.00 6.19 ^ mprj/hack_soc/_5050_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.44 clock uncertainty
-0.85 5.59 clock reconvergence pessimism
-0.04 5.55 library hold time
5.55 data required time
-----------------------------------------------------------------------------
5.55 data required time
-5.80 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: soc/core/_36460_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_38053_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 5.61 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.20 5.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_15_0_core_clk (net)
0.11 0.00 5.82 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.96 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_30_0_core_clk (net)
0.04 0.00 5.96 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.79 0.60 6.56 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.27 soc/core/clknet_5_30_1_core_clk (net)
0.79 0.02 6.59 ^ soc/core/clkbuf_leaf_200_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.31 6.90 ^ soc/core/clkbuf_leaf_200_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.08 soc/core/clknet_leaf_200_core_clk (net)
0.11 0.00 6.90 ^ soc/core/_36460_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.13 0.43 7.33 v soc/core/_36460_/Q (sky130_fd_sc_hd__dfxtp_4)
4 0.09 soc/core/memdat_3[2] (net)
0.13 0.01 7.33 v soc/core/_23889_/C (sky130_fd_sc_hd__and4_1)
0.07 0.25 7.59 v soc/core/_23889_/X (sky130_fd_sc_hd__and4_1)
1 0.01 soc/core/_12193_ (net)
0.07 0.00 7.59 v soc/core/_23890_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.09 7.68 v soc/core/_23890_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/_05519_ (net)
0.03 0.00 7.68 v soc/core/_38053_/D (sky130_fd_sc_hd__dfxtp_1)
7.68 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.01 6.49 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.21 6.71 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_13_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.86 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_26_0_core_clk (net)
0.04 0.00 6.86 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
1.01 0.84 7.70 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.35 soc/core/clknet_5_26_1_core_clk (net)
1.01 0.04 7.74 ^ soc/core/clkbuf_leaf_141_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.32 8.06 ^ soc/core/clkbuf_leaf_141_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 soc/core/clknet_leaf_141_core_clk (net)
0.07 0.00 8.06 ^ soc/core/_38053_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.31 clock uncertainty
-0.83 7.48 clock reconvergence pessimism
-0.04 7.44 library hold time
7.44 data required time
-----------------------------------------------------------------------------
7.44 data required time
-7.68 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: mprj/hack_soc/_5351_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5174_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.55 5.08 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.08 ^ mprj/hack_soc/clkbuf_leaf_27_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.29 5.37 ^ mprj/hack_soc/clkbuf_leaf_27_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
23 0.07 mprj/hack_soc/clknet_leaf_27_wb_clk_i (net)
0.10 0.00 5.38 ^ mprj/hack_soc/_5351_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.30 5.68 ^ mprj/hack_soc/_5351_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.00 mprj/hack_soc/soc.rom_encoder_0.data_out[13] (net)
0.04 0.00 5.68 ^ mprj/hack_soc/_4146_/A0 (sky130_fd_sc_hd__mux2_1)
0.04 0.10 5.78 ^ mprj/hack_soc/_4146_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 mprj/hack_soc/_1742_ (net)
0.04 0.00 5.78 ^ mprj/hack_soc/_4147_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 5.85 ^ mprj/hack_soc/_4147_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 mprj/hack_soc/_0202_ (net)
0.04 0.00 5.85 ^ mprj/hack_soc/_5174_/D (sky130_fd_sc_hd__dfxtp_2)
5.85 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/hack_soc/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.61 5.90 ^ mprj/hack_soc/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/hack_soc/clknet_2_0_0_wb_clk_i (net)
0.70 0.01 5.90 ^ mprj/hack_soc/clkbuf_leaf_27_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.32 6.23 ^ mprj/hack_soc/clkbuf_leaf_27_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
23 0.07 mprj/hack_soc/clknet_leaf_27_wb_clk_i (net)
0.10 0.01 6.23 ^ mprj/hack_soc/_5174_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 6.48 clock uncertainty
-0.85 5.63 clock reconvergence pessimism
-0.02 5.61 library hold time
5.61 data required time
-----------------------------------------------------------------------------
5.61 data required time
-5.85 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: soc/core/_36464_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_38057_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 5.61 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.20 5.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_15_0_core_clk (net)
0.11 0.00 5.82 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.96 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_30_0_core_clk (net)
0.04 0.00 5.96 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.79 0.60 6.56 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.27 soc/core/clknet_5_30_1_core_clk (net)
0.79 0.03 6.60 ^ soc/core/clkbuf_leaf_201_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.31 6.91 ^ soc/core/clkbuf_leaf_201_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
34 0.08 soc/core/clknet_leaf_201_core_clk (net)
0.11 0.00 6.91 ^ soc/core/_36464_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.12 0.41 7.32 v soc/core/_36464_/Q (sky130_fd_sc_hd__dfxtp_4)
4 0.08 soc/core/memdat_3[6] (net)
0.13 0.02 7.35 v soc/core/_23881_/C (sky130_fd_sc_hd__and4_4)
0.08 0.26 7.60 v soc/core/_23881_/X (sky130_fd_sc_hd__and4_4)
2 0.05 soc/core/_12189_ (net)
0.08 0.01 7.61 v soc/core/_23882_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.10 7.71 v soc/core/_23882_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/_05523_ (net)
0.03 0.00 7.71 v soc/core/_38057_/D (sky130_fd_sc_hd__dfxtp_1)
7.71 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_0_0_core_clk (net)
0.05 0.00 4.86 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.15 5.01 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_0_1_core_clk (net)
0.05 0.00 5.01 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.15 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_0_2_core_clk (net)
0.05 0.00 5.15 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.12 5.27 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_1_0_3_core_clk (net)
0.03 0.00 5.27 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.24 0.27 5.54 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.08 soc/core/clknet_1_0_4_core_clk (net)
0.24 0.03 5.57 ^ soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.19 5.76 ^ soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_2_1_0_core_clk (net)
0.03 0.00 5.76 ^ soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.22 5.98 ^ soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_1_1_core_clk (net)
0.15 0.00 5.98 ^ soc/core/clkbuf_3_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.06 0.19 6.17 ^ soc/core/clkbuf_3_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_3_0_core_clk (net)
0.06 0.00 6.17 ^ soc/core/clkbuf_3_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 6.30 ^ soc/core/clkbuf_3_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_3_1_core_clk (net)
0.04 0.00 6.31 ^ soc/core/clkbuf_3_3_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.22 6.52 ^ soc/core/clkbuf_3_3_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_3_3_2_core_clk (net)
0.15 0.01 6.53 ^ soc/core/clkbuf_4_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.24 6.77 ^ soc/core/clkbuf_4_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_4_6_0_core_clk (net)
0.12 0.00 6.77 ^ soc/core/clkbuf_5_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.16 6.93 ^ soc/core/clkbuf_5_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_13_0_core_clk (net)
0.04 0.00 6.93 ^ soc/core/clkbuf_5_13_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.80 0.66 7.59 ^ soc/core/clkbuf_5_13_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
32 0.27 soc/core/clknet_5_13_1_core_clk (net)
0.80 0.05 7.64 ^ soc/core/clkbuf_leaf_92_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.32 7.97 ^ soc/core/clkbuf_leaf_92_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.05 soc/core/clknet_leaf_92_core_clk (net)
0.09 0.00 7.97 ^ soc/core/_38057_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.22 clock uncertainty
-0.71 7.51 clock reconvergence pessimism
-0.04 7.47 library hold time
7.47 data required time
-----------------------------------------------------------------------------
7.47 data required time
-7.71 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: soc/core/_38764_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_38753_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_0_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.27 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_0_1_core_clk (net)
0.05 0.00 4.27 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.40 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_0_2_core_clk (net)
0.05 0.00 4.40 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.11 4.51 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_1_0_3_core_clk (net)
0.03 0.00 4.51 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.24 0.24 4.75 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.08 soc/core/clknet_1_0_4_core_clk (net)
0.24 0.03 4.78 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.17 4.95 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_2_0_0_core_clk (net)
0.03 0.00 4.95 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.46 0.38 5.33 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.15 soc/core/clknet_2_0_1_core_clk (net)
0.46 0.03 5.36 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.21 5.57 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_3_1_0_core_clk (net)
0.04 0.00 5.57 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.10 5.68 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_3_1_1_core_clk (net)
0.03 0.00 5.68 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.08 0.14 5.82 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.02 soc/core/clknet_3_1_2_core_clk (net)
0.08 0.00 5.82 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.09 0.17 6.00 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_3_0_core_clk (net)
0.09 0.00 6.00 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 6.13 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_7_0_core_clk (net)
0.04 0.00 6.13 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.52 0.43 6.57 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
22 0.18 soc/core/clknet_5_7_1_core_clk (net)
0.52 0.02 6.59 ^ soc/core/clkbuf_leaf_115_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.28 6.87 ^ soc/core/clkbuf_leaf_115_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.09 soc/core/clknet_leaf_115_core_clk (net)
0.11 0.00 6.88 ^ soc/core/_38764_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.27 0.49 7.37 ^ soc/core/_38764_/Q (sky130_fd_sc_hd__dfxtp_4)
10 0.09 soc/core/VexRiscv.DebugPlugin_stepIt (net)
0.27 0.02 7.39 ^ soc/core/_20631_/A1 (sky130_fd_sc_hd__a2111oi_2)
0.04 0.13 7.52 v soc/core/_20631_/Y (sky130_fd_sc_hd__a2111oi_2)
1 0.01 soc/core/_09726_ (net)
0.04 0.00 7.52 v soc/core/_20632_/C (sky130_fd_sc_hd__nand3b_1)
0.10 0.10 7.63 ^ soc/core/_20632_/Y (sky130_fd_sc_hd__nand3b_1)
2 0.01 soc/core/_09727_ (net)
0.10 0.00 7.63 ^ soc/core/_20682_/A2 (sky130_fd_sc_hd__a21oi_1)
0.04 0.07 7.69 v soc/core/_20682_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 soc/core/_06219_ (net)
0.04 0.00 7.69 v soc/core/_38753_/D (sky130_fd_sc_hd__dfxtp_2)
7.69 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_2_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_2_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.18 6.15 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_4_0_core_clk (net)
0.05 0.00 6.15 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.29 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_4_1_core_clk (net)
0.04 0.00 6.29 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.14 0.21 6.50 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_3_4_2_core_clk (net)
0.14 0.01 6.50 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.73 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_9_0_core_clk (net)
0.10 0.00 6.73 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.16 6.89 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_18_0_core_clk (net)
0.04 0.00 6.89 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.78 0.64 7.52 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
32 0.26 soc/core/clknet_5_18_1_core_clk (net)
0.79 0.08 7.61 ^ soc/core/clkbuf_leaf_379_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.33 7.94 ^ soc/core/clkbuf_leaf_379_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.07 soc/core/clknet_leaf_379_core_clk (net)
0.10 0.00 7.94 ^ soc/core/_38753_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 8.19 clock uncertainty
-0.71 7.48 clock reconvergence pessimism
-0.03 7.45 library hold time
7.45 data required time
-----------------------------------------------------------------------------
7.45 data required time
-7.69 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: mprj/hack_soc/_5097_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5097_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.00 4.97 ^ mprj/hack_soc/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.28 5.25 ^ mprj/hack_soc/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.08 mprj/hack_soc/clknet_leaf_16_wb_clk_i (net)
0.11 0.00 5.25 ^ mprj/hack_soc/_5097_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.09 0.34 5.59 ^ mprj/hack_soc/_5097_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/hack_soc/soc.ram_encoder_0.data_out[4] (net)
0.09 0.00 5.59 ^ mprj/hack_soc/_3975_/A1 (sky130_fd_sc_hd__a22o_1)
0.05 0.13 5.72 ^ mprj/hack_soc/_3975_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/hack_soc/_0127_ (net)
0.05 0.00 5.72 ^ mprj/hack_soc/_5097_/D (sky130_fd_sc_hd__dfxtp_1)
5.72 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.00 5.78 ^ mprj/hack_soc/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.31 6.09 ^ mprj/hack_soc/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.08 mprj/hack_soc/clknet_leaf_16_wb_clk_i (net)
0.11 0.00 6.09 ^ mprj/hack_soc/_5097_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.34 clock uncertainty
-0.84 5.50 clock reconvergence pessimism
-0.02 5.48 library hold time
5.48 data required time
-----------------------------------------------------------------------------
5.48 data required time
-5.72 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: soc/core/_36719_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_38807_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 5.61 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.20 5.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_15_0_core_clk (net)
0.11 0.00 5.82 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.96 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_30_0_core_clk (net)
0.04 0.00 5.96 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.79 0.60 6.56 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.27 soc/core/clknet_5_30_1_core_clk (net)
0.79 0.03 6.60 ^ soc/core/clkbuf_leaf_201_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.31 6.91 ^ soc/core/clkbuf_leaf_201_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
34 0.08 soc/core/clknet_leaf_201_core_clk (net)
0.11 0.00 6.91 ^ soc/core/_36719_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.07 0.38 7.29 v soc/core/_36719_/Q (sky130_fd_sc_hd__dfxtp_4)
10 0.04 soc/core/mgmtsoc_litespisdrphycore_sr_in[16] (net)
0.07 0.00 7.29 v soc/core/hold1462/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.09 0.19 7.48 v soc/core/hold1462/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 soc/core/net4311 (net)
0.09 0.00 7.48 v soc/core/_20468_/B (sky130_fd_sc_hd__nand3_1)
0.06 0.10 7.58 ^ soc/core/_20468_/Y (sky130_fd_sc_hd__nand3_1)
1 0.00 soc/core/_09613_ (net)
0.06 0.00 7.58 ^ soc/core/_20470_/A1 (sky130_fd_sc_hd__a21oi_1)
0.04 0.05 7.63 v soc/core/_20470_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 soc/core/_06273_ (net)
0.04 0.00 7.63 v soc/core/_38807_/D (sky130_fd_sc_hd__dfxtp_1)
7.63 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.01 6.49 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.21 6.71 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_13_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_27_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.86 ^ soc/core/clkbuf_5_27_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_27_0_core_clk (net)
0.04 0.00 6.86 ^ soc/core/clkbuf_5_27_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.91 0.76 7.62 ^ soc/core/clkbuf_5_27_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
36 0.31 soc/core/clknet_5_27_1_core_clk (net)
0.91 0.02 7.64 ^ soc/core/clkbuf_leaf_134_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.35 8.00 ^ soc/core/clkbuf_leaf_134_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.08 soc/core/clknet_leaf_134_core_clk (net)
0.11 0.00 8.00 ^ soc/core/_38807_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.25 clock uncertainty
-0.83 7.42 clock reconvergence pessimism
-0.04 7.38 library hold time
7.38 data required time
-----------------------------------------------------------------------------
7.38 data required time
-7.63 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: mprj/hack_soc/_5271_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5271_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/hack_soc/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.25 5.22 ^ mprj/hack_soc/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
11 0.04 mprj/hack_soc/clknet_leaf_17_wb_clk_i (net)
0.07 0.00 5.22 ^ mprj/hack_soc/_5271_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.13 0.36 5.58 ^ mprj/hack_soc/_5271_/Q (sky130_fd_sc_hd__dfxtp_1)
5 0.01 mprj/hack_soc/soc.ram_encoder_0.input_bits_left[2] (net)
0.13 0.00 5.58 ^ mprj/hack_soc/_4510_/A1 (sky130_fd_sc_hd__a21bo_1)
0.03 0.11 5.69 ^ mprj/hack_soc/_4510_/X (sky130_fd_sc_hd__a21bo_1)
1 0.00 mprj/hack_soc/_0299_ (net)
0.03 0.00 5.69 ^ mprj/hack_soc/_5271_/D (sky130_fd_sc_hd__dfxtp_1)
5.69 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/hack_soc/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/hack_soc/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/hack_soc/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/hack_soc/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/hack_soc/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/hack_soc/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/hack_soc/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 6.06 ^ mprj/hack_soc/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
11 0.04 mprj/hack_soc/clknet_leaf_17_wb_clk_i (net)
0.07 0.00 6.06 ^ mprj/hack_soc/_5271_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.31 clock uncertainty
-0.84 5.47 clock reconvergence pessimism
-0.03 5.45 library hold time
5.45 data required time
-----------------------------------------------------------------------------
5.45 data required time
-5.69 data arrival time
-----------------------------------------------------------------------------
0.25 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9661_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.17 18.19 ^ housekeeping/_9661_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 29.88 ^ housekeeping/_9661_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.66 30.29 clock reconvergence pessimism
0.25 30.54 library recovery time
30.54 data required time
-----------------------------------------------------------------------------
30.54 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.35 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9662_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.17 18.19 ^ housekeeping/_9662_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 29.88 ^ housekeeping/_9662_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.66 30.29 clock reconvergence pessimism
0.25 30.54 library recovery time
30.54 data required time
-----------------------------------------------------------------------------
30.54 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.35 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9660_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.17 18.19 ^ housekeeping/_9660_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 29.88 ^ housekeeping/_9660_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.66 30.29 clock reconvergence pessimism
0.25 30.54 library recovery time
30.54 data required time
-----------------------------------------------------------------------------
30.54 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.35 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9663_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.17 18.19 ^ housekeeping/_9663_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 29.88 ^ housekeeping/_9663_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.66 30.29 clock reconvergence pessimism
0.25 30.54 library recovery time
30.54 data required time
-----------------------------------------------------------------------------
30.54 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.35 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9664_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.17 18.19 ^ housekeeping/_9664_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 29.88 ^ housekeeping/_9664_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.66 30.29 clock reconvergence pessimism
0.25 30.54 library recovery time
30.54 data required time
-----------------------------------------------------------------------------
30.54 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.35 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9065_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.16 18.18 ^ housekeeping/_9065_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.18 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.00 29.87 ^ housekeeping/_9065_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.62 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.26 30.54 library recovery time
30.54 data required time
-----------------------------------------------------------------------------
30.54 data required time
-18.18 data arrival time
-----------------------------------------------------------------------------
12.36 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9059_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.19 ^ housekeeping/_9059_/SET_B (sky130_fd_sc_hd__dfstp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9059_/CLK (sky130_fd_sc_hd__dfstp_1)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.23 30.57 library recovery time
30.57 data required time
-----------------------------------------------------------------------------
30.57 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.39 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9067_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.19 ^ housekeeping/_9067_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.94 ^ housekeeping/_9067_/CLK (sky130_fd_sc_hd__dfrtp_4)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.25 30.59 library recovery time
30.59 data required time
-----------------------------------------------------------------------------
30.59 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.41 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9066_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.19 ^ housekeeping/_9066_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9066_/CLK (sky130_fd_sc_hd__dfrtp_4)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.25 30.59 library recovery time
30.59 data required time
-----------------------------------------------------------------------------
30.59 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.41 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9068_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.19 ^ housekeeping/_9068_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9068_/CLK (sky130_fd_sc_hd__dfrtp_4)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.25 30.59 library recovery time
30.59 data required time
-----------------------------------------------------------------------------
30.59 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.41 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9119_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.18 ^ housekeeping/_9119_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
18.18 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.25 30.59 library recovery time
30.59 data required time
-----------------------------------------------------------------------------
30.59 data required time
-18.18 data arrival time
-----------------------------------------------------------------------------
12.41 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9587_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.15 18.17 ^ housekeeping/_9587_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
18.17 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.01 29.94 ^ housekeeping/_9587_/CLK (sky130_fd_sc_hd__dfrtp_4)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.25 30.60 library recovery time
30.60 data required time
-----------------------------------------------------------------------------
30.60 data required time
-18.17 data arrival time
-----------------------------------------------------------------------------
12.42 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9678_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.32 0.10 18.12 ^ housekeeping/_9678_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.12 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.88 ^ housekeeping/_9678_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.26 30.55 library recovery time
30.55 data required time
-----------------------------------------------------------------------------
30.55 data required time
-18.12 data arrival time
-----------------------------------------------------------------------------
12.43 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9759_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.15 18.17 ^ housekeeping/_9759_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
18.17 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.01 29.94 ^ housekeeping/_9759_/CLK (sky130_fd_sc_hd__dfrtp_4)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.26 30.60 library recovery time
30.60 data required time
-----------------------------------------------------------------------------
30.60 data required time
-18.17 data arrival time
-----------------------------------------------------------------------------
12.43 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9675_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.32 0.09 18.11 ^ housekeeping/_9675_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.11 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.88 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.27 30.55 library recovery time
30.55 data required time
-----------------------------------------------------------------------------
30.55 data required time
-18.11 data arrival time
-----------------------------------------------------------------------------
12.43 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9677_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.32 0.09 18.11 ^ housekeeping/_9677_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.11 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.87 ^ housekeeping/_9677_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.62 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.27 30.55 library recovery time
30.55 data required time
-----------------------------------------------------------------------------
30.55 data required time
-18.11 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9659_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.17 18.19 ^ housekeeping/_9659_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.94 ^ housekeeping/_9659_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.28 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9665_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.17 18.19 ^ housekeeping/_9665_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.94 ^ housekeeping/_9665_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.28 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9679_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.09 18.11 ^ housekeeping/_9679_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.11 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.87 ^ housekeeping/_9679_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.62 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.27 30.55 library recovery time
30.55 data required time
-----------------------------------------------------------------------------
30.55 data required time
-18.11 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9666_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.17 18.19 ^ housekeeping/_9666_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.94 ^ housekeeping/_9666_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.28 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9681_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.09 18.11 ^ housekeeping/_9681_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.11 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.87 ^ housekeeping/_9681_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.62 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.27 30.55 library recovery time
30.55 data required time
-----------------------------------------------------------------------------
30.55 data required time
-18.11 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9064_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.19 ^ housekeeping/_9064_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9064_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.28 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9063_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.19 ^ housekeeping/_9063_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9063_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.28 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9061_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.19 ^ housekeeping/_9061_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9061_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.28 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9640_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.38 0.15 18.17 ^ housekeeping/_9640_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
18.17 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.01 29.94 ^ housekeeping/_9640_/CLK (sky130_fd_sc_hd__dfrtp_2)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.27 30.61 library recovery time
30.61 data required time
-----------------------------------------------------------------------------
30.61 data required time
-18.17 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9060_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.18 ^ housekeeping/_9060_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.18 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9060_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.29 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.18 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9676_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.08 18.10 ^ housekeeping/_9676_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.10 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.87 ^ housekeeping/_9676_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.62 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.27 30.55 library recovery time
30.55 data required time
-----------------------------------------------------------------------------
30.55 data required time
-18.10 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9680_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.08 18.10 ^ housekeeping/_9680_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.10 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.87 ^ housekeeping/_9680_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.62 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.27 30.55 library recovery time
30.55 data required time
-----------------------------------------------------------------------------
30.55 data required time
-18.10 data arrival time
-----------------------------------------------------------------------------
12.45 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9062_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.16 18.18 ^ housekeeping/_9062_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.18 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.94 ^ housekeeping/_9062_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.29 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.18 data arrival time
-----------------------------------------------------------------------------
12.45 slack (MET)
Startpoint: soc/core/_38911_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: flash_csb (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.18 6.16 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.29 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 6.29 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.20 6.49 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 6.49 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.22 6.72 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_15_0_core_clk (net)
0.11 0.00 6.72 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.16 6.87 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_30_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.79 0.67 7.54 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.27 soc/core/clknet_5_30_1_core_clk (net)
0.79 0.04 7.58 ^ soc/core/clkbuf_leaf_175_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.34 7.92 ^ soc/core/clkbuf_leaf_175_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.07 soc/core/clknet_leaf_175_core_clk (net)
0.10 0.00 7.92 ^ soc/core/_38911_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.10 0.40 8.32 v soc/core/_38911_/Q (sky130_fd_sc_hd__dfxtp_1)
4 0.02 soc/core/mgmtsoc_litespisdrphycore_count[0] (net)
0.10 0.00 8.32 v soc/core/_20112_/C (sky130_fd_sc_hd__nor3_4)
0.44 0.38 8.70 ^ soc/core/_20112_/Y (sky130_fd_sc_hd__nor3_4)
6 0.04 soc/core/_09403_ (net)
0.44 0.00 8.71 ^ soc/core/_20115_/A (sky130_fd_sc_hd__nand2_8)
0.15 0.16 8.87 v soc/core/_20115_/Y (sky130_fd_sc_hd__nand2_8)
2 0.06 soc/core/net242 (net)
0.16 0.01 8.88 v soc/core/output242/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.18 9.07 v soc/core/output242/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 flash_csb_core (net)
0.03 0.00 9.07 v housekeeping/input84/A (sky130_fd_sc_hd__buf_4)
0.09 0.19 9.26 v housekeeping/input84/X (sky130_fd_sc_hd__buf_4)
1 0.07 housekeeping/net84 (net)
0.10 0.02 9.28 v housekeeping/_8836_/A0 (sky130_fd_sc_hd__mux2_2)
0.09 0.35 9.63 v housekeeping/_8836_/X (sky130_fd_sc_hd__mux2_2)
1 0.02 housekeeping/net252 (net)
0.09 0.00 9.63 v housekeeping/output252/A (sky130_fd_sc_hd__buf_2)
0.09 0.21 9.84 v housekeeping/output252/X (sky130_fd_sc_hd__buf_2)
1 0.04 flash_csb_frame (net)
0.09 0.00 9.84 v padframe/flash_csb_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped)
13.20 10.37 20.21 v padframe/flash_csb_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
7 1.15 flash_csb (net)
13.20 0.00 20.21 v flash_csb (out)
20.21 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock network delay (propagated)
-0.25 24.75 clock uncertainty
0.00 24.75 clock reconvergence pessimism
-5.00 19.75 output external delay
19.75 data required time
-----------------------------------------------------------------------------
19.75 data required time
-20.21 data arrival time
-----------------------------------------------------------------------------
-0.46 slack (VIOLATED)
Startpoint: soc/core/_34932_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: flash_clk (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.18 6.16 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.29 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 6.29 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.20 6.49 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 6.49 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.22 6.72 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_15_0_core_clk (net)
0.11 0.00 6.72 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_31_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.93 0.76 7.64 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
38 0.32 soc/core/clknet_5_31_1_core_clk (net)
0.93 0.04 7.68 ^ soc/core/clkbuf_leaf_186_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.35 8.02 ^ soc/core/clkbuf_leaf_186_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.06 soc/core/clknet_leaf_186_core_clk (net)
0.10 0.00 8.02 ^ soc/core/_34932_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.36 8.38 v soc/core/_34932_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.01 soc/core/net241 (net)
0.06 0.00 8.38 v soc/core/output241/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.14 8.52 v soc/core/output241/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 flash_clk_core (net)
0.03 0.00 8.52 v housekeeping/input83/A (sky130_fd_sc_hd__buf_6)
0.11 0.19 8.71 v housekeeping/input83/X (sky130_fd_sc_hd__buf_6)
15 0.12 housekeeping/net83 (net)
0.11 0.01 8.72 v housekeeping/_8837_/A0 (sky130_fd_sc_hd__mux2_1)
0.07 0.33 9.05 v housekeeping/_8837_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 housekeeping/net250 (net)
0.07 0.00 9.05 v housekeeping/output250/A (sky130_fd_sc_hd__clkbuf_1)
0.21 0.25 9.31 v housekeeping/output250/X (sky130_fd_sc_hd__clkbuf_1)
1 0.04 flash_clk_frame (net)
0.21 0.00 9.31 v padframe/flash_clk_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped)
13.20 10.44 19.75 v padframe/flash_clk_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
7 1.15 flash_clk (net)
13.20 0.00 19.75 v flash_clk (out)
19.75 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock network delay (propagated)
-0.25 24.75 clock uncertainty
0.00 24.75 clock reconvergence pessimism
-5.00 19.75 output external delay
19.75 data required time
-----------------------------------------------------------------------------
19.75 data required time
-19.75 data arrival time
-----------------------------------------------------------------------------
0.00 slack (MET)
Startpoint: soc/core/_34930_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: flash_io0 (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.18 6.16 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.29 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 6.29 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.20 6.49 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 6.49 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.22 6.72 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_15_0_core_clk (net)
0.11 0.00 6.72 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_31_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.93 0.76 7.64 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
38 0.32 soc/core/clknet_5_31_1_core_clk (net)
0.93 0.04 7.68 ^ soc/core/clkbuf_leaf_184_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 8.00 ^ soc/core/clkbuf_leaf_184_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.04 soc/core/clknet_leaf_184_core_clk (net)
0.08 0.00 8.01 ^ soc/core/_34930_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.34 8.34 v soc/core/_34930_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.01 soc/core/net243 (net)
0.04 0.00 8.34 v soc/core/output243/A (sky130_fd_sc_hd__clkbuf_4)
0.02 0.13 8.47 v soc/core/output243/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 flash_io0_do_core (net)
0.02 0.00 8.47 v housekeeping/input85/A (sky130_fd_sc_hd__buf_4)
0.12 0.22 8.69 v housekeeping/input85/X (sky130_fd_sc_hd__buf_4)
5 0.09 housekeeping/net85 (net)
0.12 0.01 8.70 v housekeeping/_8838_/A0 (sky130_fd_sc_hd__mux2_2)
0.09 0.36 9.06 v housekeeping/_8838_/X (sky130_fd_sc_hd__mux2_2)
1 0.02 housekeeping/net254 (net)
0.09 0.00 9.06 v housekeeping/output254/A (sky130_fd_sc_hd__buf_2)
0.09 0.21 9.28 v housekeeping/output254/X (sky130_fd_sc_hd__buf_2)
1 0.04 flash_io0_do (net)
0.09 0.00 9.28 v padframe/flash_io0_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped)
13.19 10.37 19.65 v padframe/flash_io0_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
7 1.15 flash_io0 (net)
13.19 0.00 19.65 v flash_io0 (out)
19.65 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock network delay (propagated)
-0.25 24.75 clock uncertainty
0.00 24.75 clock reconvergence pessimism
-5.00 19.75 output external delay
19.75 data required time
-----------------------------------------------------------------------------
19.75 data required time
-19.65 data arrival time
-----------------------------------------------------------------------------
0.10 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: housekeeping/_9675_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33554_/S (sky130_fd_sc_hd__mux2_8)
0.17 0.61 11.12 v soc/core/_33554_/X (sky130_fd_sc_hd__mux2_8)
12 0.16 soc/core/_02133_ (net)
0.18 0.04 11.15 v soc/core/_17321_/A (sky130_fd_sc_hd__inv_2)
0.05 0.09 11.25 ^ soc/core/_17321_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net638 (net)
0.05 0.00 11.25 ^ soc/core/repeater1831/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.35 ^ soc/core/repeater1831/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1831 (net)
0.07 0.00 11.35 ^ soc/core/repeater1830/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.44 ^ soc/core/repeater1830/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1830 (net)
0.05 0.00 11.44 ^ soc/core/repeater1829/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.09 11.54 ^ soc/core/repeater1829/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1829 (net)
0.06 0.00 11.54 ^ soc/core/repeater1828/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.64 ^ soc/core/repeater1828/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1828 (net)
0.06 0.00 11.64 ^ soc/core/repeater1827/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 11.73 ^ soc/core/repeater1827/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1827 (net)
0.05 0.00 11.73 ^ soc/core/repeater1826/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.83 ^ soc/core/repeater1826/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1826 (net)
0.06 0.00 11.83 ^ soc/core/repeater1825/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.93 ^ soc/core/repeater1825/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1825 (net)
0.06 0.00 11.93 ^ soc/core/repeater1824/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.03 ^ soc/core/repeater1824/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1824 (net)
0.05 0.00 12.03 ^ soc/core/repeater1823/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 12.13 ^ soc/core/repeater1823/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1823 (net)
0.06 0.00 12.13 ^ soc/core/repeater1822/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.12 12.24 ^ soc/core/repeater1822/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1822 (net)
0.08 0.00 12.24 ^ soc/core/repeater1820/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.35 ^ soc/core/repeater1820/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1820 (net)
0.05 0.00 12.35 ^ soc/core/repeater1819/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 12.44 ^ soc/core/repeater1819/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1819 (net)
0.06 0.00 12.44 ^ soc/core/repeater1818/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 12.53 ^ soc/core/repeater1818/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1818 (net)
0.04 0.00 12.53 ^ soc/core/repeater1817/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.62 ^ soc/core/repeater1817/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1817 (net)
0.05 0.00 12.62 ^ soc/core/repeater1816/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.72 ^ soc/core/repeater1816/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1816 (net)
0.05 0.00 12.72 ^ soc/core/repeater1815/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 12.82 ^ soc/core/repeater1815/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1815 (net)
0.07 0.00 12.82 ^ soc/core/repeater1814/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 12.91 ^ soc/core/repeater1814/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1814 (net)
0.04 0.00 12.91 ^ soc/core/repeater1813/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 13.00 ^ soc/core/repeater1813/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1813 (net)
0.05 0.00 13.00 ^ soc/core/repeater1812/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 13.09 ^ soc/core/repeater1812/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1812 (net)
0.05 0.00 13.09 ^ soc/core/repeater1811/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 13.19 ^ soc/core/repeater1811/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1811 (net)
0.07 0.00 13.19 ^ soc/core/repeater1810/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 13.29 ^ soc/core/repeater1810/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1810 (net)
0.05 0.00 13.29 ^ soc/core/repeater1809/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.17 0.19 13.48 ^ soc/core/repeater1809/X (sky130_fd_sc_hd__dlymetal6s2s_1)
2 0.02 soc/core/net1809 (net)
0.17 0.00 13.48 ^ soc/core/repeater1808/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.20 13.68 ^ soc/core/repeater1808/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1808 (net)
0.16 0.00 13.68 ^ soc/core/repeater1807/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.18 0.23 13.91 ^ soc/core/repeater1807/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 soc/core/net1807 (net)
0.18 0.00 13.91 ^ soc/core/repeater1806/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.18 0.23 14.14 ^ soc/core/repeater1806/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 soc/core/net1806 (net)
0.18 0.00 14.14 ^ soc/core/repeater1805/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.17 0.22 14.36 ^ soc/core/repeater1805/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.01 soc/core/net1805 (net)
0.17 0.00 14.37 ^ soc/core/repeater1804/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.22 0.26 14.62 ^ soc/core/repeater1804/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 soc/core/net1804 (net)
0.22 0.00 14.62 ^ soc/core/repeater1803/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.18 0.24 14.87 ^ soc/core/repeater1803/X (sky130_fd_sc_hd__dlymetal6s2s_1)
2 0.02 soc/core/net1803 (net)
0.18 0.00 14.87 ^ soc/core/repeater1802/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.98 ^ soc/core/repeater1802/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1802 (net)
0.05 0.00 14.98 ^ soc/core/repeater1801/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.07 ^ soc/core/repeater1801/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1801 (net)
0.04 0.00 15.07 ^ soc/core/repeater1800/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.16 ^ soc/core/repeater1800/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1800 (net)
0.05 0.00 15.16 ^ soc/core/repeater1799/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.25 ^ soc/core/repeater1799/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1799 (net)
0.05 0.00 15.25 ^ soc/core/repeater1798/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.35 ^ soc/core/repeater1798/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1798 (net)
0.05 0.00 15.35 ^ soc/core/repeater1797/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.44 ^ soc/core/repeater1797/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1797 (net)
0.05 0.00 15.44 ^ soc/core/repeater1796/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.53 ^ soc/core/repeater1796/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1796 (net)
0.05 0.00 15.53 ^ soc/core/repeater1795/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.62 ^ soc/core/repeater1795/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1795 (net)
0.04 0.00 15.62 ^ soc/core/repeater1794/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1794/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1794 (net)
0.05 0.00 15.70 ^ soc/core/repeater1793/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.79 ^ soc/core/repeater1793/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1793 (net)
0.05 0.00 15.79 ^ soc/core/repeater1792/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.88 ^ soc/core/repeater1792/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1792 (net)
0.05 0.00 15.88 ^ soc/core/repeater1791/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.97 ^ soc/core/repeater1791/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1791 (net)
0.05 0.00 15.97 ^ soc/core/repeater1790/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.06 ^ soc/core/repeater1790/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1790 (net)
0.05 0.00 16.06 ^ soc/core/repeater1789/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.15 ^ soc/core/repeater1789/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1789 (net)
0.05 0.00 16.15 ^ soc/core/repeater1788/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 16.23 ^ soc/core/repeater1788/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1788 (net)
0.04 0.00 16.23 ^ soc/core/repeater1787/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.32 ^ soc/core/repeater1787/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1787 (net)
0.05 0.00 16.32 ^ soc/core/_32524_/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.14 16.45 ^ soc/core/_32524_/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 soc/core/net705 (net)
0.08 0.00 16.46 ^ soc/core/output705/A (sky130_fd_sc_hd__clkbuf_4)
0.06 0.16 16.61 ^ soc/core/output705/X (sky130_fd_sc_hd__clkbuf_4)
3 0.01 mprj_adr_o_core[4] (net)
0.06 0.00 16.61 ^ housekeeping/input157/A (sky130_fd_sc_hd__buf_4)
0.15 0.20 16.81 ^ housekeeping/input157/X (sky130_fd_sc_hd__buf_4)
7 0.05 housekeeping/net157 (net)
0.15 0.01 16.82 ^ housekeeping/_7894_/B (sky130_fd_sc_hd__or4_1)
0.06 0.16 16.98 ^ housekeeping/_7894_/X (sky130_fd_sc_hd__or4_1)
1 0.01 housekeeping/_3525_ (net)
0.06 0.00 16.98 ^ housekeeping/_7895_/A (sky130_fd_sc_hd__clkbuf_8)
0.13 0.21 17.18 ^ housekeeping/_7895_/X (sky130_fd_sc_hd__clkbuf_8)
12 0.07 housekeeping/_3526_ (net)
0.13 0.00 17.18 ^ housekeeping/_8216_/B (sky130_fd_sc_hd__or2_2)
0.12 0.21 17.39 ^ housekeeping/_8216_/X (sky130_fd_sc_hd__or2_2)
3 0.02 housekeeping/_3846_ (net)
0.12 0.00 17.39 ^ housekeeping/_8217_/D1 (sky130_fd_sc_hd__o2111ai_1)
0.09 0.12 17.51 v housekeeping/_8217_/Y (sky130_fd_sc_hd__o2111ai_1)
1 0.00 housekeeping/_3847_ (net)
0.09 0.00 17.51 v housekeeping/_8220_/A3 (sky130_fd_sc_hd__o32a_2)
0.09 0.41 17.92 v housekeeping/_8220_/X (sky130_fd_sc_hd__o32a_2)
1 0.02 housekeeping/_3850_ (net)
0.09 0.00 17.92 v housekeeping/_8224_/C (sky130_fd_sc_hd__or4b_2)
0.13 0.71 18.63 v housekeeping/_8224_/X (sky130_fd_sc_hd__or4b_2)
1 0.01 housekeeping/_3854_ (net)
0.13 0.00 18.63 v housekeeping/_8227_/B (sky130_fd_sc_hd__or4_1)
0.10 0.57 19.20 v housekeeping/_8227_/X (sky130_fd_sc_hd__or4_1)
1 0.01 housekeeping/_3857_ (net)
0.10 0.00 19.20 v housekeeping/_8231_/A (sky130_fd_sc_hd__or4_1)
0.09 0.57 19.77 v housekeeping/_8231_/X (sky130_fd_sc_hd__or4_1)
1 0.00 housekeeping/_3861_ (net)
0.09 0.00 19.77 v housekeeping/_8237_/A (sky130_fd_sc_hd__or4_1)
0.10 0.58 20.36 v housekeeping/_8237_/X (sky130_fd_sc_hd__or4_1)
1 0.01 housekeeping/_3867_ (net)
0.10 0.00 20.36 v housekeeping/_8241_/A (sky130_fd_sc_hd__or4_1)
0.10 0.58 20.94 v housekeeping/_8241_/X (sky130_fd_sc_hd__or4_1)
1 0.00 housekeeping/_3871_ (net)
0.10 0.00 20.94 v housekeeping/_8245_/A (sky130_fd_sc_hd__or3_1)
0.08 0.42 21.36 v housekeeping/_8245_/X (sky130_fd_sc_hd__or3_1)
1 0.01 housekeeping/_3875_ (net)
0.08 0.00 21.36 v housekeeping/_8248_/A (sky130_fd_sc_hd__or3_1)
0.06 0.39 21.75 v housekeeping/_8248_/X (sky130_fd_sc_hd__or3_1)
1 0.00 housekeeping/_3878_ (net)
0.06 0.00 21.75 v housekeeping/_8251_/A (sky130_fd_sc_hd__or2_1)
0.04 0.23 21.98 v housekeeping/_8251_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3881_ (net)
0.04 0.00 21.98 v housekeeping/_8253_/A (sky130_fd_sc_hd__or2_1)
0.04 0.22 22.20 v housekeeping/_8253_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3883_ (net)
0.04 0.00 22.20 v housekeeping/_8255_/A (sky130_fd_sc_hd__or2_1)
0.04 0.22 22.43 v housekeeping/_8255_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3885_ (net)
0.04 0.00 22.43 v housekeeping/_8257_/A (sky130_fd_sc_hd__or2_1)
0.05 0.23 22.65 v housekeeping/_8257_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3887_ (net)
0.05 0.00 22.65 v housekeeping/_8259_/A (sky130_fd_sc_hd__or2_1)
0.05 0.24 22.89 v housekeeping/_8259_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3889_ (net)
0.05 0.00 22.89 v housekeeping/_8261_/A (sky130_fd_sc_hd__or2_1)
0.04 0.22 23.12 v housekeeping/_8261_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3891_ (net)
0.04 0.00 23.12 v housekeeping/_8263_/A (sky130_fd_sc_hd__or2_1)
0.09 0.28 23.40 v housekeeping/_8263_/X (sky130_fd_sc_hd__or2_1)
1 0.01 housekeeping/_3893_ (net)
0.09 0.00 23.40 v housekeeping/_8265_/A (sky130_fd_sc_hd__or2_1)
0.04 0.24 23.64 v housekeeping/_8265_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3895_ (net)
0.04 0.00 23.64 v housekeeping/_8267_/A (sky130_fd_sc_hd__or2_1)
0.05 0.24 23.88 v housekeeping/_8267_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3897_ (net)
0.05 0.00 23.88 v housekeeping/_8269_/A (sky130_fd_sc_hd__or2_1)
0.05 0.23 24.12 v housekeeping/_8269_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3899_ (net)
0.05 0.00 24.12 v housekeeping/_8271_/A (sky130_fd_sc_hd__or2_1)
0.08 0.27 24.39 v housekeeping/_8271_/X (sky130_fd_sc_hd__or2_1)
1 0.01 housekeeping/_3901_ (net)
0.08 0.00 24.39 v housekeeping/_8273_/A (sky130_fd_sc_hd__or2_1)
0.05 0.24 24.63 v housekeeping/_8273_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3903_ (net)
0.05 0.00 24.63 v housekeeping/_8275_/A (sky130_fd_sc_hd__or2_2)
0.14 0.42 25.05 v housekeeping/_8275_/X (sky130_fd_sc_hd__or2_2)
1 0.04 housekeeping/_3905_ (net)
0.14 0.01 25.06 v housekeeping/_8280_/A_N (sky130_fd_sc_hd__nand3b_1)
0.05 0.19 25.25 v housekeeping/_8280_/Y (sky130_fd_sc_hd__nand3b_1)
1 0.00 housekeeping/_3910_ (net)
0.05 0.00 25.25 v housekeeping/_8281_/B (sky130_fd_sc_hd__or2_1)
0.05 0.21 25.47 v housekeeping/_8281_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3911_ (net)
0.05 0.00 25.47 v housekeeping/_8283_/A (sky130_fd_sc_hd__or2_1)
0.05 0.24 25.71 v housekeeping/_8283_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3913_ (net)
0.05 0.00 25.71 v housekeeping/_8284_/B (sky130_fd_sc_hd__or2_1)
0.04 0.20 25.91 v housekeeping/_8284_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3914_ (net)
0.04 0.00 25.91 v housekeeping/_8285_/B (sky130_fd_sc_hd__or2_1)
0.04 0.20 26.11 v housekeeping/_8285_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3915_ (net)
0.04 0.00 26.11 v housekeeping/_8286_/C (sky130_fd_sc_hd__or3_1)
0.06 0.30 26.41 v housekeeping/_8286_/X (sky130_fd_sc_hd__or3_1)
1 0.00 housekeeping/_3916_ (net)
0.06 0.00 26.41 v housekeeping/_8287_/B (sky130_fd_sc_hd__or2_1)
0.06 0.23 26.65 v housekeeping/_8287_/X (sky130_fd_sc_hd__or2_1)
1 0.01 housekeeping/_3917_ (net)
0.06 0.00 26.65 v housekeeping/_8288_/B1 (sky130_fd_sc_hd__a31o_1)
0.04 0.20 26.84 v housekeeping/_8288_/X (sky130_fd_sc_hd__a31o_1)
1 0.00 housekeeping/_3918_ (net)
0.04 0.00 26.84 v housekeeping/_8289_/B1 (sky130_fd_sc_hd__a31o_1)
0.05 0.21 27.05 v housekeeping/_8289_/X (sky130_fd_sc_hd__a31o_1)
1 0.01 housekeeping/_3919_ (net)
0.05 0.00 27.05 v housekeeping/_8290_/B (sky130_fd_sc_hd__or2_1)
0.04 0.21 27.25 v housekeeping/_8290_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3920_ (net)
0.04 0.00 27.25 v housekeeping/_8291_/C (sky130_fd_sc_hd__or3_1)
0.06 0.31 27.57 v housekeeping/_8291_/X (sky130_fd_sc_hd__or3_1)
1 0.00 housekeeping/_3921_ (net)
0.06 0.00 27.57 v housekeeping/_8292_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.08 27.65 ^ housekeeping/_8292_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 housekeeping/_3922_ (net)
0.07 0.00 27.65 ^ housekeeping/_8294_/A (sky130_fd_sc_hd__or2_1)
0.06 0.12 27.77 ^ housekeeping/_8294_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3924_ (net)
0.06 0.00 27.77 ^ housekeeping/_8295_/B (sky130_fd_sc_hd__and2_1)
0.04 0.12 27.89 ^ housekeeping/_8295_/X (sky130_fd_sc_hd__and2_1)
1 0.00 housekeeping/_3925_ (net)
0.04 0.00 27.89 ^ housekeeping/_8296_/B (sky130_fd_sc_hd__nand2_1)
0.06 0.07 27.97 v housekeeping/_8296_/Y (sky130_fd_sc_hd__nand2_1)
1 0.01 housekeeping/_0179_ (net)
0.06 0.00 27.97 v housekeeping/_8969_/A0 (sky130_fd_sc_hd__mux2_4)
0.11 0.37 28.33 v housekeeping/_8969_/X (sky130_fd_sc_hd__mux2_4)
1 0.05 housekeeping/_4401_ (net)
0.11 0.01 28.34 v housekeeping/_5044_/A1 (sky130_fd_sc_hd__a22o_1)
0.05 0.25 28.59 v housekeeping/_5044_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 housekeeping/_0904_ (net)
0.05 0.00 28.59 v housekeeping/_9675_/D (sky130_fd_sc_hd__dfrtp_1)
28.59 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.88 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.69 30.31 clock reconvergence pessimism
-0.04 30.28 library setup time
30.28 data required time
-----------------------------------------------------------------------------
30.28 data required time
-28.59 data arrival time
-----------------------------------------------------------------------------
1.69 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[8]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.01 25.53 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.73 2.19 27.72 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.23 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[8] (net)
2.74 0.07 27.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[8]/D (sky130_fd_sc_hd__dfxtp_1)
27.79 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[8]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.16 30.75 library setup time
30.75 data required time
-----------------------------------------------------------------------------
30.75 data required time
-27.79 data arrival time
-----------------------------------------------------------------------------
2.96 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[20]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.81 2.21 27.61 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.24 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[20] (net)
2.83 0.08 27.70 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[20]/D (sky130_fd_sc_hd__dfxtp_1)
27.70 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.04 30.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[20]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.20 clock uncertainty
0.69 30.89 clock reconvergence pessimism
-0.16 30.73 library setup time
30.73 data required time
-----------------------------------------------------------------------------
30.73 data required time
-27.70 data arrival time
-----------------------------------------------------------------------------
3.04 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[13]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.01 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.63 2.13 27.65 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[13] (net)
2.63 0.05 27.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[13]/D (sky130_fd_sc_hd__dfxtp_1)
27.71 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[13]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.15 30.76 library setup time
30.76 data required time
-----------------------------------------------------------------------------
30.76 data required time
-27.71 data arrival time
-----------------------------------------------------------------------------
3.05 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[14]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.01 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.63 2.15 27.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[14] (net)
2.64 0.03 27.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[14]/D (sky130_fd_sc_hd__dfxtp_1)
27.71 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[14]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.15 30.76 library setup time
30.76 data required time
-----------------------------------------------------------------------------
30.76 data required time
-27.71 data arrival time
-----------------------------------------------------------------------------
3.05 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[15]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.01 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.59 2.10 27.62 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[15] (net)
2.60 0.08 27.70 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[15]/D (sky130_fd_sc_hd__dfxtp_1)
27.70 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[15]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.15 30.76 library setup time
30.76 data required time
-----------------------------------------------------------------------------
30.76 data required time
-27.70 data arrival time
-----------------------------------------------------------------------------
3.07 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[11]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.00 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.57 2.09 27.61 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[11] (net)
2.59 0.05 27.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[11]/D (sky130_fd_sc_hd__dfxtp_1)
27.66 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[11]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.15 30.76 library setup time
30.76 data required time
-----------------------------------------------------------------------------
30.76 data required time
-27.66 data arrival time
-----------------------------------------------------------------------------
3.10 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[12]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.01 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.55 2.06 27.59 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[12] (net)
2.55 0.07 27.65 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[12]/D (sky130_fd_sc_hd__dfxtp_1)
27.65 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[12]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.14 30.77 library setup time
30.77 data required time
-----------------------------------------------------------------------------
30.77 data required time
-27.65 data arrival time
-----------------------------------------------------------------------------
3.11 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[21]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.72 2.15 27.55 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.23 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[21] (net)
2.74 0.07 27.62 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[21]/D (sky130_fd_sc_hd__dfxtp_1)
27.62 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.05 30.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[21]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.21 clock uncertainty
0.69 30.90 clock reconvergence pessimism
-0.16 30.74 library setup time
30.74 data required time
-----------------------------------------------------------------------------
30.74 data required time
-27.62 data arrival time
-----------------------------------------------------------------------------
3.12 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[19]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.69 2.13 27.53 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.23 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[19] (net)
2.71 0.08 27.61 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[19]/D (sky130_fd_sc_hd__dfxtp_1)
27.61 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.03 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[19]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.19 clock uncertainty
0.69 30.88 clock reconvergence pessimism
-0.15 30.73 library setup time
30.73 data required time
-----------------------------------------------------------------------------
30.73 data required time
-27.61 data arrival time
-----------------------------------------------------------------------------
3.12 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[10]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.01 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.56 2.08 27.61 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[10] (net)
2.56 0.04 27.65 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[10]/D (sky130_fd_sc_hd__dfxtp_1)
27.65 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[10]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.15 30.77 library setup time
30.77 data required time
-----------------------------------------------------------------------------
30.77 data required time
-27.65 data arrival time
-----------------------------------------------------------------------------
3.12 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[9]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.01 25.53 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.52 2.04 27.57 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[9] (net)
2.52 0.08 27.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[9]/D (sky130_fd_sc_hd__dfxtp_1)
27.64 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[9]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.14 30.77 library setup time
30.77 data required time
-----------------------------------------------------------------------------
30.77 data required time
-27.64 data arrival time
-----------------------------------------------------------------------------
3.13 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[29]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.06 0.22 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.06 0.25 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.SEL (net)
0.06 0.00 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.36 25.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.39 0.01 25.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.33 0.39 25.44 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.SEL_B (net)
0.33 0.00 25.44 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.69 2.15 27.59 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.23 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[29] (net)
2.70 0.06 27.65 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[29]/D (sky130_fd_sc_hd__dfxtp_1)
27.65 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.09 30.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[29]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.25 clock uncertainty
0.69 30.94 clock reconvergence pessimism
-0.15 30.78 library setup time
30.78 data required time
-----------------------------------------------------------------------------
30.78 data required time
-27.65 data arrival time
-----------------------------------------------------------------------------
3.13 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[13]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.35 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.53 2.02 27.53 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[13] (net)
2.55 0.07 27.60 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[13]/D (sky130_fd_sc_hd__dfxtp_1)
27.60 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.09 30.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[13]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.25 clock uncertainty
0.69 30.94 clock reconvergence pessimism
-0.14 30.80 library setup time
30.80 data required time
-----------------------------------------------------------------------------
30.80 data required time
-27.60 data arrival time
-----------------------------------------------------------------------------
3.20 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[6]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.36 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.49 2.00 27.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[6] (net)
2.49 0.04 27.54 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[6]/D (sky130_fd_sc_hd__dfxtp_1)
27.54 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.05 30.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[6]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.21 clock uncertainty
0.69 30.90 clock reconvergence pessimism
-0.14 30.76 library setup time
30.76 data required time
-----------------------------------------------------------------------------
30.76 data required time
-27.54 data arrival time
-----------------------------------------------------------------------------
3.22 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[19]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.44 0.38 23.69 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.A_buf[3] (net)
0.44 0.00 23.70 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.25 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.04 0.19 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.00 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.04 0.00 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.12 0.00 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2)
0.06 0.26 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2)
1 0.01 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SEL (net)
0.06 0.00 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.48 25.05 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.10 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.SEL (net)
0.55 0.01 25.06 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.39 0.50 25.55 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SEL_B (net)
0.39 0.01 25.57 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.59 2.12 27.69 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_pre[19] (net)
2.60 0.01 27.71 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[19]/D (sky130_fd_sc_hd__dfxtp_1)
27.71 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.45 1.63 30.45 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.84 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[0].RAM32.CLK (net)
2.47 0.18 30.62 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[19]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.37 clock uncertainty
0.69 31.06 clock reconvergence pessimism
-0.14 30.92 library setup time
30.92 data required time
-----------------------------------------------------------------------------
30.92 data required time
-27.71 data arrival time
-----------------------------------------------------------------------------
3.22 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[18]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.59 2.06 27.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[18] (net)
2.60 0.05 27.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[18]/D (sky130_fd_sc_hd__dfxtp_1)
27.51 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.03 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[18]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.19 clock uncertainty
0.69 30.88 clock reconvergence pessimism
-0.15 30.73 library setup time
30.73 data required time
-----------------------------------------------------------------------------
30.73 data required time
-27.51 data arrival time
-----------------------------------------------------------------------------
3.23 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[16]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.57 2.04 27.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[16] (net)
2.59 0.07 27.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[16]/D (sky130_fd_sc_hd__dfxtp_1)
27.51 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.04 30.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[16]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.20 clock uncertainty
0.69 30.89 clock reconvergence pessimism
-0.15 30.74 library setup time
30.74 data required time
-----------------------------------------------------------------------------
30.74 data required time
-27.51 data arrival time
-----------------------------------------------------------------------------
3.23 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[1]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.36 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.50 2.00 27.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[1] (net)
2.50 0.05 27.55 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[1]/D (sky130_fd_sc_hd__dfxtp_1)
27.55 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.08 30.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[1]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.24 clock uncertainty
0.69 30.93 clock reconvergence pessimism
-0.14 30.78 library setup time
30.78 data required time
-----------------------------------------------------------------------------
30.78 data required time
-27.55 data arrival time
-----------------------------------------------------------------------------
3.23 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[21]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.44 0.38 23.69 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.A_buf[3] (net)
0.44 0.00 23.70 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.25 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.04 0.19 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.00 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.04 0.00 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.12 0.00 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2)
0.06 0.26 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2)
1 0.01 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SEL (net)
0.06 0.00 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.48 25.05 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.10 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.SEL (net)
0.55 0.01 25.06 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.39 0.50 25.55 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SEL_B (net)
0.39 0.01 25.57 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.56 2.10 27.67 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_pre[21] (net)
2.56 0.01 27.67 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[21]/D (sky130_fd_sc_hd__dfxtp_1)
27.67 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.45 1.63 30.45 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.84 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[0].RAM32.CLK (net)
2.47 0.18 30.62 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[21]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.37 clock uncertainty
0.69 31.06 clock reconvergence pessimism
-0.14 30.93 library setup time
30.93 data required time
-----------------------------------------------------------------------------
30.93 data required time
-27.67 data arrival time
-----------------------------------------------------------------------------
3.26 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[10]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.35 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.49 1.98 27.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[10] (net)
2.49 0.06 27.55 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[10]/D (sky130_fd_sc_hd__dfxtp_1)
27.55 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.10 30.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[10]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.26 clock uncertainty
0.69 30.95 clock reconvergence pessimism
-0.14 30.81 library setup time
30.81 data required time
-----------------------------------------------------------------------------
30.81 data required time
-27.55 data arrival time
-----------------------------------------------------------------------------
3.26 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[4]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.36 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.46 1.98 27.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[4] (net)
2.46 0.02 27.52 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[4]/D (sky130_fd_sc_hd__dfxtp_1)
27.52 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.08 30.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[4]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.24 clock uncertainty
0.69 30.93 clock reconvergence pessimism
-0.14 30.79 library setup time
30.79 data required time
-----------------------------------------------------------------------------
30.79 data required time
-27.52 data arrival time
-----------------------------------------------------------------------------
3.27 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[23]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.50 1.98 27.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[23] (net)
2.51 0.08 27.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[23]/D (sky130_fd_sc_hd__dfxtp_1)
27.46 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.03 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[23]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.19 clock uncertainty
0.69 30.88 clock reconvergence pessimism
-0.14 30.74 library setup time
30.74 data required time
-----------------------------------------------------------------------------
30.74 data required time
-27.46 data arrival time
-----------------------------------------------------------------------------
3.28 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[9]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.35 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.46 1.97 27.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[9] (net)
2.46 0.05 27.52 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[9]/D (sky130_fd_sc_hd__dfxtp_1)
27.52 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.09 30.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[9]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.25 clock uncertainty
0.69 30.94 clock reconvergence pessimism
-0.14 30.80 library setup time
30.80 data required time
-----------------------------------------------------------------------------
30.80 data required time
-27.52 data arrival time
-----------------------------------------------------------------------------
3.28 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[12]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.35 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.48 2.01 27.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[12] (net)
2.49 0.01 27.53 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[12]/D (sky130_fd_sc_hd__dfxtp_1)
27.53 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.10 30.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[12]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.26 clock uncertainty
0.69 30.95 clock reconvergence pessimism
-0.14 30.81 library setup time
30.81 data required time
-----------------------------------------------------------------------------
30.81 data required time
-27.53 data arrival time
-----------------------------------------------------------------------------
3.28 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[22]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.52 2.00 27.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[22] (net)
2.53 0.06 27.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[22]/D (sky130_fd_sc_hd__dfxtp_1)
27.46 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.04 30.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[22]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.20 clock uncertainty
0.69 30.89 clock reconvergence pessimism
-0.14 30.75 library setup time
30.75 data required time
-----------------------------------------------------------------------------
30.75 data required time
-27.46 data arrival time
-----------------------------------------------------------------------------
3.29 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[9]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.06 0.22 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2)
0.06 0.25 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net)
0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.43 0.39 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net)
0.43 0.01 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net)
0.22 0.00 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.58 2.06 27.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[9] (net)
2.59 0.04 27.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[9]/D (sky130_fd_sc_hd__dfxtp_1)
27.47 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[9]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.15 30.76 library setup time
30.76 data required time
-----------------------------------------------------------------------------
30.76 data required time
-27.47 data arrival time
-----------------------------------------------------------------------------
3.29 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[12]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.06 0.22 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2)
0.06 0.25 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net)
0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.43 0.39 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net)
0.43 0.01 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net)
0.22 0.00 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.54 2.01 27.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[12] (net)
2.54 0.06 27.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[12]/D (sky130_fd_sc_hd__dfxtp_1)
27.44 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.03 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[12]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.19 clock uncertainty
0.69 30.88 clock reconvergence pessimism
-0.14 30.74 library setup time
30.74 data required time
-----------------------------------------------------------------------------
30.74 data required time
-27.44 data arrival time
-----------------------------------------------------------------------------
3.30 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[22]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2)
0.06 0.25 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net)
0.06 0.00 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.49 0.44 25.12 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net)
0.49 0.00 25.12 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.27 0.36 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.05 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.SEL_B (net)
0.27 0.00 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.44 1.96 27.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[22] (net)
2.45 0.04 27.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[22]/D (sky130_fd_sc_hd__dfxtp_1)
27.48 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.07 30.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[22]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.23 clock uncertainty
0.69 30.92 clock reconvergence pessimism
-0.14 30.78 library setup time
30.78 data required time
-----------------------------------------------------------------------------
30.78 data required time
-27.48 data arrival time
-----------------------------------------------------------------------------
3.30 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[0]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.36 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.41 1.94 27.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[0] (net)
2.42 0.04 27.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[0]/D (sky130_fd_sc_hd__dfxtp_1)
27.49 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.08 30.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[0]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.24 clock uncertainty
0.69 30.93 clock reconvergence pessimism
-0.14 30.79 library setup time
30.79 data required time
-----------------------------------------------------------------------------
30.79 data required time
-27.49 data arrival time
-----------------------------------------------------------------------------
3.30 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[17]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.49 1.98 27.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[17] (net)
2.50 0.06 27.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[17]/D (sky130_fd_sc_hd__dfxtp_1)
27.44 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.03 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[17]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.19 clock uncertainty
0.69 30.88 clock reconvergence pessimism
-0.14 30.74 library setup time
30.74 data required time
-----------------------------------------------------------------------------
30.74 data required time
-27.44 data arrival time
-----------------------------------------------------------------------------
3.31 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[19]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.29 0.39 25.54 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.05 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.SEL_B (net)
0.29 0.00 25.54 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.37 1.93 27.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[19] (net)
2.38 0.01 27.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[19]/D (sky130_fd_sc_hd__dfxtp_1)
27.49 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.09 30.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[19]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.25 clock uncertainty
0.69 30.94 clock reconvergence pessimism
-0.13 30.80 library setup time
30.80 data required time
-----------------------------------------------------------------------------
30.80 data required time
-27.49 data arrival time
-----------------------------------------------------------------------------
3.32 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[11]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.06 0.22 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2)
0.06 0.25 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net)
0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.43 0.39 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net)
0.43 0.01 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net)
0.22 0.00 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.51 2.01 27.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[11] (net)
2.52 0.04 27.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[11]/D (sky130_fd_sc_hd__dfxtp_1)
27.42 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.03 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[11]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.19 clock uncertainty
0.69 30.88 clock reconvergence pessimism
-0.14 30.74 library setup time
30.74 data required time
-----------------------------------------------------------------------------
30.74 data required time
-27.42 data arrival time
-----------------------------------------------------------------------------
3.32 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[17]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.44 0.38 23.69 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.A_buf[3] (net)
0.44 0.00 23.70 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.25 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.04 0.19 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.00 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.04 0.00 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.12 0.00 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2)
0.06 0.26 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2)
1 0.01 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SEL (net)
0.06 0.00 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.48 25.05 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.10 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.SEL (net)
0.55 0.01 25.06 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.39 0.50 25.55 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SEL_B (net)
0.39 0.01 25.56 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.46 2.04 27.60 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_pre[17] (net)
2.46 0.01 27.61 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[17]/D (sky130_fd_sc_hd__dfxtp_1)
27.61 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.45 1.63 30.45 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.84 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[0].RAM32.CLK (net)
2.47 0.18 30.62 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[17]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.37 clock uncertainty
0.69 31.06 clock reconvergence pessimism
-0.13 30.93 library setup time
30.93 data required time
-----------------------------------------------------------------------------
30.93 data required time
-27.61 data arrival time
-----------------------------------------------------------------------------
3.32 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[2]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.36 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.38 1.91 27.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[2] (net)
2.38 0.04 27.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[2]/D (sky130_fd_sc_hd__dfxtp_1)
27.46 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.07 30.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[2]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.23 clock uncertainty
0.69 30.92 clock reconvergence pessimism
-0.13 30.78 library setup time
30.78 data required time
-----------------------------------------------------------------------------
30.78 data required time
-27.46 data arrival time
-----------------------------------------------------------------------------
3.32 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[8]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.35 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.42 1.95 27.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[8] (net)
2.42 0.03 27.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[8]/D (sky130_fd_sc_hd__dfxtp_1)
27.49 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.10 30.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[8]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.26 clock uncertainty
0.69 30.95 clock reconvergence pessimism
-0.14 30.81 library setup time
30.81 data required time
-----------------------------------------------------------------------------
30.81 data required time
-27.49 data arrival time
-----------------------------------------------------------------------------
3.32 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[15]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.35 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.42 1.95 27.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[15] (net)
2.42 0.02 27.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[15]/D (sky130_fd_sc_hd__dfxtp_1)
27.48 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.09 30.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[15]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.25 clock uncertainty
0.69 30.94 clock reconvergence pessimism
-0.14 30.80 library setup time
30.80 data required time
-----------------------------------------------------------------------------
30.80 data required time
-27.48 data arrival time
-----------------------------------------------------------------------------
3.33 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[10]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.06 0.22 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2)
0.06 0.25 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net)
0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.43 0.39 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net)
0.43 0.01 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net)
0.22 0.00 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.50 2.00 27.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[10] (net)
2.51 0.05 27.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[10]/D (sky130_fd_sc_hd__dfxtp_1)
27.41 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.04 30.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[10]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.20 clock uncertainty
0.69 30.89 clock reconvergence pessimism
-0.14 30.74 library setup time
30.74 data required time
-----------------------------------------------------------------------------
30.74 data required time
-27.41 data arrival time
-----------------------------------------------------------------------------
3.33 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[23]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.44 0.38 23.69 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.A_buf[3] (net)
0.44 0.00 23.70 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.25 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.04 0.19 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.00 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.04 0.00 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.12 0.00 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2)
0.06 0.26 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2)
1 0.01 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SEL (net)
0.06 0.00 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.48 25.05 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.10 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.SEL (net)
0.55 0.01 25.06 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.39 0.50 25.55 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SEL_B (net)
0.39 0.01 25.57 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.46 2.03 27.59 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_pre[23] (net)
2.47 0.01 27.60 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[23]/D (sky130_fd_sc_hd__dfxtp_1)
27.60 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.45 1.63 30.45 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.84 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[0].RAM32.CLK (net)
2.47 0.18 30.62 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[23]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.37 clock uncertainty
0.69 31.06 clock reconvergence pessimism
-0.13 30.93 library setup time
30.93 data required time
-----------------------------------------------------------------------------
30.93 data required time
-27.60 data arrival time
-----------------------------------------------------------------------------
3.33 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[8]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.06 0.22 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2)
0.06 0.25 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net)
0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.43 0.39 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net)
0.43 0.01 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net)
0.22 0.00 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.51 2.00 27.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[8] (net)
2.51 0.04 27.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[8]/D (sky130_fd_sc_hd__dfxtp_1)
27.41 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.04 30.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[8]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.20 clock uncertainty
0.69 30.89 clock reconvergence pessimism
-0.14 30.75 library setup time
30.75 data required time
-----------------------------------------------------------------------------
30.75 data required time
-27.41 data arrival time
-----------------------------------------------------------------------------
3.34 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[7]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.36 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.37 1.90 27.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[7] (net)
2.37 0.05 27.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[7]/D (sky130_fd_sc_hd__dfxtp_1)
27.45 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.08 30.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[7]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.24 clock uncertainty
0.69 30.93 clock reconvergence pessimism
-0.13 30.79 library setup time
30.79 data required time
-----------------------------------------------------------------------------
30.79 data required time
-27.45 data arrival time
-----------------------------------------------------------------------------
3.34 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[12]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2)
0.06 0.25 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net)
0.06 0.00 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.49 0.44 25.12 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net)
0.50 0.01 25.13 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.24 0.33 25.46 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SEL_B (net)
0.24 0.00 25.46 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.45 1.95 27.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[12] (net)
2.45 0.04 27.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[12]/D (sky130_fd_sc_hd__dfxtp_1)
27.45 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.09 30.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[12]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.25 clock uncertainty
0.69 30.94 clock reconvergence pessimism
-0.14 30.80 library setup time
30.80 data required time
-----------------------------------------------------------------------------
30.80 data required time
-27.45 data arrival time
-----------------------------------------------------------------------------
3.35 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[29]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.24 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.24 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 24.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.12 0.00 24.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.06 0.26 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.SEL (net)
0.06 0.00 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.46 25.12 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.52 0.00 25.12 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.27 0.36 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.05 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.SEL_B (net)
0.27 0.00 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.38 1.93 27.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[29] (net)
2.39 0.01 27.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[29]/D (sky130_fd_sc_hd__dfxtp_1)
27.43 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.07 30.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[29]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.23 clock uncertainty
0.69 30.92 clock reconvergence pessimism
-0.14 30.78 library setup time
30.78 data required time
-----------------------------------------------------------------------------
30.78 data required time
-27.43 data arrival time
-----------------------------------------------------------------------------
3.35 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[16]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.44 0.38 23.69 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.A_buf[3] (net)
0.44 0.00 23.70 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.25 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.04 0.19 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.00 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.04 0.00 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.12 0.00 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2)
0.06 0.26 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2)
1 0.01 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SEL (net)
0.06 0.00 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.48 25.05 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.10 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.SEL (net)
0.55 0.01 25.06 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.39 0.50 25.55 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SEL_B (net)
0.39 0.01 25.57 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.42 2.00 27.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_pre[16] (net)
2.42 0.01 27.58 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[16]/D (sky130_fd_sc_hd__dfxtp_1)
27.58 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.45 1.63 30.45 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.84 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[0].RAM32.CLK (net)
2.47 0.18 30.62 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[16]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.37 clock uncertainty
0.69 31.06 clock reconvergence pessimism
-0.13 30.93 library setup time
30.93 data required time
-----------------------------------------------------------------------------
30.93 data required time
-27.58 data arrival time
-----------------------------------------------------------------------------
3.36 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[11]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.35 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.37 1.92 27.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[11] (net)
2.38 0.02 27.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[11]/D (sky130_fd_sc_hd__dfxtp_1)
27.45 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.10 30.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[11]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.26 clock uncertainty
0.69 30.95 clock reconvergence pessimism
-0.13 30.81 library setup time
30.81 data required time
-----------------------------------------------------------------------------
30.81 data required time
-27.45 data arrival time
-----------------------------------------------------------------------------
3.36 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[18]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.29 0.39 25.54 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.05 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.SEL_B (net)
0.29 0.00 25.54 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.31 1.89 27.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[18] (net)
2.32 0.01 27.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[18]/D (sky130_fd_sc_hd__dfxtp_1)
27.44 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.09 30.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[18]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.25 clock uncertainty
0.69 30.94 clock reconvergence pessimism
-0.13 30.81 library setup time
30.81 data required time
-----------------------------------------------------------------------------
30.81 data required time
-27.44 data arrival time
-----------------------------------------------------------------------------
3.37 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[3]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.06 0.22 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.06 0.25 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.SEL (net)
0.06 0.00 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.36 25.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.38 0.00 25.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.33 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.05 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SEL_B (net)
0.26 0.00 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.48 2.00 27.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[3] (net)
2.49 0.04 27.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[3]/D (sky130_fd_sc_hd__dfxtp_1)
27.41 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.07 30.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[3]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.23 clock uncertainty
0.69 30.92 clock reconvergence pessimism
-0.14 30.77 library setup time
30.77 data required time
-----------------------------------------------------------------------------
30.77 data required time
-27.41 data arrival time
-----------------------------------------------------------------------------
3.37 slack (MET)
worst slack -0.46
worst slack 0.20
Management Area Interface
No paths found.
User project Interface
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
Flash output Interface
Startpoint: soc/core/_34932_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: flash_clk (output port clocked by clock)
Path Group: clock
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock clock (rise edge)
8.02 8.02 clock network delay (propagated)
0.00 8.02 ^ soc/core/_34932_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.36 8.38 v soc/core/_34932_/Q (sky130_fd_sc_hd__dfxtp_1)
0.14 8.52 v soc/core/output241/X (sky130_fd_sc_hd__clkbuf_4)
0.19 8.71 v housekeeping/input83/X (sky130_fd_sc_hd__buf_6)
0.34 9.05 v housekeeping/_8837_/X (sky130_fd_sc_hd__mux2_1)
0.25 9.31 v housekeeping/output250/X (sky130_fd_sc_hd__clkbuf_1)
10.44 19.75 v padframe/flash_clk_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0.00 19.75 v flash_clk (out)
19.75 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock network delay (propagated)
-0.25 24.75 clock uncertainty
0.00 24.75 clock reconvergence pessimism
-5.00 19.75 output external delay
19.75 data required time
---------------------------------------------------------
19.75 data required time
-19.75 data arrival time
---------------------------------------------------------
0.00 slack (MET)
Startpoint: soc/core/_38911_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: flash_csb (output port clocked by clock)
Path Group: clock
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock clock (rise edge)
7.92 7.92 clock network delay (propagated)
0.00 7.92 ^ soc/core/_38911_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.40 8.32 v soc/core/_38911_/Q (sky130_fd_sc_hd__dfxtp_1)
0.39 8.70 ^ soc/core/_20112_/Y (sky130_fd_sc_hd__nor3_4)
0.17 8.87 v soc/core/_20115_/Y (sky130_fd_sc_hd__nand2_8)
0.20 9.07 v soc/core/output242/X (sky130_fd_sc_hd__clkbuf_4)
0.19 9.26 v housekeeping/input84/X (sky130_fd_sc_hd__buf_4)
0.37 9.63 v housekeeping/_8836_/X (sky130_fd_sc_hd__mux2_2)
0.21 9.84 v housekeeping/output252/X (sky130_fd_sc_hd__buf_2)
10.37 20.21 v padframe/flash_csb_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0.00 20.21 v flash_csb (out)
20.21 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock network delay (propagated)
-0.25 24.75 clock uncertainty
0.00 24.75 clock reconvergence pessimism
-5.00 19.75 output external delay
19.75 data required time
---------------------------------------------------------
19.75 data required time
-20.21 data arrival time
---------------------------------------------------------
-0.46 slack (VIOLATED)
Startpoint: soc/core/_34930_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: flash_io0 (output port clocked by clock)
Path Group: clock
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock clock (rise edge)
8.01 8.01 clock network delay (propagated)
0.00 8.01 ^ soc/core/_34930_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.34 8.34 v soc/core/_34930_/Q (sky130_fd_sc_hd__dfxtp_1)
0.13 8.47 v soc/core/output243/X (sky130_fd_sc_hd__clkbuf_4)
0.22 8.69 v housekeeping/input85/X (sky130_fd_sc_hd__buf_4)
0.37 9.06 v housekeeping/_8838_/X (sky130_fd_sc_hd__mux2_2)
0.22 9.28 v housekeeping/output254/X (sky130_fd_sc_hd__buf_2)
10.37 19.65 v padframe/flash_io0_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0.00 19.65 v flash_io0 (out)
19.65 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock network delay (propagated)
-0.25 24.75 clock uncertainty
0.00 24.75 clock reconvergence pessimism
-5.00 19.75 output external delay
19.75 data required time
---------------------------------------------------------
19.75 data required time
-19.65 data arrival time
---------------------------------------------------------
0.10 slack (MET)
% % No paths found.
% No paths found.
% No paths found.
% Startpoint: soc/core/_38535_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5076_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock clock (rise edge)
7.79 7.79 clock network delay (propagated)
0.00 7.79 ^ soc/core/_38535_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.43 8.23 v soc/core/_38535_/Q (sky130_fd_sc_hd__dfxtp_4)
0.13 8.36 ^ soc/core/_21375_/Y (sky130_fd_sc_hd__inv_2)
0.15 8.51 ^ soc/core/output435/X (sky130_fd_sc_hd__clkbuf_4)
0.19 8.70 ^ mgmt_buffers/input443/X (sky130_fd_sc_hd__clkbuf_2)
0.26 8.95 v mgmt_buffers/la_buf_enable[34]/X (sky130_fd_sc_hd__and2b_1)
0.19 9.14 v mgmt_buffers/la_buf[34]/Z (sky130_fd_sc_hd__einvp_8)
0.21 9.35 v mprj/hack_soc/input39/X (sky130_fd_sc_hd__dlymetal6s2s_1)
0.43 9.78 v mprj/hack_soc/_2929_/X (sky130_fd_sc_hd__or3_1)
0.38 10.16 v mprj/hack_soc/_2932_/X (sky130_fd_sc_hd__a221o_1)
0.15 10.32 ^ mprj/hack_soc/_2934_/Y (sky130_fd_sc_hd__nand3_1)
0.15 10.47 ^ mprj/hack_soc/_2937_/X (sky130_fd_sc_hd__a21o_1)
0.21 10.67 ^ mprj/hack_soc/_2949_/X (sky130_fd_sc_hd__a31o_1)
0.19 10.86 ^ mprj/hack_soc/_2986_/X (sky130_fd_sc_hd__a21o_1)
0.18 11.04 ^ mprj/hack_soc/_3001_/X (sky130_fd_sc_hd__a21o_1)
0.70 11.74 v mprj/hack_soc/_3067_/X (sky130_fd_sc_hd__or4b_2)
0.44 12.17 v mprj/hack_soc/_3145_/X (sky130_fd_sc_hd__a2111o_1)
0.20 12.37 v mprj/hack_soc/_3151_/X (sky130_fd_sc_hd__a21o_1)
0.22 12.59 v mprj/hack_soc/_3165_/X (sky130_fd_sc_hd__a21o_1)
0.17 12.76 v mprj/hack_soc/_3181_/X (sky130_fd_sc_hd__and3_1)
0.31 13.07 v mprj/hack_soc/_3184_/X (sky130_fd_sc_hd__o31a_1)
0.19 13.26 v mprj/hack_soc/_3185_/Y (sky130_fd_sc_hd__xnor2_1)
0.23 13.49 v mprj/hack_soc/_3186_/X (sky130_fd_sc_hd__buf_2)
0.32 13.81 v mprj/hack_soc/_3886_/X (sky130_fd_sc_hd__mux2_1)
0.10 13.90 v mprj/hack_soc/_3887_/X (sky130_fd_sc_hd__clkbuf_1)
0.00 13.90 v mprj/hack_soc/_5076_/D (sky130_fd_sc_hd__dfxtp_1)
13.90 data arrival time
25.00 25.00 clock clock (rise edge)
5.18 30.18 clock network delay (propagated)
-0.25 29.93 clock uncertainty
0.69 30.62 clock reconvergence pessimism
30.62 ^ mprj/hack_soc/_5076_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.10 30.52 library setup time
30.52 data required time
---------------------------------------------------------
30.52 data required time
-13.90 data arrival time
---------------------------------------------------------
16.62 slack (MET)
% Startpoint: soc/core/_38546_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/_5340_
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock clock (rise edge)
6.77 6.77 clock network delay (propagated)
0.00 6.77 ^ soc/core/_38546_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.30 7.07 v soc/core/_38546_/Q (sky130_fd_sc_hd__dfxtp_1)
0.07 7.14 ^ soc/core/_21339_/Y (sky130_fd_sc_hd__inv_2)
0.12 7.26 ^ soc/core/output447/X (sky130_fd_sc_hd__clkbuf_4)
0.17 7.43 ^ mgmt_buffers/input455/X (sky130_fd_sc_hd__clkbuf_2)
0.23 7.67 v mgmt_buffers/la_buf_enable[45]/X (sky130_fd_sc_hd__and2b_1)
0.03 7.69 ^ mgmt_buffers/la_buf[45]/Z (sky130_fd_sc_hd__einvp_8)
0.06 7.75 ^ mprj/hack_soc/input23/X (sky130_fd_sc_hd__clkbuf_1)
0.00 7.75 ^ mprj/hack_soc/_5340_/D (sky130_fd_sc_hd__dfxtp_1)
7.75 data arrival time
0.00 0.00 clock clock (rise edge)
6.23 6.23 clock network delay (propagated)
0.25 6.48 clock uncertainty
-0.69 5.80 clock reconvergence pessimism
5.80 ^ mprj/hack_soc/_5340_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.02 5.77 library hold time
5.77 data required time
---------------------------------------------------------
5.77 data required time
-7.75 data arrival time
---------------------------------------------------------
1.98 slack (MET)
% Startpoint: mprj/hack_soc/_5070_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/hack_soc/soc.spi_video_ram_1.write_fifo.dffrf/REGF[12].RFW.BIT[8].FF
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock clock (rise edge)
5.18 5.18 clock network delay (propagated)
0.00 5.18 ^ mprj/hack_soc/_5070_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.29 5.47 v mprj/hack_soc/_5070_/Q (sky130_fd_sc_hd__dfxtp_1)
0.52 5.99 v mprj/hack_soc/hold13/X (sky130_fd_sc_hd__dlygate4sd3_1)
0.53 6.52 v mprj/hack_soc/hold14/X (sky130_fd_sc_hd__dlygate4sd3_1)
0.24 6.76 v mprj/hack_soc/hold15/X (sky130_fd_sc_hd__clkdlybuf4s25_1)
0.00 6.76 v mprj/hack_soc/soc.spi_video_ram_1.write_fifo.dffrf/REGF[12].RFW.BIT[8].FF/D (sky130_fd_sc_hd__dfxtp_1)
6.76 data arrival time
0.00 0.00 clock clock (rise edge)
6.90 6.90 clock network delay (propagated)
0.25 7.15 clock uncertainty
-0.81 6.34 clock reconvergence pessimism
6.34 ^ mprj/hack_soc/soc.spi_video_ram_1.write_fifo.dffrf/REGF[12].RFW.BIT[8].FF/CLK (sky130_fd_sc_hd__dfxtp_1)
0.09 6.43 library hold time
6.43 data required time
---------------------------------------------------------
6.43 data required time
-6.76 data arrival time
---------------------------------------------------------
0.32 slack (MET)
%