blob: f236c83e1a56db190d2496b6f0be684199b6d0ce [file] [log] [blame]
OpenSTA 2.3.1 61c0f9d73c Copyright (c) 2021, Parallax Software, Inc.
License GPLv3: GNU GPL version 3 <http://gnu.org/licenses/gpl.html>
This is free software, and you are free to change and redistribute it
under certain conditions; type `show_copying' for details.
This program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.
Warning: /home/videogamo/Work/mpw5/pdk/sky130A/libs.ref/sky130_fd_sc_hvl/lib/sky130_fd_sc_hvl__tt_025C_3v30_lv1v80.lib line 1, default_operating_condition tt_025C_3v30_lv1v80 not found.
Warning: ../verilog/gl/DFFRF_2R1W.powered.nl.v line 49, module sky130_fd_sc_hvl__fill_2 not found. Creating black box for FILLER_0_28.
Warning: ../verilog/gl/DFFRF_2R1W.powered.nl.v line 55, module sky130_fd_sc_hvl__fill_1 not found. Creating black box for FILLER_0_30.
Warning: ../verilog/gl/DFFRF_2R1W.powered.nl.v line 705, module sky130_fd_sc_hd__fill_2 not found. Creating black box for FILLER_0_11.
Warning: ../verilog/gl/DFFRF_2R1W.powered.nl.v line 709, module sky130_fd_sc_hd__fill_1 not found. Creating black box for FILLER_0_113.
Warning: ../verilog/gl/DFFRF_2R1W.powered.nl.v line 1224, module sky130_ef_io__corner_pad not found. Creating black box for \mgmt_corner[0] .
Warning: ../verilog/gl/DFFRF_2R1W.powered.nl.v line 1282, module sky130_ef_io__vddio_hvc_clamped_pad not found. Creating black box for \mgmt_vddio_hvclamp_pad[0] .
Warning: ../verilog/gl/DFFRF_2R1W.powered.nl.v line 1342, module sky130_ef_io__vssio_hvc_clamped_pad not found. Creating black box for \mgmt_vssio_hvclamp_pad[0] .
Warning: ../verilog/gl/DFFRF_2R1W.powered.nl.v line 1973, module sky130_fd_sc_hd__tapvpwrvgnd_1 not found. Creating black box for TAP_100.
Warning: ../verilog/gl/DFFRF_2R1W.powered.nl.v line 4766, module simple_por not found. Creating black box for por.
Warning: ./spef/chip_io.spef line 9225, syntax error, unexpected CAP, expecting KW_P or KW_I.
Warning: ./spef/housekeeping.spef line 29368, pwr_ctrl_out[0] not connected to net housekeeping/pwr_ctrl_out[0].
Warning: ./spef/housekeeping.spef line 29375, pwr_ctrl_out[0] not connected to net housekeeping/pwr_ctrl_out[0].
Warning: ./spef/housekeeping.spef line 29383, pwr_ctrl_out[1] not connected to net housekeeping/pwr_ctrl_out[1].
Warning: ./spef/housekeeping.spef line 29390, pwr_ctrl_out[1] not connected to net housekeeping/pwr_ctrl_out[1].
Warning: ./spef/housekeeping.spef line 29398, pwr_ctrl_out[2] not connected to net housekeeping/pwr_ctrl_out[2].
Warning: ./spef/housekeeping.spef line 29404, pwr_ctrl_out[2] not connected to net housekeeping/pwr_ctrl_out[2].
Warning: ./spef/housekeeping.spef line 29412, pwr_ctrl_out[3] not connected to net housekeeping/pwr_ctrl_out[3].
Warning: ./spef/housekeeping.spef line 29420, pwr_ctrl_out[3] not connected to net housekeeping/pwr_ctrl_out[3].
Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_1\[0\]/one.
Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_1\[0\]/one.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_1\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_1\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_1\[1\]/one.
Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_1\[1\]/one.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_1\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_1\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_2\[1\]/one.
Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_2\[1\]/one.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_2\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_2\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_2\[2\]/one.
Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_2\[2\]/one.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_2\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_2\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[10\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[10\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[3\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[3\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[4\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[4\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[5\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[5\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[6\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[6\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[7\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[7\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[8\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[8\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[9\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[9\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[3\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[3\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[4\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[4\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[5\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[5\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[10\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[10\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[11\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[11\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[12\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[12\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[13\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[13\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[14\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[14\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[15\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[15\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[3\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[3\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[4\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[4\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[5\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[5\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[6\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[6\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[7\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[7\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[8\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[8\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[9\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[9\]/zero.
Warning: ./spef/gpio_defaults_block_1803.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_0/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_1803.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_0/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_1803.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_0/gpio_defaults_high\[10\].
Warning: ./spef/gpio_defaults_block_1803.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_0/gpio_defaults_high\[10\].
Warning: ./spef/gpio_defaults_block_1803.spef line 139, gpio_defaults[11] not connected to net gpio_defaults_block_0/gpio_defaults_low\[11\].
Warning: ./spef/gpio_defaults_block_1803.spef line 144, gpio_defaults[11] not connected to net gpio_defaults_block_0/gpio_defaults_low\[11\].
Warning: ./spef/gpio_defaults_block_1803.spef line 152, gpio_defaults[12] not connected to net gpio_defaults_block_0/gpio_defaults_low\[12\].
Warning: ./spef/gpio_defaults_block_1803.spef line 156, gpio_defaults[12] not connected to net gpio_defaults_block_0/gpio_defaults_low\[12\].
Warning: ./spef/gpio_defaults_block_1803.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_1/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_1803.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_1/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_1803.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_1/gpio_defaults_high\[10\].
Warning: ./spef/gpio_defaults_block_1803.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_1/gpio_defaults_high\[10\].
Warning: ./spef/gpio_defaults_block_1803.spef line 139, gpio_defaults[11] not connected to net gpio_defaults_block_1/gpio_defaults_low\[11\].
Warning: ./spef/gpio_defaults_block_1803.spef line 144, gpio_defaults[11] not connected to net gpio_defaults_block_1/gpio_defaults_low\[11\].
Warning: ./spef/gpio_defaults_block_1803.spef line 152, gpio_defaults[12] not connected to net gpio_defaults_block_1/gpio_defaults_low\[12\].
Warning: ./spef/gpio_defaults_block_1803.spef line 156, gpio_defaults[12] not connected to net gpio_defaults_block_1/gpio_defaults_low\[12\].
Warning: ./spef/gpio_defaults_block_0403.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_2/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_0403.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_2/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_0403.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_3/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_0403.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_3/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_0403.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_4/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_0403.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_4/gpio_defaults_low\[0\].
Warning: ./spef/caravel.spef line 4115, *2810 not connected to net gpio_clock_1\[0\].
Warning: ./spef/caravel.spef line 4116, *2803 not connected to net gpio_clock_1\[0\].
Warning: ./spef/caravel.spef line 4187, *2803 not connected to net gpio_clock_1\[0\].
Warning: ./spef/caravel.spef line 4194, *2810 not connected to net gpio_clock_1\[0\].
Warning: ./spef/caravel.spef line 4218, *2805 not connected to net gpio_clock_1\[10\].
Warning: ./spef/caravel.spef line 4219, *2804 not connected to net gpio_clock_1\[10\].
Warning: ./spef/caravel.spef line 4286, *2804 not connected to net gpio_clock_1\[10\].
Warning: ./spef/caravel.spef line 4298, *2805 not connected to net gpio_clock_1\[10\].
Warning: ./spef/caravel.spef line 4323, *2806 not connected to net gpio_clock_1\[11\].
Warning: ./spef/caravel.spef line 4324, *2805 not connected to net gpio_clock_1\[11\].
Warning: ./spef/caravel.spef line 4415, *2805 not connected to net gpio_clock_1\[11\].
Warning: ./spef/caravel.spef line 4423, *2806 not connected to net gpio_clock_1\[11\].
Warning: ./spef/caravel.spef line 4443, *2807 not connected to net gpio_clock_1\[12\].
Warning: ./spef/caravel.spef line 4446, *2806 not connected to net gpio_clock_1\[12\].
Warning: ./spef/caravel.spef line 4502, *2806 not connected to net gpio_clock_1\[12\].
Warning: ./spef/caravel.spef line 4519, *2807 not connected to net gpio_clock_1\[12\].
Warning: ./spef/caravel.spef line 4531, *2808 not connected to net gpio_clock_1\[13\].
Warning: ./spef/caravel.spef line 4532, *2807 not connected to net gpio_clock_1\[13\].
Warning: ./spef/caravel.spef line 4594, *2807 not connected to net gpio_clock_1\[13\].
Warning: ./spef/caravel.spef line 4603, *2808 not connected to net gpio_clock_1\[13\].
Warning: ./spef/caravel.spef line 4629, *2809 not connected to net gpio_clock_1\[14\].
Warning: ./spef/caravel.spef line 4630, *2808 not connected to net gpio_clock_1\[14\].
Warning: ./spef/caravel.spef line 4685, *2808 not connected to net gpio_clock_1\[14\].
Warning: ./spef/caravel.spef line 4688, *2809 not connected to net gpio_clock_1\[14\].
Warning: ./spef/caravel.spef line 4710, *2783 not connected to net gpio_clock_1\[15\].
Warning: ./spef/caravel.spef line 4711, *2809 not connected to net gpio_clock_1\[15\].
Warning: ./spef/caravel.spef line 4904, *2809 not connected to net gpio_clock_1\[15\].
Warning: ./spef/caravel.spef line 4906, *2783 not connected to net gpio_clock_1\[15\].
Warning: ./spef/caravel.spef line 4935, *2784 not connected to net gpio_clock_1\[16\].
Warning: ./spef/caravel.spef line 4936, *2783 not connected to net gpio_clock_1\[16\].
Warning: ./spef/caravel.spef line 5008, *2783 not connected to net gpio_clock_1\[16\].
Warning: ./spef/caravel.spef line 5009, *2784 not connected to net gpio_clock_1\[16\].
Warning: ./spef/caravel.spef line 5022, *2784 not connected to net gpio_clock_1\[17\].
Warning: ./spef/caravel.spef line 5023, *2785 not connected to net gpio_clock_1\[17\].
Warning: ./spef/caravel.spef line 5121, *2785 not connected to net gpio_clock_1\[17\].
Warning: ./spef/caravel.spef line 5127, *2784 not connected to net gpio_clock_1\[17\].
Warning: ./spef/caravel.spef line 5137, *2785 not connected to net gpio_clock_1\[18\].
Warning: ./spef/caravel.spef line 5190, *2785 not connected to net gpio_clock_1\[18\].
Warning: ./spef/caravel.spef line 5202, *2811 not connected to net gpio_clock_1\[1\].
Warning: ./spef/caravel.spef line 5203, *2810 not connected to net gpio_clock_1\[1\].
Warning: ./spef/caravel.spef line 5289, *2810 not connected to net gpio_clock_1\[1\].
Warning: ./spef/caravel.spef line 5297, *2811 not connected to net gpio_clock_1\[1\].
Warning: ./spef/caravel.spef line 5321, *2812 not connected to net gpio_clock_1\[2\].
Warning: ./spef/caravel.spef line 5324, *2811 not connected to net gpio_clock_1\[2\].
Warning: ./spef/caravel.spef line 5411, *2811 not connected to net gpio_clock_1\[2\].
Warning: ./spef/caravel.spef line 5434, *2812 not connected to net gpio_clock_1\[2\].
Warning: ./spef/caravel.spef line 5446, *2813 not connected to net gpio_clock_1\[3\].
Warning: ./spef/caravel.spef line 5447, *2812 not connected to net gpio_clock_1\[3\].
Warning: ./spef/caravel.spef line 5504, *2812 not connected to net gpio_clock_1\[3\].
Warning: ./spef/caravel.spef line 5511, *2813 not connected to net gpio_clock_1\[3\].
Warning: ./spef/caravel.spef line 5535, *2814 not connected to net gpio_clock_1\[4\].
Warning: ./spef/caravel.spef line 5536, *2813 not connected to net gpio_clock_1\[4\].
Warning: ./spef/caravel.spef line 5599, *2813 not connected to net gpio_clock_1\[4\].
Warning: ./spef/caravel.spef line 5611, *2814 not connected to net gpio_clock_1\[4\].
Warning: ./spef/caravel.spef line 5630, *2815 not connected to net gpio_clock_1\[5\].
Warning: ./spef/caravel.spef line 5631, *2814 not connected to net gpio_clock_1\[5\].
Warning: ./spef/caravel.spef line 5713, *2814 not connected to net gpio_clock_1\[5\].
Warning: ./spef/caravel.spef line 5719, *2815 not connected to net gpio_clock_1\[5\].
Warning: ./spef/caravel.spef line 5737, *2814 not connected to net gpio_clock_1\[5\].
Warning: ./spef/caravel.spef line 5749, *2816 not connected to net gpio_clock_1\[6\].
Warning: ./spef/caravel.spef line 5750, *2815 not connected to net gpio_clock_1\[6\].
Warning: ./spef/caravel.spef line 5835, *2815 not connected to net gpio_clock_1\[6\].
Warning: ./spef/caravel.spef line 5845, *2816 not connected to net gpio_clock_1\[6\].
Warning: ./spef/caravel.spef line 5859, *2815 not connected to net gpio_clock_1\[6\].
Warning: ./spef/caravel.spef line 5871, *2817 not connected to net gpio_clock_1\[7\].
Warning: ./spef/caravel.spef line 5872, *2816 not connected to net gpio_clock_1\[7\].
Warning: ./spef/caravel.spef line 5970, *2816 not connected to net gpio_clock_1\[7\].
Warning: ./spef/caravel.spef line 5977, *2817 not connected to net gpio_clock_1\[7\].
Warning: ./spef/caravel.spef line 6002, *2818 not connected to net gpio_clock_1\[8\].
Warning: ./spef/caravel.spef line 6003, *2817 not connected to net gpio_clock_1\[8\].
Warning: ./spef/caravel.spef line 6077, *2817 not connected to net gpio_clock_1\[8\].
Warning: ./spef/caravel.spef line 6082, *2818 not connected to net gpio_clock_1\[8\].
Warning: ./spef/caravel.spef line 6107, *2804 not connected to net gpio_clock_1\[9\].
Warning: ./spef/caravel.spef line 6108, *2818 not connected to net gpio_clock_1\[9\].
Warning: ./spef/caravel.spef line 6166, *2818 not connected to net gpio_clock_1\[9\].
Warning: ./spef/caravel.spef line 6168, *2804 not connected to net gpio_clock_1\[9\].
Warning: ./spef/caravel.spef line 6189, *2803 not connected to net gpio_clock_1_shifted\[0\].
Warning: ./spef/caravel.spef line 6233, *2803 not connected to net gpio_clock_1_shifted\[0\].
Warning: ./spef/caravel.spef line 6239, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6243, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6248, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6253, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6257, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6265, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6270, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6274, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6284, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6289, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6293, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6302, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6307, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6311, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6318, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6323, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6327, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6335, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6340, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6344, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6351, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6356, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6360, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6369, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6374, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6378, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6385, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6390, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6394, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6400, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6405, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6409, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6414, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6419, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6423, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6432, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6437, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6441, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6445, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6450, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6454, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6460, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6465, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6469, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6476, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6481, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6485, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6492, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6497, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6501, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6508, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6513, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6517, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6525, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6530, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6534, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6539, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6544, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6548, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6554, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6561, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6565, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6573, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6578, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6582, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6591, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6596, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6600, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6608, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6613, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6617, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6625, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6630, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6634, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6641, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6646, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6650, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6655, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6660, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6664, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6668, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6673, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6677, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6683, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6688, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6692, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6699, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6704, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6708, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6715, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6720, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6724, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6730, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6735, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6739, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6746, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6751, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6755, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6761, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6766, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6770, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6777, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6782, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6786, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6792, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6797, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6801, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6809, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6814, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6818, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6826, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6831, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6835, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6843, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6848, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6852, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6858, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6863, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6867, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6873, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6878, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6882, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6886, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6891, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6895, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6901, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6906, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6910, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6916, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6921, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6925, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6931, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6936, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 6940, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 6946, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 6953, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6957, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6963, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6968, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6972, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6978, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6983, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6987, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6993, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6998, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7002, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7007, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7012, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7016, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7022, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7027, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7031, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7039, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7044, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7048, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7055, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7060, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7064, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7071, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7076, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7080, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7085, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7090, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7094, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7098, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7103, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7107, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7114, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7119, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7123, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7129, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7134, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7138, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7144, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7149, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7153, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7159, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7164, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7168, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7174, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7179, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7183, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7191, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7196, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7200, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7205, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7211, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7215, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7221, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7226, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7230, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7239, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7244, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7248, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7256, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7261, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7265, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7273, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7278, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7282, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7288, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7293, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7297, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7304, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7309, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7313, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7320, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7325, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7329, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7333, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7338, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7342, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7348, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7353, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7357, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7364, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7369, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7373, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7381, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7386, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7390, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7397, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7402, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7406, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7413, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7418, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7422, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7428, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7433, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7437, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7443, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7448, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7452, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7460, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7465, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7469, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7477, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7482, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7486, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7494, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7499, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7503, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7511, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7516, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7520, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7526, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7531, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7535, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7541, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7546, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7550, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7554, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7559, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7563, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7569, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7574, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7578, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7584, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7589, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7593, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7599, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7604, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7608, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7614, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7619, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7623, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7629, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7634, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7638, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7644, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7649, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7653, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7659, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7664, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7668, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7674, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7681, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7685, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7692, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7697, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7701, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7710, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7715, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7719, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7726, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7731, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7735, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7742, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7747, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7751, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7756, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7761, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7765, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7769, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7774, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7778, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7784, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7789, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7793, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7799, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7804, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7808, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7815, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7820, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7824, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7830, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7835, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7839, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7846, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7851, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7855, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7861, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7866, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7870, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7877, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7882, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7886, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7889, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7894, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7898, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7902, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7907, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7911, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7915, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7920, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7924, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7928, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7933, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7937, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7941, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7946, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7950, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7954, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7959, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 7963, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 7969, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 7974, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7978, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7982, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7987, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7991, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7995, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8000, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8004, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8008, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8013, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8017, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8021, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8026, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8030, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8034, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8039, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8043, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8047, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8052, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8056, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8060, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8065, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8069, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8072, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8077, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8081, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8084, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8089, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8093, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8097, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8102, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8106, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8112, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8117, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8121, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8125, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8130, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8134, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8138, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8143, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8147, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8151, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8156, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8160, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8164, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8169, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8173, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8177, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8182, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8186, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8190, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8195, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8199, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8203, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8208, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8212, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8216, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8221, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8225, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8229, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8234, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8238, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8242, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8247, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8251, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8257, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8262, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8266, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8269, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8274, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8278, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8281, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8286, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8290, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8294, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8299, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8303, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8307, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8312, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8316, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8320, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8325, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8329, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8333, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8338, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8342, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8346, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8351, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8355, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8359, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8364, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8368, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8372, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8377, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8381, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8385, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8390, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8394, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8400, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8405, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8409, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8413, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8418, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8422, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8426, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8431, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8435, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8439, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8444, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8448, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8451, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8456, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8460, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8463, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8468, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8472, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8476, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8481, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8485, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8489, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8494, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8498, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8502, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8507, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8511, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8515, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8520, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8524, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8528, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8533, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8537, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8544, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8549, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8553, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8557, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8562, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8566, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8570, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8575, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8579, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8583, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8588, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8592, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8596, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8601, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8605, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8609, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8614, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8618, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8622, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8627, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8631, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8634, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8639, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8643, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8646, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8651, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8655, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8659, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8664, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8668, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8672, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8677, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8681, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8685, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8690, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8694, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8698, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8703, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8707, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8711, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8716, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8720, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8724, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8729, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8733, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8737, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8742, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8746, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8750, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8755, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8759, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8763, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8768, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8772, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8776, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8781, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8785, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8789, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8794, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8798, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8802, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8807, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8811, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8814, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8819, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8823, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8829, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8834, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8838, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8841, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8846, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8850, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8854, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8859, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8863, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8867, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8872, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8876, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8880, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8885, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8889, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8893, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8898, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8902, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8906, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8911, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8915, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8919, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8924, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8928, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8932, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8937, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8941, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8945, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8950, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8954, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8958, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8963, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 8967, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 8972, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 8977, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8981, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8985, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8990, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8994, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8998, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 9003, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 9007, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 9010, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 9015, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9019, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9022, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9027, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9031, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9035, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9040, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9044, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9048, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9053, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9057, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9061, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9066, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9070, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9074, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9079, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9083, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9087, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9092, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9096, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9100, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9105, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9109, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9117, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9122, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9126, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9130, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9135, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9139, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9143, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9148, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9152, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9156, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9161, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9165, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9169, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9174, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9178, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9182, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9187, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9191, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9194, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9199, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9203, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9210, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9215, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9219, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9222, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9227, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9231, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9239, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9244, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9248, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9255, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9260, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9264, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9268, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9273, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9277, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9281, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9286, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9290, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9294, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9299, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9303, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9307, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9312, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9316, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9320, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9325, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9329, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9333, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9338, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9342, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9346, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9351, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9355, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9359, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9364, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9368, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9372, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9377, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9381, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9385, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9390, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9394, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9400, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9405, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9409, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9413, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9418, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9422, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9425, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9430, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9434, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9437, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9442, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9446, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9450, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9455, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9459, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9463, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9468, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9472, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9476, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9481, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9485, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9489, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9494, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9498, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9502, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9507, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9511, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9515, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9520, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9524, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9528, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9533, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9537, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9543, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9548, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9552, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9556, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9561, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9565, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9569, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9574, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9578, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9582, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9587, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9591, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9595, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9600, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9604, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9607, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9612, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9616, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9620, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9625, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9629, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9633, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9638, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9642, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9646, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9651, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9655, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9659, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9664, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9668, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9672, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9677, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9681, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9685, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9690, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9694, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9698, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9703, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9707, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9711, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9716, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9720, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9724, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9729, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9733, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9737, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9742, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9746, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9750, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9755, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9759, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9763, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9768, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9772, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9776, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9781, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9785, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9788, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9793, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9797, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9800, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9805, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9809, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9813, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9818, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9822, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9828, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9833, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9837, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9841, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9846, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9850, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9854, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9859, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9863, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9867, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9872, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9876, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9880, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9885, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9889, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9893, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9898, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9902, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9906, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9911, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9915, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9919, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9924, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9928, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9932, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9937, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9941, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9945, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9950, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9954, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9958, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9963, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9967, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9973, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9978, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9982, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9985, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9990, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 9994, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 9997, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10002, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10006, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10010, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10015, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10019, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10023, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10028, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10032, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10036, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10041, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10045, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10049, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10054, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10058, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10062, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10067, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10071, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10075, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10080, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10084, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10088, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10093, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10097, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10101, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10106, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10110, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10117, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10122, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10126, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10130, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10135, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10139, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10143, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10148, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10152, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10156, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10161, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10165, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10168, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10173, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10177, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10180, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10185, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10189, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10193, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10198, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10202, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10206, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10211, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10215, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10219, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10224, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10228, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10232, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10237, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10241, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10245, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10250, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10254, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10260, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10265, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10269, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10273, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10278, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10282, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10286, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10291, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10295, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10299, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10304, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10308, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10312, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10317, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10321, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10325, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10330, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10334, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10338, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10343, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10347, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10350, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10355, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10359, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10363, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10368, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10372, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10376, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10381, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10385, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10389, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10394, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10398, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10404, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10409, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10413, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10417, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10422, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10426, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10430, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10435, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10439, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10443, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10448, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10452, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10456, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10461, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10465, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10469, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10474, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10478, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10482, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10487, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10491, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10495, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10500, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10504, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10508, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10513, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10517, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10521, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10526, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10530, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10533, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10538, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10542, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10547, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10552, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10556, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10560, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10565, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10569, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10573, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10578, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10582, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10586, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10591, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10595, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10599, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10604, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10608, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10612, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10617, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10621, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10625, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10630, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10634, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10638, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10643, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10647, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10651, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10656, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10660, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10664, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10669, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10673, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10677, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10682, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10686, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10690, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10695, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10699, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10707, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10712, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10716, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10720, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10725, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10729, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10733, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10738, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10742, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10745, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10750, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10754, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10757, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10762, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10766, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10770, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10775, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10779, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10783, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10788, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10792, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10796, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10801, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10805, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10809, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10814, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10818, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10822, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10827, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10831, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10835, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10840, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10844, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10851, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10856, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10860, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10864, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10869, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10873, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10877, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10882, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10886, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10890, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10895, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10899, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10903, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10908, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10912, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10916, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10921, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10925, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10928, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10933, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10937, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10940, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10945, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10949, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10953, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10958, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10962, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10966, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10971, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10975, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10979, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10984, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10988, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10996, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11001, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11005, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11009, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11014, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11018, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11022, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11027, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11031, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11035, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11040, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11044, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11048, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11053, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11057, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11061, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11066, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11070, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11074, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11079, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11083, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11087, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11092, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11096, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11100, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11105, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11109, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11112, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11117, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11121, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11124, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11129, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11133, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11141, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11146, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11150, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11154, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11159, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11163, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11167, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11172, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11176, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11180, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11185, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11189, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11193, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11198, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11202, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11206, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11211, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11215, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11219, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11224, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11228, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11232, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11237, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11241, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11245, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11250, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11254, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11258, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11263, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11267, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11271, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11276, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11280, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11286, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11291, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11295, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11299, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11304, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11308, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11311, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11316, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11320, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11323, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11328, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11332, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11336, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11341, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11345, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11349, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11354, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11358, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11362, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11367, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11371, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11375, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11380, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11384, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11388, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11393, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11397, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11401, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11406, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11410, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11414, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11419, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11423, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11428, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11433, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11437, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11441, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11446, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11450, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11454, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11459, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11463, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11467, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11472, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11476, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11480, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11485, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11489, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11492, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11497, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11501, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11504, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11509, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11513, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11517, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11522, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11526, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11530, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11535, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11539, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11543, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11548, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11552, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11556, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11561, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11565, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11569, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11574, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11578, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11582, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11587, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11591, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11595, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11600, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11604, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11608, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11613, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11617, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11621, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11626, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11630, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11634, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11639, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11643, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11647, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11652, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11656, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11660, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11665, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11669, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11672, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11677, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11681, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11685, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11690, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11694, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11696, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11701, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11705, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11711, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11716, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11720, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11722, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11727, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11731, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11733, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11738, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11742, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11744, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11749, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11753, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11755, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11760, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11764, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11766, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11771, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11775, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11777, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11782, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11786, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11788, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11793, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11797, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11799, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11804, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11808, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11810, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11815, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11819, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11821, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11826, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11830, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11837, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11842, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11846, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11848, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11853, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11857, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11860, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11865, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11869, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11873, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11878, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11882, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11886, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11891, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11895, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11899, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11904, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11908, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11912, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11917, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11921, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11925, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11930, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11934, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11938, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11943, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11947, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11951, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11956, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11960, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11964, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11969, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11973, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11979, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11984, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11988, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11992, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11997, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12001, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12005, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12010, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12014, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12018, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12023, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12027, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12030, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12035, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12039, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12045, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12050, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12054, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12061, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12066, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12070, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12077, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12082, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12086, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12090, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12095, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12099, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12104, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12109, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12113, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12119, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12124, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12128, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12133, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12138, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12142, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12150, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12155, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12159, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12165, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12170, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12174, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12180, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12185, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12189, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12193, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12198, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12202, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12208, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12213, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12217, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12223, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12228, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12232, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12238, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12243, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12247, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12253, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12258, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12262, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12268, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12273, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12277, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12283, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12288, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12292, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12296, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12301, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12305, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12310, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12315, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12319, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12326, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12331, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12335, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12341, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12346, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12350, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12358, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12363, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12367, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12373, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12378, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12382, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12386, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12391, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12395, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12401, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12406, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12410, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12414, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12419, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12423, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12429, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12434, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12438, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12444, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12449, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12453, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12459, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12464, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12468, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12475, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12480, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12484, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12489, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12494, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12498, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12504, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12509, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12513, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12519, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12524, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12528, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12536, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12541, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12545, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12551, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12556, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12560, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12568, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12573, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12577, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12585, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12590, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12594, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12600, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12605, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12609, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12614, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12619, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12623, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12627, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12632, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12636, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12642, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12647, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12651, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12658, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12663, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12667, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12674, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12679, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12683, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12689, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12694, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12698, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12705, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12710, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12714, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12720, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12725, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12729, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12733, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12738, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12742, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12749, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12754, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12758, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12764, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12769, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12773, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12781, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12786, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12790, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12799, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12804, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12808, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12816, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12821, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12825, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12831, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12836, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12840, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12844, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12849, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12853, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12859, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12864, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12868, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12874, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12879, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12883, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12890, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12953, *2810 not connected to net gpio_load_1\[0\].
Warning: ./spef/caravel.spef line 12954, *2803 not connected to net gpio_load_1\[0\].
Warning: ./spef/caravel.spef line 13023, *2803 not connected to net gpio_load_1\[0\].
Warning: ./spef/caravel.spef line 13029, *2810 not connected to net gpio_load_1\[0\].
Warning: ./spef/caravel.spef line 13051, *2805 not connected to net gpio_load_1\[10\].
Warning: ./spef/caravel.spef line 13054, *2804 not connected to net gpio_load_1\[10\].
Warning: ./spef/caravel.spef line 13171, *2804 not connected to net gpio_load_1\[10\].
Warning: ./spef/caravel.spef line 13193, *2805 not connected to net gpio_load_1\[10\].
Warning: ./spef/caravel.spef line 13205, *2806 not connected to net gpio_load_1\[11\].
Warning: ./spef/caravel.spef line 13206, *2805 not connected to net gpio_load_1\[11\].
Warning: ./spef/caravel.spef line 13337, *2805 not connected to net gpio_load_1\[11\].
Warning: ./spef/caravel.spef line 13344, *2806 not connected to net gpio_load_1\[11\].
Warning: ./spef/caravel.spef line 13366, *2807 not connected to net gpio_load_1\[12\].
Warning: ./spef/caravel.spef line 13367, *2806 not connected to net gpio_load_1\[12\].
Warning: ./spef/caravel.spef line 13471, *2806 not connected to net gpio_load_1\[12\].
Warning: ./spef/caravel.spef line 13474, *2807 not connected to net gpio_load_1\[12\].
Warning: ./spef/caravel.spef line 13497, *2808 not connected to net gpio_load_1\[13\].
Warning: ./spef/caravel.spef line 13498, *2807 not connected to net gpio_load_1\[13\].
Warning: ./spef/caravel.spef line 13569, *2807 not connected to net gpio_load_1\[13\].
Warning: ./spef/caravel.spef line 13577, *2808 not connected to net gpio_load_1\[13\].
Warning: ./spef/caravel.spef line 13594, *2807 not connected to net gpio_load_1\[13\].
Warning: ./spef/caravel.spef line 13606, *2809 not connected to net gpio_load_1\[14\].
Warning: ./spef/caravel.spef line 13607, *2808 not connected to net gpio_load_1\[14\].
Warning: ./spef/caravel.spef line 13675, *2808 not connected to net gpio_load_1\[14\].
Warning: ./spef/caravel.spef line 13678, *2809 not connected to net gpio_load_1\[14\].
Warning: ./spef/caravel.spef line 13706, *2783 not connected to net gpio_load_1\[15\].
Warning: ./spef/caravel.spef line 13707, *2809 not connected to net gpio_load_1\[15\].
Warning: ./spef/caravel.spef line 13766, *2809 not connected to net gpio_load_1\[15\].
Warning: ./spef/caravel.spef line 13768, *2783 not connected to net gpio_load_1\[15\].
Warning: ./spef/caravel.spef line 13791, *2784 not connected to net gpio_load_1\[16\].
Warning: ./spef/caravel.spef line 13792, *2783 not connected to net gpio_load_1\[16\].
Warning: ./spef/caravel.spef line 13853, *2783 not connected to net gpio_load_1\[16\].
Warning: ./spef/caravel.spef line 13854, *2784 not connected to net gpio_load_1\[16\].
Warning: ./spef/caravel.spef line 13867, *2785 not connected to net gpio_load_1\[17\].
Warning: ./spef/caravel.spef line 13868, *2784 not connected to net gpio_load_1\[17\].
Warning: ./spef/caravel.spef line 13939, *2784 not connected to net gpio_load_1\[17\].
Warning: ./spef/caravel.spef line 13940, *2785 not connected to net gpio_load_1\[17\].
Warning: ./spef/caravel.spef line 13950, *2785 not connected to net gpio_load_1\[18\].
Warning: ./spef/caravel.spef line 13989, *2785 not connected to net gpio_load_1\[18\].
Warning: ./spef/caravel.spef line 14001, *2811 not connected to net gpio_load_1\[1\].
Warning: ./spef/caravel.spef line 14002, *2810 not connected to net gpio_load_1\[1\].
Warning: ./spef/caravel.spef line 14080, *2810 not connected to net gpio_load_1\[1\].
Warning: ./spef/caravel.spef line 14088, *2811 not connected to net gpio_load_1\[1\].
Warning: ./spef/caravel.spef line 14114, *2812 not connected to net gpio_load_1\[2\].
Warning: ./spef/caravel.spef line 14117, *2811 not connected to net gpio_load_1\[2\].
Warning: ./spef/caravel.spef line 14211, *2811 not connected to net gpio_load_1\[2\].
Warning: ./spef/caravel.spef line 14234, *2812 not connected to net gpio_load_1\[2\].
Warning: ./spef/caravel.spef line 14246, *2813 not connected to net gpio_load_1\[3\].
Warning: ./spef/caravel.spef line 14247, *2812 not connected to net gpio_load_1\[3\].
Warning: ./spef/caravel.spef line 14313, *2812 not connected to net gpio_load_1\[3\].
Warning: ./spef/caravel.spef line 14319, *2813 not connected to net gpio_load_1\[3\].
Warning: ./spef/caravel.spef line 14344, *2814 not connected to net gpio_load_1\[4\].
Warning: ./spef/caravel.spef line 14345, *2813 not connected to net gpio_load_1\[4\].
Warning: ./spef/caravel.spef line 14412, *2813 not connected to net gpio_load_1\[4\].
Warning: ./spef/caravel.spef line 14422, *2814 not connected to net gpio_load_1\[4\].
Warning: ./spef/caravel.spef line 14442, *2815 not connected to net gpio_load_1\[5\].
Warning: ./spef/caravel.spef line 14443, *2814 not connected to net gpio_load_1\[5\].
Warning: ./spef/caravel.spef line 14525, *2814 not connected to net gpio_load_1\[5\].
Warning: ./spef/caravel.spef line 14527, *2815 not connected to net gpio_load_1\[5\].
Warning: ./spef/caravel.spef line 14554, *2816 not connected to net gpio_load_1\[6\].
Warning: ./spef/caravel.spef line 14555, *2815 not connected to net gpio_load_1\[6\].
Warning: ./spef/caravel.spef line 14608, *2815 not connected to net gpio_load_1\[6\].
Warning: ./spef/caravel.spef line 14609, *2816 not connected to net gpio_load_1\[6\].
Warning: ./spef/caravel.spef line 14634, *2817 not connected to net gpio_load_1\[7\].
Warning: ./spef/caravel.spef line 14635, *2816 not connected to net gpio_load_1\[7\].
Warning: ./spef/caravel.spef line 14766, *2816 not connected to net gpio_load_1\[7\].
Warning: ./spef/caravel.spef line 14769, *2817 not connected to net gpio_load_1\[7\].
Warning: ./spef/caravel.spef line 14801, *2818 not connected to net gpio_load_1\[8\].
Warning: ./spef/caravel.spef line 14802, *2817 not connected to net gpio_load_1\[8\].
Warning: ./spef/caravel.spef line 14917, *2817 not connected to net gpio_load_1\[8\].
Warning: ./spef/caravel.spef line 14919, *2818 not connected to net gpio_load_1\[8\].
Warning: ./spef/caravel.spef line 14943, *2804 not connected to net gpio_load_1\[9\].
Warning: ./spef/caravel.spef line 14946, *2818 not connected to net gpio_load_1\[9\].
Warning: ./spef/caravel.spef line 15070, *2818 not connected to net gpio_load_1\[9\].
Warning: ./spef/caravel.spef line 15092, *2804 not connected to net gpio_load_1\[9\].
Warning: ./spef/caravel.spef line 15102, *2803 not connected to net gpio_load_1_shifted\[0\].
Warning: ./spef/caravel.spef line 15151, *2803 not connected to net gpio_load_1_shifted\[0\].
Warning: ./spef/caravel.spef line 15268, *2810 not connected to net gpio_resetn_1\[0\].
Warning: ./spef/caravel.spef line 15271, *2803 not connected to net gpio_resetn_1\[0\].
Warning: ./spef/caravel.spef line 15345, *2803 not connected to net gpio_resetn_1\[0\].
Warning: ./spef/caravel.spef line 15366, *2810 not connected to net gpio_resetn_1\[0\].
Warning: ./spef/caravel.spef line 15378, *2805 not connected to net gpio_resetn_1\[10\].
Warning: ./spef/caravel.spef line 15379, *2804 not connected to net gpio_resetn_1\[10\].
Warning: ./spef/caravel.spef line 15455, *2804 not connected to net gpio_resetn_1\[10\].
Warning: ./spef/caravel.spef line 15465, *2805 not connected to net gpio_resetn_1\[10\].
Warning: ./spef/caravel.spef line 15490, *2806 not connected to net gpio_resetn_1\[11\].
Warning: ./spef/caravel.spef line 15491, *2805 not connected to net gpio_resetn_1\[11\].
Warning: ./spef/caravel.spef line 15578, *2805 not connected to net gpio_resetn_1\[11\].
Warning: ./spef/caravel.spef line 15589, *2806 not connected to net gpio_resetn_1\[11\].
Warning: ./spef/caravel.spef line 15614, *2807 not connected to net gpio_resetn_1\[12\].
Warning: ./spef/caravel.spef line 15615, *2806 not connected to net gpio_resetn_1\[12\].
Warning: ./spef/caravel.spef line 15679, *2806 not connected to net gpio_resetn_1\[12\].
Warning: ./spef/caravel.spef line 15682, *2807 not connected to net gpio_resetn_1\[12\].
Warning: ./spef/caravel.spef line 15708, *2808 not connected to net gpio_resetn_1\[13\].
Warning: ./spef/caravel.spef line 15709, *2807 not connected to net gpio_resetn_1\[13\].
Warning: ./spef/caravel.spef line 15770, *2807 not connected to net gpio_resetn_1\[13\].
Warning: ./spef/caravel.spef line 15779, *2808 not connected to net gpio_resetn_1\[13\].
Warning: ./spef/caravel.spef line 15805, *2809 not connected to net gpio_resetn_1\[14\].
Warning: ./spef/caravel.spef line 15806, *2808 not connected to net gpio_resetn_1\[14\].
Warning: ./spef/caravel.spef line 15866, *2808 not connected to net gpio_resetn_1\[14\].
Warning: ./spef/caravel.spef line 15870, *2809 not connected to net gpio_resetn_1\[14\].
Warning: ./spef/caravel.spef line 15892, *2783 not connected to net gpio_resetn_1\[15\].
Warning: ./spef/caravel.spef line 15893, *2809 not connected to net gpio_resetn_1\[15\].
Warning: ./spef/caravel.spef line 15950, *2809 not connected to net gpio_resetn_1\[15\].
Warning: ./spef/caravel.spef line 15953, *2783 not connected to net gpio_resetn_1\[15\].
Warning: ./spef/caravel.spef line 15976, *2784 not connected to net gpio_resetn_1\[16\].
Warning: ./spef/caravel.spef line 15977, *2783 not connected to net gpio_resetn_1\[16\].
Warning: ./spef/caravel.spef line 16051, *2783 not connected to net gpio_resetn_1\[16\].
Warning: ./spef/caravel.spef line 16052, *2784 not connected to net gpio_resetn_1\[16\].
Warning: ./spef/caravel.spef line 16065, *2785 not connected to net gpio_resetn_1\[17\].
Warning: ./spef/caravel.spef line 16066, *2784 not connected to net gpio_resetn_1\[17\].
Warning: ./spef/caravel.spef line 16154, *2784 not connected to net gpio_resetn_1\[17\].
Warning: ./spef/caravel.spef line 16158, *2785 not connected to net gpio_resetn_1\[17\].
Warning: ./spef/caravel.spef line 16168, *2785 not connected to net gpio_resetn_1\[18\].
Warning: ./spef/caravel.spef line 16255, *2785 not connected to net gpio_resetn_1\[18\].
Warning: ./spef/caravel.spef line 16267, *2811 not connected to net gpio_resetn_1\[1\].
Warning: ./spef/caravel.spef line 16268, *2810 not connected to net gpio_resetn_1\[1\].
Warning: ./spef/caravel.spef line 16336, *2810 not connected to net gpio_resetn_1\[1\].
Warning: ./spef/caravel.spef line 16344, *2811 not connected to net gpio_resetn_1\[1\].
Warning: ./spef/caravel.spef line 16366, *2812 not connected to net gpio_resetn_1\[2\].
Warning: ./spef/caravel.spef line 16369, *2811 not connected to net gpio_resetn_1\[2\].
Warning: ./spef/caravel.spef line 16421, *2811 not connected to net gpio_resetn_1\[2\].
Warning: ./spef/caravel.spef line 16437, *2812 not connected to net gpio_resetn_1\[2\].
Warning: ./spef/caravel.spef line 16449, *2813 not connected to net gpio_resetn_1\[3\].
Warning: ./spef/caravel.spef line 16450, *2812 not connected to net gpio_resetn_1\[3\].
Warning: ./spef/caravel.spef line 16518, *2812 not connected to net gpio_resetn_1\[3\].
Warning: ./spef/caravel.spef line 16525, *2813 not connected to net gpio_resetn_1\[3\].
Warning: ./spef/caravel.spef line 16549, *2814 not connected to net gpio_resetn_1\[4\].
Warning: ./spef/caravel.spef line 16550, *2813 not connected to net gpio_resetn_1\[4\].
Warning: ./spef/caravel.spef line 16609, *2813 not connected to net gpio_resetn_1\[4\].
Warning: ./spef/caravel.spef line 16621, *2814 not connected to net gpio_resetn_1\[4\].
Warning: ./spef/caravel.spef line 16640, *2815 not connected to net gpio_resetn_1\[5\].
Warning: ./spef/caravel.spef line 16641, *2814 not connected to net gpio_resetn_1\[5\].
Warning: ./spef/caravel.spef line 16724, *2814 not connected to net gpio_resetn_1\[5\].
Warning: ./spef/caravel.spef line 16730, *2815 not connected to net gpio_resetn_1\[5\].
Warning: ./spef/caravel.spef line 16757, *2816 not connected to net gpio_resetn_1\[6\].
Warning: ./spef/caravel.spef line 16758, *2815 not connected to net gpio_resetn_1\[6\].
Warning: ./spef/caravel.spef line 16848, *2815 not connected to net gpio_resetn_1\[6\].
Warning: ./spef/caravel.spef line 16853, *2816 not connected to net gpio_resetn_1\[6\].
Warning: ./spef/caravel.spef line 16878, *2817 not connected to net gpio_resetn_1\[7\].
Warning: ./spef/caravel.spef line 16879, *2816 not connected to net gpio_resetn_1\[7\].
Warning: ./spef/caravel.spef line 16957, *2816 not connected to net gpio_resetn_1\[7\].
Warning: ./spef/caravel.spef line 16959, *2817 not connected to net gpio_resetn_1\[7\].
Warning: ./spef/caravel.spef line 16984, *2818 not connected to net gpio_resetn_1\[8\].
Warning: ./spef/caravel.spef line 16987, *2817 not connected to net gpio_resetn_1\[8\].
Warning: ./spef/caravel.spef line 17065, *2817 not connected to net gpio_resetn_1\[8\].
Warning: ./spef/caravel.spef line 17085, *2818 not connected to net gpio_resetn_1\[8\].
Warning: ./spef/caravel.spef line 17097, *2804 not connected to net gpio_resetn_1\[9\].
Warning: ./spef/caravel.spef line 17098, *2818 not connected to net gpio_resetn_1\[9\].
Warning: ./spef/caravel.spef line 17176, *2818 not connected to net gpio_resetn_1\[9\].
Warning: ./spef/caravel.spef line 17185, *2804 not connected to net gpio_resetn_1\[9\].
Warning: ./spef/caravel.spef line 17207, *2803 not connected to net gpio_resetn_1_shifted\[0\].
Warning: ./spef/caravel.spef line 17259, *2803 not connected to net gpio_resetn_1_shifted\[0\].
Warning: ./spef/caravel.spef line 53740, *2819 not connected to net mprj_cyc_o_core.
Warning: ./spef/caravel.spef line 53775, *2819 not connected to net mprj_cyc_o_core.
Warning: ./spef/caravel.spef line 75154, *2823 not connected to net rstb_h.
Warning: ./spef/caravel.spef line 75155, *2742 not connected to net rstb_h.
Warning: ./spef/caravel.spef line 75179, *2742 not connected to net rstb_h.
Warning: ./spef/caravel.spef line 75184, *2823 not connected to net rstb_h.
set ::env(IO_PCT) "0.2"
set ::env(SYNTH_MAX_FANOUT) "5"
set ::env(SYNTH_CAP_LOAD) "33"
set ::env(SYNTH_TIMING_DERATE) 0.05
set ::env(SYNTH_CLOCK_UNCERTAINITY) 0.25
set ::env(SYNTH_CLOCK_TRANSITION) 0.15
## MASTER CLOCKS
create_clock [get_ports {"clock"} ] -name "clock" -period 25
set_propagated_clock [get_clocks {"clock"}]
## INPUT/OUTPUT DELAYS
set input_delay_value 1
set output_delay_value [expr 25 * $::env(IO_PCT)]
puts "\[INFO\]: Setting output delay to: $output_delay_value"
[INFO]: Setting output delay to: 5.0
puts "\[INFO\]: Setting input delay to: $input_delay_value"
[INFO]: Setting input delay to: 1
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {gpio}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[0]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[1]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[2]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[3]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[4]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[5]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[6]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[7]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[8]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[9]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[10]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[11]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[12]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[13]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[14]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[15]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[16]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[17]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[18]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[19]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[20]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[21]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[22]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[23]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[24]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[25]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[26]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[27]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[28]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[29]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[30]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[31]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[32]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[33]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[34]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[35]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[36]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[37]}]
set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_csb}]
set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_clk}]
set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io0}]
set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io1}]
set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design]
## Set system monitoring mux select to zero so that the clock/user_clk monitoring is disabled
set_case_analysis 0 [get_pins housekeeping/_4449_/S]
set_case_analysis 0 [get_pins housekeeping/_4450_/S]
## FALSE PATHS (ASYNCHRONOUS INPUTS)
set_false_path -from [get_ports {resetb}]
set_false_path -from [get_ports mprj_io[*]]
set_false_path -from [get_ports gpio]
# TODO set this as parameter
set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]
puts "\[INFO\]: Setting load to: $cap_load"
[INFO]: Setting load to: 0.033
set_load $cap_load [all_outputs]
puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %"
[INFO]: Setting timing derate to: 0.5 %
set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}]
set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}]
puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)"
[INFO]: Setting clock uncertainity to: 0.25
set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks {clock}]
puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)"
[INFO]: Setting clock transition to: 0.15
set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {clock}]
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9640_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.38 0.13 17.34 ^ housekeeping/_9640_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
17.34 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.01 5.74 ^ housekeeping/_9640_/CLK (sky130_fd_sc_hd__dfrtp_2)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.87 library removal time
5.87 data required time
-----------------------------------------------------------------------------
5.87 data required time
-17.34 data arrival time
-----------------------------------------------------------------------------
11.46 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9759_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.14 17.34 ^ housekeeping/_9759_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
17.34 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.01 5.74 ^ housekeeping/_9759_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.34 data arrival time
-----------------------------------------------------------------------------
11.46 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9587_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.14 17.34 ^ housekeeping/_9587_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
17.34 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.01 5.74 ^ housekeeping/_9587_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.34 data arrival time
-----------------------------------------------------------------------------
11.47 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9062_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.14 17.34 ^ housekeeping/_9062_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.34 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9062_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.87 library removal time
5.87 data required time
-----------------------------------------------------------------------------
5.87 data required time
-17.34 data arrival time
-----------------------------------------------------------------------------
11.47 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9119_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.14 17.35 ^ housekeeping/_9119_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.25 5.99 clock uncertainty
-0.66 5.33 clock reconvergence pessimism
0.54 5.87 library removal time
5.87 data required time
-----------------------------------------------------------------------------
5.87 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.47 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9067_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9067_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9067_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.47 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9064_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9064_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9064_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.47 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9060_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9060_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9060_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.33 clock reconvergence pessimism
0.54 5.87 library removal time
5.87 data required time
-----------------------------------------------------------------------------
5.87 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.47 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9066_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9066_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9066_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.25 5.99 clock uncertainty
-0.66 5.33 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.48 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9063_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9063_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9063_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.33 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.48 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9068_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9068_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9068_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.25 5.99 clock uncertainty
-0.66 5.33 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.48 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9061_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9061_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9061_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.33 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.48 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9666_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9666_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9666_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.48 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9665_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.15 17.35 ^ housekeeping/_9665_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9665_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.48 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9659_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.15 17.36 ^ housekeeping/_9659_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.36 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9659_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.36 data arrival time
-----------------------------------------------------------------------------
11.48 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9680_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.07 17.28 ^ housekeeping/_9680_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.28 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 5.68 ^ housekeeping/_9680_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.48 5.75 library removal time
5.75 data required time
-----------------------------------------------------------------------------
5.75 data required time
-17.28 data arrival time
-----------------------------------------------------------------------------
11.53 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9676_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.08 17.28 ^ housekeeping/_9676_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.28 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 5.68 ^ housekeeping/_9676_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.48 5.75 library removal time
5.75 data required time
-----------------------------------------------------------------------------
5.75 data required time
-17.28 data arrival time
-----------------------------------------------------------------------------
11.53 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9681_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.08 17.28 ^ housekeeping/_9681_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.28 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 5.68 ^ housekeeping/_9681_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.48 5.75 library removal time
5.75 data required time
-----------------------------------------------------------------------------
5.75 data required time
-17.28 data arrival time
-----------------------------------------------------------------------------
11.53 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9679_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.08 17.28 ^ housekeeping/_9679_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.28 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 5.68 ^ housekeeping/_9679_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.48 5.75 library removal time
5.75 data required time
-----------------------------------------------------------------------------
5.75 data required time
-17.28 data arrival time
-----------------------------------------------------------------------------
11.53 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9677_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.32 0.08 17.29 ^ housekeeping/_9677_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.29 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 5.68 ^ housekeeping/_9677_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.49 5.75 library removal time
5.75 data required time
-----------------------------------------------------------------------------
5.75 data required time
-17.29 data arrival time
-----------------------------------------------------------------------------
11.53 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9675_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.32 0.08 17.29 ^ housekeeping/_9675_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.29 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 5.68 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.49 5.76 library removal time
5.76 data required time
-----------------------------------------------------------------------------
5.76 data required time
-17.29 data arrival time
-----------------------------------------------------------------------------
11.53 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9678_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.32 0.09 17.29 ^ housekeeping/_9678_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.29 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 5.68 ^ housekeeping/_9678_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.49 5.76 library removal time
5.76 data required time
-----------------------------------------------------------------------------
5.76 data required time
-17.29 data arrival time
-----------------------------------------------------------------------------
11.54 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9663_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.15 17.36 ^ housekeeping/_9663_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.36 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 5.68 ^ housekeeping/_9663_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.28 clock reconvergence pessimism
0.52 5.79 library removal time
5.79 data required time
-----------------------------------------------------------------------------
5.79 data required time
-17.36 data arrival time
-----------------------------------------------------------------------------
11.56 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9664_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.15 17.36 ^ housekeeping/_9664_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.36 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 5.68 ^ housekeeping/_9664_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.52 5.79 library removal time
5.79 data required time
-----------------------------------------------------------------------------
5.79 data required time
-17.36 data arrival time
-----------------------------------------------------------------------------
11.56 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9660_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.15 17.36 ^ housekeeping/_9660_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.36 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 5.68 ^ housekeeping/_9660_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.28 clock reconvergence pessimism
0.52 5.79 library removal time
5.79 data required time
-----------------------------------------------------------------------------
5.79 data required time
-17.36 data arrival time
-----------------------------------------------------------------------------
11.56 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9661_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.15 17.36 ^ housekeeping/_9661_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.36 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 5.68 ^ housekeeping/_9661_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.52 5.79 library removal time
5.79 data required time
-----------------------------------------------------------------------------
5.79 data required time
-17.36 data arrival time
-----------------------------------------------------------------------------
11.56 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9662_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.15 17.36 ^ housekeeping/_9662_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.36 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 5.68 ^ housekeeping/_9662_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.52 5.79 library removal time
5.79 data required time
-----------------------------------------------------------------------------
5.79 data required time
-17.36 data arrival time
-----------------------------------------------------------------------------
11.56 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9065_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.14 17.35 ^ housekeeping/_9065_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.00 5.67 ^ housekeeping/_9065_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.92 clock uncertainty
-0.66 5.26 clock reconvergence pessimism
0.52 5.78 library removal time
5.78 data required time
-----------------------------------------------------------------------------
5.78 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.57 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9059_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9059_/SET_B (sky130_fd_sc_hd__dfstp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9059_/CLK (sky130_fd_sc_hd__dfstp_1)
0.25 5.99 clock uncertainty
-0.66 5.33 clock reconvergence pessimism
0.25 5.58 library removal time
5.58 data required time
-----------------------------------------------------------------------------
5.58 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.77 slack (MET)
Startpoint: soc/core/_37568_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_36378_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_0_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.27 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_0_1_core_clk (net)
0.05 0.00 4.27 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.40 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_0_2_core_clk (net)
0.05 0.00 4.40 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.11 4.51 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_1_0_3_core_clk (net)
0.03 0.00 4.51 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.24 0.24 4.75 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.08 soc/core/clknet_1_0_4_core_clk (net)
0.24 0.03 4.78 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.17 4.95 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_2_0_0_core_clk (net)
0.03 0.00 4.95 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.46 0.38 5.33 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.15 soc/core/clknet_2_0_1_core_clk (net)
0.46 0.03 5.36 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.21 5.57 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_3_1_0_core_clk (net)
0.04 0.00 5.57 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.10 5.68 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_3_1_1_core_clk (net)
0.03 0.00 5.68 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.08 0.14 5.82 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.02 soc/core/clknet_3_1_2_core_clk (net)
0.08 0.00 5.82 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.09 0.17 6.00 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_3_0_core_clk (net)
0.09 0.00 6.00 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 6.13 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_7_0_core_clk (net)
0.04 0.00 6.13 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.52 0.43 6.57 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
22 0.18 soc/core/clknet_5_7_1_core_clk (net)
0.52 0.00 6.57 ^ soc/core/clkbuf_leaf_383_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.28 6.85 ^ soc/core/clkbuf_leaf_383_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.08 soc/core/clknet_leaf_383_core_clk (net)
0.10 0.00 6.85 ^ soc/core/_37568_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.09 0.36 7.21 ^ soc/core/_37568_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.02 soc/core/VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[0] (net)
0.09 0.00 7.21 ^ soc/core/_33651_/A0 (sky130_fd_sc_hd__mux2_2)
0.14 0.23 7.43 ^ soc/core/_33651_/X (sky130_fd_sc_hd__mux2_2)
2 0.02 soc/core/_01775_ (net)
0.14 0.00 7.43 ^ soc/core/_33652_/A1 (sky130_fd_sc_hd__mux2_1)
0.04 0.14 7.58 ^ soc/core/_33652_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 soc/core/_00033_ (net)
0.04 0.00 7.58 ^ soc/core/_28173_/A1 (sky130_fd_sc_hd__a21o_1)
0.03 0.09 7.66 ^ soc/core/_28173_/X (sky130_fd_sc_hd__a21o_1)
1 0.00 soc/core/_03844_ (net)
0.03 0.00 7.66 ^ soc/core/_36378_/D (sky130_fd_sc_hd__dfxtp_1)
7.66 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_2_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_2_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.18 6.15 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_4_0_core_clk (net)
0.05 0.00 6.15 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.29 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_4_1_core_clk (net)
0.04 0.00 6.29 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.14 0.21 6.50 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_3_4_2_core_clk (net)
0.14 0.01 6.50 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.73 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_9_0_core_clk (net)
0.10 0.00 6.73 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.16 6.89 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_18_0_core_clk (net)
0.04 0.00 6.89 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.78 0.64 7.52 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
32 0.26 soc/core/clknet_5_18_1_core_clk (net)
0.79 0.09 7.61 ^ soc/core/clkbuf_leaf_373_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.34 7.94 ^ soc/core/clkbuf_leaf_373_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.07 soc/core/clknet_leaf_373_core_clk (net)
0.10 0.00 7.95 ^ soc/core/_36378_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.20 clock uncertainty
-0.71 7.48 clock reconvergence pessimism
-0.02 7.46 library hold time
7.46 data required time
-----------------------------------------------------------------------------
7.46 data required time
-7.66 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5083_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5083_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.26 5.23 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 5.23 ^ mprj/mprj/_5083_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.32 5.55 ^ mprj/mprj/_5083_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.output_buffer[10] (net)
0.07 0.00 5.55 ^ mprj/mprj/_3925_/A1 (sky130_fd_sc_hd__o21a_1)
0.03 0.11 5.66 ^ mprj/mprj/_3925_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/mprj/_0113_ (net)
0.03 0.00 5.66 ^ mprj/mprj/_5083_/D (sky130_fd_sc_hd__dfxtp_1)
5.66 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 6.07 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 6.07 ^ mprj/mprj/_5083_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.32 clock uncertainty
-0.84 5.48 clock reconvergence pessimism
-0.03 5.45 library hold time
5.45 data required time
-----------------------------------------------------------------------------
5.45 data required time
-5.66 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5445_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5445_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.55 5.08 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.08 ^ mprj/mprj/clkbuf_leaf_23_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.29 5.37 ^ mprj/mprj/clkbuf_leaf_23_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
19 0.06 mprj/mprj/clknet_leaf_23_wb_clk_i (net)
0.09 0.00 5.37 ^ mprj/mprj/_5445_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.05 0.31 5.68 v mprj/mprj/_5445_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 mprj/mprj/soc.hack_wait_clocks[0] (net)
0.05 0.00 5.68 v mprj/mprj/_4958_/A (sky130_fd_sc_hd__nand2_1)
0.04 0.05 5.74 ^ mprj/mprj/_4958_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 mprj/mprj/_2315_ (net)
0.04 0.00 5.74 ^ mprj/mprj/_4960_/A1 (sky130_fd_sc_hd__a21oi_1)
0.04 0.05 5.79 v mprj/mprj/_4960_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 mprj/mprj/_0442_ (net)
0.04 0.00 5.79 v mprj/mprj/_5445_/D (sky130_fd_sc_hd__dfxtp_1)
5.79 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.61 5.90 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.90 ^ mprj/mprj/clkbuf_leaf_23_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.32 6.22 ^ mprj/mprj/clkbuf_leaf_23_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
19 0.06 mprj/mprj/clknet_leaf_23_wb_clk_i (net)
0.09 0.00 6.23 ^ mprj/mprj/_5445_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.48 clock uncertainty
-0.85 5.62 clock reconvergence pessimism
-0.04 5.58 library hold time
5.58 data required time
-----------------------------------------------------------------------------
5.58 data required time
-5.79 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5446_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5446_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.68 0.54 5.06 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_1_0_wb_clk_i (net)
0.68 0.00 5.06 ^ mprj/mprj/clkbuf_leaf_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.31 5.37 ^ mprj/mprj/clkbuf_leaf_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.08 mprj/mprj/clknet_leaf_1_wb_clk_i (net)
0.11 0.00 5.37 ^ mprj/mprj/_5446_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.33 5.70 ^ mprj/mprj/_5446_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 mprj/mprj/soc.hack_wait_clocks[1] (net)
0.08 0.00 5.70 ^ mprj/mprj/_4961_/A1 (sky130_fd_sc_hd__a21o_1)
0.03 0.10 5.81 ^ mprj/mprj/_4961_/X (sky130_fd_sc_hd__a21o_1)
1 0.00 mprj/mprj/_0443_ (net)
0.03 0.00 5.81 ^ mprj/mprj/_5446_/D (sky130_fd_sc_hd__dfxtp_1)
5.81 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.68 0.59 5.88 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_1_0_wb_clk_i (net)
0.68 0.01 5.88 ^ mprj/mprj/clkbuf_leaf_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.34 6.22 ^ mprj/mprj/clkbuf_leaf_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.08 mprj/mprj/clknet_leaf_1_wb_clk_i (net)
0.11 0.00 6.23 ^ mprj/mprj/_5446_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.48 clock uncertainty
-0.85 5.62 clock reconvergence pessimism
-0.02 5.60 library hold time
5.60 data required time
-----------------------------------------------------------------------------
5.60 data required time
-5.81 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5275_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5013_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.27 5.24 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.06 mprj/mprj/clknet_leaf_20_wb_clk_i (net)
0.09 0.00 5.24 ^ mprj/mprj/_5275_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.32 5.56 ^ mprj/mprj/_5275_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.request_address[1] (net)
0.07 0.00 5.56 ^ mprj/mprj/_3703_/B2 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.67 ^ mprj/mprj/_3703_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0048_ (net)
0.04 0.00 5.67 ^ mprj/mprj/_5013_/D (sky130_fd_sc_hd__dfxtp_1)
5.67 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.30 6.08 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.06 mprj/mprj/clknet_leaf_20_wb_clk_i (net)
0.09 0.00 6.08 ^ mprj/mprj/_5013_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.33 clock uncertainty
-0.84 5.49 clock reconvergence pessimism
-0.02 5.47 library hold time
5.47 data required time
-----------------------------------------------------------------------------
5.47 data required time
-5.67 data arrival time
-----------------------------------------------------------------------------
0.21 slack (MET)
Startpoint: mprj/mprj/_5180_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5010_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.55 5.08 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.70 0.01 5.08 ^ mprj/mprj/clkbuf_leaf_25_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.29 5.37 ^ mprj/mprj/clkbuf_leaf_25_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
18 0.06 mprj/mprj/clknet_leaf_25_wb_clk_i (net)
0.09 0.00 5.37 ^ mprj/mprj/_5180_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.32 5.70 ^ mprj/mprj/_5180_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.rom_encoder_0.request_address[0] (net)
0.07 0.00 5.70 ^ mprj/mprj/_3686_/B2 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.81 ^ mprj/mprj/_3686_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0045_ (net)
0.04 0.00 5.81 ^ mprj/mprj/_5010_/D (sky130_fd_sc_hd__dfxtp_1)
5.81 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.61 5.90 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.70 0.01 5.90 ^ mprj/mprj/clkbuf_leaf_25_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.32 6.23 ^ mprj/mprj/clkbuf_leaf_25_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
18 0.06 mprj/mprj/clknet_leaf_25_wb_clk_i (net)
0.09 0.00 6.23 ^ mprj/mprj/_5010_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.48 clock uncertainty
-0.85 5.62 clock reconvergence pessimism
-0.02 5.60 library hold time
5.60 data required time
-----------------------------------------------------------------------------
5.60 data required time
-5.81 data arrival time
-----------------------------------------------------------------------------
0.21 slack (MET)
Startpoint: mprj/mprj/_5179_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5179_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.68 0.54 5.06 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_1_0_wb_clk_i (net)
0.68 0.01 5.07 ^ mprj/mprj/clkbuf_leaf_30_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.26 5.32 ^ mprj/mprj/clkbuf_leaf_30_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 mprj/mprj/clknet_leaf_30_wb_clk_i (net)
0.06 0.00 5.32 ^ mprj/mprj/_5179_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.10 0.33 5.65 ^ mprj/mprj/_5179_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 mprj/mprj/soc.rom_encoder_0.input_bits_left[4] (net)
0.10 0.00 5.65 ^ mprj/mprj/_4168_/A1 (sky130_fd_sc_hd__a21o_1)
0.03 0.10 5.75 ^ mprj/mprj/_4168_/X (sky130_fd_sc_hd__a21o_1)
1 0.00 mprj/mprj/_0207_ (net)
0.03 0.00 5.75 ^ mprj/mprj/_5179_/D (sky130_fd_sc_hd__dfxtp_1)
5.75 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.68 0.59 5.88 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_1_0_wb_clk_i (net)
0.68 0.01 5.89 ^ mprj/mprj/clkbuf_leaf_30_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.28 6.17 ^ mprj/mprj/clkbuf_leaf_30_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 mprj/mprj/clknet_leaf_30_wb_clk_i (net)
0.06 0.00 6.17 ^ mprj/mprj/_5179_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.42 clock uncertainty
-0.85 5.57 clock reconvergence pessimism
-0.03 5.54 library hold time
5.54 data required time
-----------------------------------------------------------------------------
5.54 data required time
-5.75 data arrival time
-----------------------------------------------------------------------------
0.21 slack (MET)
Startpoint: mprj/mprj/_5276_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5012_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.27 5.24 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.06 mprj/mprj/clknet_leaf_20_wb_clk_i (net)
0.09 0.00 5.24 ^ mprj/mprj/_5276_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.32 5.56 ^ mprj/mprj/_5276_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.request_address[2] (net)
0.07 0.00 5.56 ^ mprj/mprj/_3702_/B2 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.68 ^ mprj/mprj/_3702_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0047_ (net)
0.04 0.00 5.68 ^ mprj/mprj/_5012_/D (sky130_fd_sc_hd__dfxtp_1)
5.68 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.30 6.08 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.06 mprj/mprj/clknet_leaf_20_wb_clk_i (net)
0.09 0.00 6.08 ^ mprj/mprj/_5012_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.33 clock uncertainty
-0.84 5.49 clock reconvergence pessimism
-0.03 5.47 library hold time
5.47 data required time
-----------------------------------------------------------------------------
5.47 data required time
-5.68 data arrival time
-----------------------------------------------------------------------------
0.21 slack (MET)
Startpoint: mprj/mprj/_5178_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5178_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.55 5.08 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.08 ^ mprj/mprj/clkbuf_leaf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.28 5.36 ^ mprj/mprj/clkbuf_leaf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/mprj/clknet_leaf_0_wb_clk_i (net)
0.08 0.00 5.36 ^ mprj/mprj/_5178_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.33 5.69 ^ mprj/mprj/_5178_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 mprj/mprj/soc.rom_encoder_0.input_bits_left[3] (net)
0.08 0.00 5.69 ^ mprj/mprj/_4166_/A1 (sky130_fd_sc_hd__a211o_1)
0.03 0.11 5.80 ^ mprj/mprj/_4166_/X (sky130_fd_sc_hd__a211o_1)
1 0.00 mprj/mprj/_0206_ (net)
0.03 0.00 5.80 ^ mprj/mprj/_5178_/D (sky130_fd_sc_hd__dfxtp_1)
5.80 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.61 5.90 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.90 ^ mprj/mprj/clkbuf_leaf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.31 6.21 ^ mprj/mprj/clkbuf_leaf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/mprj/clknet_leaf_0_wb_clk_i (net)
0.08 0.00 6.21 ^ mprj/mprj/_5178_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.46 clock uncertainty
-0.85 5.61 clock reconvergence pessimism
-0.02 5.59 library hold time
5.59 data required time
-----------------------------------------------------------------------------
5.59 data required time
-5.80 data arrival time
-----------------------------------------------------------------------------
0.21 slack (MET)
Startpoint: mprj/mprj/_5181_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5009_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.55 5.08 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.08 ^ mprj/mprj/clkbuf_leaf_26_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.28 5.36 ^ mprj/mprj/clkbuf_leaf_26_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
16 0.05 mprj/mprj/clknet_leaf_26_wb_clk_i (net)
0.08 0.00 5.36 ^ mprj/mprj/_5181_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.32 5.68 ^ mprj/mprj/_5181_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.rom_encoder_0.request_address[1] (net)
0.07 0.00 5.68 ^ mprj/mprj/_3685_/B2 (sky130_fd_sc_hd__a22o_1)
0.05 0.12 5.80 ^ mprj/mprj/_3685_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0044_ (net)
0.05 0.00 5.80 ^ mprj/mprj/_5009_/D (sky130_fd_sc_hd__dfxtp_1)
5.80 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.61 5.90 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.90 ^ mprj/mprj/clkbuf_leaf_26_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.31 6.21 ^ mprj/mprj/clkbuf_leaf_26_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
16 0.05 mprj/mprj/clknet_leaf_26_wb_clk_i (net)
0.08 0.00 6.22 ^ mprj/mprj/_5009_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.47 clock uncertainty
-0.85 5.61 clock reconvergence pessimism
-0.03 5.59 library hold time
5.59 data required time
-----------------------------------------------------------------------------
5.59 data required time
-5.80 data arrival time
-----------------------------------------------------------------------------
0.21 slack (MET)
Startpoint: mprj/mprj/_5086_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5086_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/mprj/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.25 5.22 ^ mprj/mprj/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
11 0.04 mprj/mprj/clknet_leaf_17_wb_clk_i (net)
0.07 0.00 5.22 ^ mprj/mprj/_5086_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.32 5.55 ^ mprj/mprj/_5086_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.output_buffer[13] (net)
0.08 0.00 5.55 ^ mprj/mprj/_3935_/A1 (sky130_fd_sc_hd__o21a_1)
0.04 0.11 5.66 ^ mprj/mprj/_3935_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/mprj/_0116_ (net)
0.04 0.00 5.66 ^ mprj/mprj/_5086_/D (sky130_fd_sc_hd__dfxtp_1)
5.66 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/mprj/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 6.06 ^ mprj/mprj/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
11 0.04 mprj/mprj/clknet_leaf_17_wb_clk_i (net)
0.07 0.00 6.06 ^ mprj/mprj/_5086_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.31 clock uncertainty
-0.84 5.47 clock reconvergence pessimism
-0.03 5.45 library hold time
5.45 data required time
-----------------------------------------------------------------------------
5.45 data required time
-5.66 data arrival time
-----------------------------------------------------------------------------
0.21 slack (MET)
Startpoint: mprj/mprj/_5273_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5273_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.49 0.41 4.93 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.09 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.49 0.00 4.93 ^ mprj/mprj/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.24 5.18 ^ mprj/mprj/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
10 0.04 mprj/mprj/clknet_leaf_13_wb_clk_i (net)
0.07 0.00 5.18 ^ mprj/mprj/_5273_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.10 0.33 5.51 ^ mprj/mprj/_5273_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 mprj/mprj/soc.ram_encoder_0.input_bits_left[4] (net)
0.10 0.00 5.51 ^ mprj/mprj/_4518_/A1 (sky130_fd_sc_hd__a21o_1)
0.03 0.11 5.62 ^ mprj/mprj/_4518_/X (sky130_fd_sc_hd__a21o_1)
1 0.00 mprj/mprj/_0301_ (net)
0.03 0.00 5.62 ^ mprj/mprj/_5273_/D (sky130_fd_sc_hd__dfxtp_1)
5.62 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.49 0.46 5.74 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.09 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.49 0.00 5.74 ^ mprj/mprj/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.27 6.01 ^ mprj/mprj/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
10 0.04 mprj/mprj/clknet_leaf_13_wb_clk_i (net)
0.07 0.00 6.01 ^ mprj/mprj/_5273_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.26 clock uncertainty
-0.83 5.43 clock reconvergence pessimism
-0.03 5.40 library hold time
5.40 data required time
-----------------------------------------------------------------------------
5.40 data required time
-5.62 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: soc/core/_38793_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_38144_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 5.61 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.20 5.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_15_0_core_clk (net)
0.11 0.00 5.82 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.96 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_30_0_core_clk (net)
0.04 0.00 5.96 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.79 0.60 6.56 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.27 soc/core/clknet_5_30_1_core_clk (net)
0.79 0.03 6.60 ^ soc/core/clkbuf_leaf_171_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.28 6.88 ^ soc/core/clkbuf_leaf_171_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
10 0.04 soc/core/clknet_leaf_171_core_clk (net)
0.08 0.00 6.88 ^ soc/core/_38793_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.03 0.33 7.21 v soc/core/_38793_/Q (sky130_fd_sc_hd__dfxtp_4)
2 0.01 soc/core/mgmtsoc_master_rx_fifo_source_payload_data[2] (net)
0.03 0.00 7.21 v soc/core/hold1910/A (sky130_fd_sc_hd__buf_4)
0.10 0.18 7.39 v soc/core/hold1910/X (sky130_fd_sc_hd__buf_4)
2 0.07 soc/core/net4759 (net)
0.10 0.01 7.40 v soc/core/_22635_/B (sky130_fd_sc_hd__nand3_1)
0.10 0.14 7.54 ^ soc/core/_22635_/Y (sky130_fd_sc_hd__nand3_1)
1 0.01 soc/core/_11030_ (net)
0.10 0.00 7.54 ^ soc/core/_22639_/A1 (sky130_fd_sc_hd__a31oi_4)
0.06 0.13 7.67 v soc/core/_22639_/Y (sky130_fd_sc_hd__a31oi_4)
2 0.03 soc/core/_05610_ (net)
0.06 0.00 7.68 v soc/core/_38144_/D (sky130_fd_sc_hd__dfxtp_1)
7.68 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.01 6.49 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.21 6.71 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_13_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.86 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_26_0_core_clk (net)
0.04 0.00 6.86 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
1.01 0.84 7.70 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.35 soc/core/clknet_5_26_1_core_clk (net)
1.01 0.03 7.73 ^ soc/core/clkbuf_leaf_146_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.35 8.09 ^ soc/core/clkbuf_leaf_146_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.07 soc/core/clknet_leaf_146_core_clk (net)
0.10 0.00 8.09 ^ soc/core/_38144_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.34 clock uncertainty
-0.83 7.51 clock reconvergence pessimism
-0.05 7.46 library hold time
7.46 data required time
-----------------------------------------------------------------------------
7.46 data required time
-7.68 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: mprj/mprj/_5277_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5011_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.27 5.24 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.06 mprj/mprj/clknet_leaf_20_wb_clk_i (net)
0.09 0.00 5.24 ^ mprj/mprj/_5277_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.33 5.57 ^ mprj/mprj/_5277_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.request_address[3] (net)
0.08 0.00 5.57 ^ mprj/mprj/_3701_/B2 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.68 ^ mprj/mprj/_3701_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0046_ (net)
0.04 0.00 5.68 ^ mprj/mprj/_5011_/D (sky130_fd_sc_hd__dfxtp_1)
5.68 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.30 6.08 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.06 mprj/mprj/clknet_leaf_20_wb_clk_i (net)
0.09 0.00 6.08 ^ mprj/mprj/_5011_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.33 clock uncertainty
-0.84 5.49 clock reconvergence pessimism
-0.02 5.47 library hold time
5.47 data required time
-----------------------------------------------------------------------------
5.47 data required time
-5.68 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: mprj/mprj/_5094_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5094_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.24 5.21 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/mprj/clknet_leaf_22_wb_clk_i (net)
0.06 0.00 5.21 ^ mprj/mprj/_5094_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.32 5.53 ^ mprj/mprj/_5094_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.data_out[1] (net)
0.08 0.00 5.53 ^ mprj/mprj/_3970_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.12 5.65 ^ mprj/mprj/_3970_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0124_ (net)
0.04 0.00 5.65 ^ mprj/mprj/_5094_/D (sky130_fd_sc_hd__dfxtp_1)
5.65 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.27 6.05 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/mprj/clknet_leaf_22_wb_clk_i (net)
0.06 0.00 6.05 ^ mprj/mprj/_5094_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.30 clock uncertainty
-0.84 5.46 clock reconvergence pessimism
-0.03 5.43 library hold time
5.43 data required time
-----------------------------------------------------------------------------
5.43 data required time
-5.65 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: soc/core/_35419_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_35478_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 5.61 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.20 5.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_14_0_core_clk (net)
0.10 0.00 5.81 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.95 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_29_0_core_clk (net)
0.04 0.00 5.95 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.70 0.56 6.51 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.24 soc/core/clknet_5_29_1_core_clk (net)
0.70 0.01 6.52 ^ soc/core/clkbuf_leaf_283_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.30 6.82 ^ soc/core/clkbuf_leaf_283_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.08 soc/core/clknet_leaf_283_core_clk (net)
0.11 0.00 6.82 ^ soc/core/_35419_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.32 7.14 ^ soc/core/_35419_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][27] (net)
0.06 0.00 7.14 ^ soc/core/_32821_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 7.26 ^ soc/core/_32821_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags$rdreg[0]$d[27] (net)
0.05 0.00 7.26 ^ soc/core/_30172_/A1 (sky130_fd_sc_hd__mux2_1)
0.04 0.11 7.37 ^ soc/core/_30172_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 soc/core/_15886_ (net)
0.04 0.00 7.37 ^ soc/core/_30173_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 7.45 ^ soc/core/_30173_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/_02944_ (net)
0.04 0.00 7.45 ^ soc/core/_35478_/D (sky130_fd_sc_hd__dfxtp_1)
7.45 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_2_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_2_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.06 0.19 6.16 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_5_0_core_clk (net)
0.06 0.00 6.16 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 6.30 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_5_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.20 6.49 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_5_2_core_clk (net)
0.12 0.01 6.50 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.22 6.72 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_11_0_core_clk (net)
0.11 0.00 6.72 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.16 6.88 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_23_0_core_clk (net)
0.04 0.00 6.88 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.66 0.58 7.46 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.22 soc/core/clknet_5_23_1_core_clk (net)
0.66 0.01 7.47 ^ soc/core/clkbuf_leaf_282_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.31 7.79 ^ soc/core/clkbuf_leaf_282_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.06 soc/core/clknet_leaf_282_core_clk (net)
0.09 0.00 7.79 ^ soc/core/_35478_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.04 clock uncertainty
-0.79 7.25 clock reconvergence pessimism
-0.02 7.23 library hold time
7.23 data required time
-----------------------------------------------------------------------------
7.23 data required time
-7.45 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: mprj/mprj/_5014_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5014_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.26 5.23 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 5.23 ^ mprj/mprj/_5014_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.32 5.55 ^ mprj/mprj/_5014_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.output_buffer[1] (net)
0.07 0.00 5.55 ^ mprj/mprj/_3704_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.12 5.67 ^ mprj/mprj/_3704_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0049_ (net)
0.04 0.00 5.67 ^ mprj/mprj/_5014_/D (sky130_fd_sc_hd__dfxtp_1)
5.67 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 6.07 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 6.07 ^ mprj/mprj/_5014_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.32 clock uncertainty
-0.84 5.48 clock reconvergence pessimism
-0.03 5.45 library hold time
5.45 data required time
-----------------------------------------------------------------------------
5.45 data required time
-5.67 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: mprj/mprj/_5093_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5093_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.24 5.21 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/mprj/clknet_leaf_22_wb_clk_i (net)
0.06 0.00 5.21 ^ mprj/mprj/_5093_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.32 5.53 ^ mprj/mprj/_5093_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.data_out[0] (net)
0.08 0.00 5.53 ^ mprj/mprj/_3969_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.12 5.65 ^ mprj/mprj/_3969_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0123_ (net)
0.04 0.00 5.65 ^ mprj/mprj/_5093_/D (sky130_fd_sc_hd__dfxtp_1)
5.65 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.27 6.05 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/mprj/clknet_leaf_22_wb_clk_i (net)
0.06 0.00 6.05 ^ mprj/mprj/_5093_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.30 clock uncertainty
-0.84 5.46 clock reconvergence pessimism
-0.03 5.43 library hold time
5.43 data required time
-----------------------------------------------------------------------------
5.43 data required time
-5.65 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: mprj/mprj/_5350_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5173_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.55 5.08 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.70 0.01 5.08 ^ mprj/mprj/clkbuf_leaf_28_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.25 5.33 ^ mprj/mprj/clkbuf_leaf_28_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 mprj/mprj/clknet_leaf_28_wb_clk_i (net)
0.05 0.00 5.33 ^ mprj/mprj/_5350_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.09 0.33 5.66 ^ mprj/mprj/_5350_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.01 mprj/mprj/soc.rom_encoder_0.data_out[12] (net)
0.09 0.00 5.66 ^ mprj/mprj/_4144_/A0 (sky130_fd_sc_hd__mux2_1)
0.04 0.13 5.79 ^ mprj/mprj/_4144_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 mprj/mprj/_1741_ (net)
0.04 0.00 5.79 ^ mprj/mprj/_4145_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 5.86 ^ mprj/mprj/_4145_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 mprj/mprj/_0201_ (net)
0.04 0.00 5.86 ^ mprj/mprj/_5173_/D (sky130_fd_sc_hd__dfxtp_2)
5.86 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.61 5.90 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.70 0.01 5.90 ^ mprj/mprj/clkbuf_leaf_27_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.32 6.23 ^ mprj/mprj/clkbuf_leaf_27_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
23 0.07 mprj/mprj/clknet_leaf_27_wb_clk_i (net)
0.10 0.00 6.23 ^ mprj/mprj/_5173_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 6.48 clock uncertainty
-0.82 5.66 clock reconvergence pessimism
-0.02 5.64 library hold time
5.64 data required time
-----------------------------------------------------------------------------
5.64 data required time
-5.86 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: mprj/mprj/_5096_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5096_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.24 5.21 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/mprj/clknet_leaf_22_wb_clk_i (net)
0.06 0.00 5.21 ^ mprj/mprj/_5096_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.32 5.53 ^ mprj/mprj/_5096_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.data_out[3] (net)
0.08 0.00 5.53 ^ mprj/mprj/_3974_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.13 5.66 ^ mprj/mprj/_3974_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0126_ (net)
0.04 0.00 5.66 ^ mprj/mprj/_5096_/D (sky130_fd_sc_hd__dfxtp_1)
5.66 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.27 6.05 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/mprj/clknet_leaf_22_wb_clk_i (net)
0.06 0.00 6.05 ^ mprj/mprj/_5096_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.30 clock uncertainty
-0.84 5.46 clock reconvergence pessimism
-0.03 5.43 library hold time
5.43 data required time
-----------------------------------------------------------------------------
5.43 data required time
-5.66 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: soc/core/_38376_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_38192_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 5.44 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.18 5.61 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 5.61 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.20 5.81 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 5.81 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.95 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 5.95 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.64 6.59 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 6.62 ^ soc/core/clkbuf_leaf_126_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.31 6.93 ^ soc/core/clkbuf_leaf_126_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.07 soc/core/clknet_leaf_126_core_clk (net)
0.10 0.00 6.93 ^ soc/core/_38376_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.06 0.34 7.28 v soc/core/_38376_/Q (sky130_fd_sc_hd__dfxtp_2)
5 0.02 soc/core/mgmtsoc_bus_errors[18] (net)
0.06 0.00 7.28 v soc/core/hold1504/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.09 0.18 7.46 v soc/core/hold1504/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 soc/core/net4353 (net)
0.09 0.00 7.46 v soc/core/_22466_/B (sky130_fd_sc_hd__nand3_2)
0.09 0.12 7.58 ^ soc/core/_22466_/Y (sky130_fd_sc_hd__nand3_2)
1 0.01 soc/core/_10909_ (net)
0.09 0.00 7.58 ^ soc/core/_22467_/A2 (sky130_fd_sc_hd__a21oi_1)
0.05 0.07 7.65 v soc/core/_22467_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 soc/core/_05658_ (net)
0.05 0.00 7.65 v soc/core/_38192_/D (sky130_fd_sc_hd__dfxtp_1)
7.65 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.01 6.49 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.21 6.71 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_13_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.86 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_26_0_core_clk (net)
0.04 0.00 6.86 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
1.01 0.84 7.70 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.35 soc/core/clknet_5_26_1_core_clk (net)
1.01 0.04 7.74 ^ soc/core/clkbuf_leaf_145_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.36 8.10 ^ soc/core/clkbuf_leaf_145_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.07 soc/core/clknet_leaf_145_core_clk (net)
0.11 0.00 8.10 ^ soc/core/_38192_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.35 clock uncertainty
-0.88 7.47 clock reconvergence pessimism
-0.04 7.43 library hold time
7.43 data required time
-----------------------------------------------------------------------------
7.43 data required time
-7.65 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: soc/core/_35415_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_35474_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 5.61 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.20 5.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_14_0_core_clk (net)
0.10 0.00 5.81 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.95 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_29_0_core_clk (net)
0.04 0.00 5.95 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.70 0.56 6.51 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.24 soc/core/clknet_5_29_1_core_clk (net)
0.71 0.03 6.54 ^ soc/core/clkbuf_leaf_285_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.30 6.84 ^ soc/core/clkbuf_leaf_285_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.08 soc/core/clknet_leaf_285_core_clk (net)
0.10 0.00 6.84 ^ soc/core/_35415_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.32 7.16 ^ soc/core/_35415_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][23] (net)
0.06 0.00 7.16 ^ soc/core/_32817_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 7.28 ^ soc/core/_32817_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags$rdreg[0]$d[23] (net)
0.05 0.00 7.28 ^ soc/core/_30180_/A1 (sky130_fd_sc_hd__mux2_1)
0.04 0.12 7.39 ^ soc/core/_30180_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 soc/core/_15890_ (net)
0.04 0.00 7.39 ^ soc/core/_30181_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 7.46 ^ soc/core/_30181_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/_02940_ (net)
0.04 0.00 7.46 ^ soc/core/_35474_/D (sky130_fd_sc_hd__dfxtp_1)
7.46 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_2_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_2_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.06 0.19 6.16 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_5_0_core_clk (net)
0.06 0.00 6.16 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 6.30 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_5_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.20 6.49 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_5_2_core_clk (net)
0.12 0.01 6.50 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.22 6.72 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_11_0_core_clk (net)
0.11 0.00 6.72 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.16 6.88 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_23_0_core_clk (net)
0.04 0.00 6.88 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.66 0.58 7.46 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.22 soc/core/clknet_5_23_1_core_clk (net)
0.66 0.03 7.50 ^ soc/core/clkbuf_leaf_286_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.30 7.80 ^ soc/core/clkbuf_leaf_286_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.04 soc/core/clknet_leaf_286_core_clk (net)
0.08 0.00 7.80 ^ soc/core/_35474_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.05 clock uncertainty
-0.79 7.26 clock reconvergence pessimism
-0.03 7.24 library hold time
7.24 data required time
-----------------------------------------------------------------------------
7.24 data required time
-7.46 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: mprj/mprj/_5095_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5095_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.24 5.21 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/mprj/clknet_leaf_22_wb_clk_i (net)
0.06 0.00 5.21 ^ mprj/mprj/_5095_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.32 5.53 ^ mprj/mprj/_5095_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.data_out[2] (net)
0.08 0.00 5.53 ^ mprj/mprj/_3971_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.13 5.66 ^ mprj/mprj/_3971_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0125_ (net)
0.04 0.00 5.66 ^ mprj/mprj/_5095_/D (sky130_fd_sc_hd__dfxtp_1)
5.66 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.27 6.05 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/mprj/clknet_leaf_22_wb_clk_i (net)
0.06 0.00 6.05 ^ mprj/mprj/_5095_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.30 clock uncertainty
-0.84 5.46 clock reconvergence pessimism
-0.03 5.43 library hold time
5.43 data required time
-----------------------------------------------------------------------------
5.43 data required time
-5.66 data arrival time
-----------------------------------------------------------------------------
0.23 slack (MET)
Startpoint: mprj/mprj/_5085_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5085_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.26 5.23 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 5.23 ^ mprj/mprj/_5085_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.09 0.33 5.56 ^ mprj/mprj/_5085_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.output_buffer[12] (net)
0.09 0.00 5.56 ^ mprj/mprj/_3931_/A1 (sky130_fd_sc_hd__o21a_1)
0.04 0.12 5.68 ^ mprj/mprj/_3931_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/mprj/_0115_ (net)
0.04 0.00 5.68 ^ mprj/mprj/_5085_/D (sky130_fd_sc_hd__dfxtp_1)
5.68 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 6.07 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 6.07 ^ mprj/mprj/_5085_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.32 clock uncertainty
-0.84 5.48 clock reconvergence pessimism
-0.03 5.45 library hold time
5.45 data required time
-----------------------------------------------------------------------------
5.45 data required time
-5.68 data arrival time
-----------------------------------------------------------------------------
0.23 slack (MET)
Startpoint: mprj/mprj/_5045_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5045_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.55 5.08 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.08 ^ mprj/mprj/clkbuf_leaf_29_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.26 5.34 ^ mprj/mprj/clkbuf_leaf_29_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/mprj/clknet_leaf_29_wb_clk_i (net)
0.06 0.00 5.34 ^ mprj/mprj/_5045_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.32 5.67 v mprj/mprj/_5045_/Q (sky130_fd_sc_hd__dfxtp_1)
5 0.01 mprj/mprj/soc.spi_video_ram_1.state_counter[4] (net)
0.07 0.00 5.67 v mprj/mprj/_3802_/A1 (sky130_fd_sc_hd__a21oi_1)
0.08 0.12 5.79 ^ mprj/mprj/_3802_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 mprj/mprj/_0075_ (net)
0.08 0.00 5.79 ^ mprj/mprj/_5045_/D (sky130_fd_sc_hd__dfxtp_1)
5.79 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.61 5.90 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.90 ^ mprj/mprj/clkbuf_leaf_29_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.29 6.19 ^ mprj/mprj/clkbuf_leaf_29_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/mprj/clknet_leaf_29_wb_clk_i (net)
0.06 0.00 6.19 ^ mprj/mprj/_5045_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.44 clock uncertainty
-0.85 5.59 clock reconvergence pessimism
-0.04 5.56 library hold time
5.56 data required time
-----------------------------------------------------------------------------
5.56 data required time
-5.79 data arrival time
-----------------------------------------------------------------------------
0.23 slack (MET)
Startpoint: soc/core/_36721_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_36723_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 5.61 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.20 5.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_14_0_core_clk (net)
0.10 0.00 5.81 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.96 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_28_0_core_clk (net)
0.04 0.00 5.96 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.75 0.59 6.54 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.26 soc/core/clknet_5_28_1_core_clk (net)
0.76 0.02 6.57 ^ soc/core/clkbuf_leaf_232_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.28 6.85 ^ soc/core/clkbuf_leaf_232_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.05 soc/core/clknet_leaf_232_core_clk (net)
0.08 0.00 6.85 ^ soc/core/_36721_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.15 0.39 7.24 ^ soc/core/_36721_/Q (sky130_fd_sc_hd__dfxtp_2)
5 0.03 soc/core/mgmtsoc_litespisdrphycore_sr_in[18] (net)
0.15 0.00 7.25 ^ soc/core/_33731_/A0 (sky130_fd_sc_hd__mux2_1)
0.04 0.14 7.38 ^ soc/core/_33731_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 soc/core/_02782_ (net)
0.04 0.00 7.38 ^ soc/core/_27215_/A1 (sky130_fd_sc_hd__mux2_1)
0.04 0.11 7.49 ^ soc/core/_27215_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 soc/core/_14181_ (net)
0.04 0.00 7.49 ^ soc/core/_27216_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 7.57 ^ soc/core/_27216_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/_04189_ (net)
0.04 0.00 7.57 ^ soc/core/_36723_/D (sky130_fd_sc_hd__dfxtp_1)
7.57 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_25_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.81 0.68 7.54 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
32 0.28 soc/core/clknet_5_25_1_core_clk (net)
0.82 0.06 7.60 ^ soc/core/clkbuf_leaf_233_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.34 7.94 ^ soc/core/clkbuf_leaf_233_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.07 soc/core/clknet_leaf_233_core_clk (net)
0.10 0.00 7.94 ^ soc/core/_36723_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.19 clock uncertainty
-0.83 7.36 clock reconvergence pessimism
-0.02 7.34 library hold time
7.34 data required time
-----------------------------------------------------------------------------
7.34 data required time
-7.57 data arrival time
-----------------------------------------------------------------------------
0.23 slack (MET)
Startpoint: soc/core/_38394_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_38396_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 5.61 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.20 5.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_15_0_core_clk (net)
0.11 0.00 5.82 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.96 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_30_0_core_clk (net)
0.04 0.00 5.96 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.79 0.60 6.56 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.27 soc/core/clknet_5_30_1_core_clk (net)
0.79 0.02 6.58 ^ soc/core/clkbuf_leaf_173_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.27 6.85 ^ soc/core/clkbuf_leaf_173_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
6 0.03 soc/core/clknet_leaf_173_core_clk (net)
0.07 0.00 6.85 ^ soc/core/_38394_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.08 0.38 7.23 v soc/core/_38394_/Q (sky130_fd_sc_hd__dfxtp_4)
9 0.05 soc/core/litespi_state[1] (net)
0.08 0.00 7.23 v soc/core/_21775_/A (sky130_fd_sc_hd__and2_1)
0.04 0.15 7.38 v soc/core/_21775_/X (sky130_fd_sc_hd__and2_1)
1 0.00 soc/core/_10425_ (net)
0.04 0.00 7.38 v soc/core/_21776_/A (sky130_fd_sc_hd__inv_2)
0.07 0.07 7.45 ^ soc/core/_21776_/Y (sky130_fd_sc_hd__inv_2)
3 0.01 soc/core/_10426_ (net)
0.07 0.00 7.45 ^ soc/core/_21777_/A3 (sky130_fd_sc_hd__o311ai_1)
0.06 0.08 7.53 v soc/core/_21777_/Y (sky130_fd_sc_hd__o311ai_1)
1 0.00 soc/core/_10427_ (net)
0.06 0.00 7.53 v soc/core/_21778_/C1 (sky130_fd_sc_hd__o211a_1)
0.03 0.10 7.63 v soc/core/_21778_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 soc/core/_05862_ (net)
0.03 0.00 7.63 v soc/core/_38396_/D (sky130_fd_sc_hd__dfxtp_4)
7.63 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.01 6.49 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.21 6.71 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_13_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_27_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.86 ^ soc/core/clkbuf_5_27_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_27_0_core_clk (net)
0.04 0.00 6.86 ^ soc/core/clkbuf_5_27_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.91 0.76 7.62 ^ soc/core/clkbuf_5_27_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
36 0.31 soc/core/clknet_5_27_1_core_clk (net)
0.91 0.03 7.65 ^ soc/core/clkbuf_leaf_172_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.35 8.01 ^ soc/core/clkbuf_leaf_172_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.08 soc/core/clknet_leaf_172_core_clk (net)
0.11 0.00 8.01 ^ soc/core/_38396_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.25 8.26 clock uncertainty
-0.83 7.43 clock reconvergence pessimism
-0.03 7.40 library hold time
7.40 data required time
-----------------------------------------------------------------------------
7.40 data required time
-7.63 data arrival time
-----------------------------------------------------------------------------
0.23 slack (MET)
Startpoint: mprj/mprj/_5279_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5079_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.27 5.24 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.06 mprj/mprj/clknet_leaf_20_wb_clk_i (net)
0.09 0.00 5.24 ^ mprj/mprj/_5279_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.09 0.34 5.58 ^ mprj/mprj/_5279_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.request_address[5] (net)
0.09 0.00 5.58 ^ mprj/mprj/_3905_/B2 (sky130_fd_sc_hd__a221o_1)
0.04 0.13 5.71 ^ mprj/mprj/_3905_/X (sky130_fd_sc_hd__a221o_1)
1 0.00 mprj/mprj/_0109_ (net)
0.04 0.00 5.71 ^ mprj/mprj/_5079_/D (sky130_fd_sc_hd__dfxtp_1)
5.71 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 6.07 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 6.07 ^ mprj/mprj/_5079_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.32 clock uncertainty
-0.81 5.51 clock reconvergence pessimism
-0.03 5.48 library hold time
5.48 data required time
-----------------------------------------------------------------------------
5.48 data required time
-5.71 data arrival time
-----------------------------------------------------------------------------
0.23 slack (MET)
Startpoint: mprj/mprj/_5081_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5081_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.26 5.23 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 5.23 ^ mprj/mprj/_5081_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.09 0.33 5.56 ^ mprj/mprj/_5081_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.output_buffer[8] (net)
0.09 0.00 5.56 ^ mprj/mprj/_3918_/A1 (sky130_fd_sc_hd__o21a_1)
0.04 0.12 5.68 ^ mprj/mprj/_3918_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/mprj/_0111_ (net)
0.04 0.00 5.68 ^ mprj/mprj/_5081_/D (sky130_fd_sc_hd__dfxtp_1)
5.68 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 6.07 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 6.07 ^ mprj/mprj/_5081_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.32 clock uncertainty
-0.84 5.48 clock reconvergence pessimism
-0.03 5.45 library hold time
5.45 data required time
-----------------------------------------------------------------------------
5.45 data required time
-5.68 data arrival time
-----------------------------------------------------------------------------
0.23 slack (MET)
Startpoint: mprj/mprj/_5082_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5082_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.26 5.23 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 5.23 ^ mprj/mprj/_5082_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.09 0.33 5.56 ^ mprj/mprj/_5082_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.output_buffer[9] (net)
0.09 0.00 5.56 ^ mprj/mprj/_3922_/A1 (sky130_fd_sc_hd__o21a_1)
0.04 0.12 5.69 ^ mprj/mprj/_3922_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/mprj/_0112_ (net)
0.04 0.00 5.69 ^ mprj/mprj/_5082_/D (sky130_fd_sc_hd__dfxtp_1)
5.69 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 6.07 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 6.07 ^ mprj/mprj/_5082_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.32 clock uncertainty
-0.84 5.48 clock reconvergence pessimism
-0.03 5.45 library hold time
5.45 data required time
-----------------------------------------------------------------------------
5.45 data required time
-5.69 data arrival time
-----------------------------------------------------------------------------
0.23 slack (MET)
Startpoint: mprj/mprj/_5153_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5153_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.49 0.41 4.93 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.09 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.49 0.00 4.94 ^ mprj/mprj/clkbuf_leaf_12_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.26 5.19 ^ mprj/mprj/clkbuf_leaf_12_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
19 0.06 mprj/mprj/clknet_leaf_12_wb_clk_i (net)
0.09 0.00 5.20 ^ mprj/mprj/_5153_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.06 0.35 5.55 ^ mprj/mprj/_5153_/Q (sky130_fd_sc_hd__dfxtp_4)
4 0.02 mprj/mprj/soc.spi_video_ram_1.write_fifo.write_pointer[2] (net)
0.06 0.00 5.55 ^ mprj/mprj/_4089_/A1 (sky130_fd_sc_hd__o21a_1)
0.03 0.11 5.66 ^ mprj/mprj/_4089_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/mprj/_0181_ (net)
0.03 0.00 5.66 ^ mprj/mprj/_5153_/D (sky130_fd_sc_hd__dfxtp_4)
5.66 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.49 0.46 5.74 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.09 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.49 0.01 5.74 ^ mprj/mprj/clkbuf_leaf_12_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.29 6.03 ^ mprj/mprj/clkbuf_leaf_12_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
19 0.06 mprj/mprj/clknet_leaf_12_wb_clk_i (net)
0.09 0.00 6.03 ^ mprj/mprj/_5153_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.25 6.28 clock uncertainty
-0.84 5.45 clock reconvergence pessimism
-0.02 5.42 library hold time
5.42 data required time
-----------------------------------------------------------------------------
5.42 data required time
-5.66 data arrival time
-----------------------------------------------------------------------------
0.23 slack (MET)
Startpoint: mprj/mprj/_5087_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5087_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.00 4.97 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.28 5.25 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.08 mprj/mprj/clknet_leaf_16_wb_clk_i (net)
0.11 0.00 5.25 ^ mprj/mprj/_5087_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.09 0.34 5.60 ^ mprj/mprj/_5087_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.output_buffer[14] (net)
0.09 0.00 5.60 ^ mprj/mprj/_3938_/A1 (sky130_fd_sc_hd__o21a_1)
0.04 0.12 5.71 ^ mprj/mprj/_3938_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/mprj/_0117_ (net)
0.04 0.00 5.71 ^ mprj/mprj/_5087_/D (sky130_fd_sc_hd__dfxtp_1)
5.71 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.00 5.78 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.31 6.09 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.08 mprj/mprj/clknet_leaf_16_wb_clk_i (net)
0.11 0.00 6.09 ^ mprj/mprj/_5087_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.34 clock uncertainty
-0.84 5.50 clock reconvergence pessimism
-0.02 5.48 library hold time
5.48 data required time
-----------------------------------------------------------------------------
5.48 data required time
-5.71 data arrival time
-----------------------------------------------------------------------------
0.23 slack (MET)
Startpoint: mprj/mprj/_5007_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5007_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.55 5.08 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.08 ^ mprj/mprj/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.30 5.38 ^ mprj/mprj/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
21 0.07 mprj/mprj/clknet_leaf_24_wb_clk_i (net)
0.10 0.00 5.38 ^ mprj/mprj/_5007_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.33 5.72 ^ mprj/mprj/_5007_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.rom_encoder_0.output_buffer[4] (net)
0.08 0.00 5.72 ^ mprj/mprj/_3683_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.13 5.84 ^ mprj/mprj/_3683_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0042_ (net)
0.04 0.00 5.84 ^ mprj/mprj/_5007_/D (sky130_fd_sc_hd__dfxtp_1)
5.84 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.61 5.90 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.90 ^ mprj/mprj/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.33 6.23 ^ mprj/mprj/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
21 0.07 mprj/mprj/clknet_leaf_24_wb_clk_i (net)
0.10 0.00 6.24 ^ mprj/mprj/_5007_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.49 clock uncertainty
-0.85 5.63 clock reconvergence pessimism
-0.02 5.61 library hold time
5.61 data required time
-----------------------------------------------------------------------------
5.61 data required time
-5.84 data arrival time
-----------------------------------------------------------------------------
0.23 slack (MET)
Startpoint: mprj/mprj/_5155_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5155_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.49 0.41 4.93 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.09 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.49 0.01 4.94 ^ mprj/mprj/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 5.16 ^ mprj/mprj/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
129 0.02 mprj/mprj/clknet_leaf_11_wb_clk_i (net)
0.05 0.00 5.16 ^ mprj/mprj/_5155_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.07 0.35 5.51 ^ mprj/mprj/_5155_/Q (sky130_fd_sc_hd__dfxtp_4)
4 0.02 mprj/mprj/soc.spi_video_ram_1.write_fifo.write_pointer[4] (net)
0.07 0.00 5.51 ^ mprj/mprj/_4096_/A1 (sky130_fd_sc_hd__o21a_1)
0.04 0.11 5.62 ^ mprj/mprj/_4096_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/mprj/_0183_ (net)
0.04 0.00 5.62 ^ mprj/mprj/_5155_/D (sky130_fd_sc_hd__dfxtp_4)
5.62 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.49 0.46 5.74 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.09 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.49 0.01 5.74 ^ mprj/mprj/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.25 6.00 ^ mprj/mprj/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
129 0.02 mprj/mprj/clknet_leaf_11_wb_clk_i (net)
0.05 0.00 6.00 ^ mprj/mprj/_5155_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.25 6.25 clock uncertainty
-0.83 5.41 clock reconvergence pessimism
-0.03 5.39 library hold time
5.39 data required time
-----------------------------------------------------------------------------
5.39 data required time
-5.62 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: soc/core/_36721_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_36722_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 5.61 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.20 5.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_14_0_core_clk (net)
0.10 0.00 5.81 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.96 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_28_0_core_clk (net)
0.04 0.00 5.96 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.75 0.59 6.54 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.26 soc/core/clknet_5_28_1_core_clk (net)
0.76 0.02 6.57 ^ soc/core/clkbuf_leaf_232_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.28 6.85 ^ soc/core/clkbuf_leaf_232_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.05 soc/core/clknet_leaf_232_core_clk (net)
0.08 0.00 6.85 ^ soc/core/_36721_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.15 0.39 7.24 ^ soc/core/_36721_/Q (sky130_fd_sc_hd__dfxtp_2)
5 0.03 soc/core/mgmtsoc_litespisdrphycore_sr_in[18] (net)
0.15 0.00 7.24 ^ soc/core/_33732_/A1 (sky130_fd_sc_hd__mux2_1)
0.04 0.15 7.39 ^ soc/core/_33732_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 soc/core/_02780_ (net)
0.04 0.00 7.39 ^ soc/core/_27217_/A1 (sky130_fd_sc_hd__mux2_1)
0.04 0.11 7.50 ^ soc/core/_27217_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 soc/core/_14182_ (net)
0.04 0.00 7.50 ^ soc/core/_27218_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 7.57 ^ soc/core/_27218_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/_04188_ (net)
0.04 0.00 7.57 ^ soc/core/_36722_/D (sky130_fd_sc_hd__dfxtp_2)
7.57 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_25_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.81 0.68 7.54 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
32 0.28 soc/core/clknet_5_25_1_core_clk (net)
0.82 0.06 7.60 ^ soc/core/clkbuf_leaf_233_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.34 7.94 ^ soc/core/clkbuf_leaf_233_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.07 soc/core/clknet_leaf_233_core_clk (net)
0.10 0.00 7.94 ^ soc/core/_36722_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 8.19 clock uncertainty
-0.83 7.36 clock reconvergence pessimism
-0.02 7.34 library hold time
7.34 data required time
-----------------------------------------------------------------------------
7.34 data required time
-7.57 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: soc/core/_39133_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_38050_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 5.44 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.18 5.61 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 5.61 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.20 5.81 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 5.81 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.95 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 5.95 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.64 6.59 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.01 6.60 ^ soc/core/clkbuf_leaf_127_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.30 6.90 ^ soc/core/clkbuf_leaf_127_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
20 0.06 soc/core/clknet_leaf_127_core_clk (net)
0.09 0.00 6.90 ^ soc/core/_39133_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.09 0.35 7.25 v soc/core/_39133_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.02 soc/core/gpioin0_enable_storage (net)
0.09 0.00 7.25 v soc/core/_23910_/A2 (sky130_fd_sc_hd__a32oi_4)
0.13 0.23 7.48 ^ soc/core/_23910_/Y (sky130_fd_sc_hd__a32oi_4)
1 0.01 soc/core/_12211_ (net)
0.13 0.00 7.48 ^ soc/core/_23913_/A2 (sky130_fd_sc_hd__a41oi_2)
0.05 0.16 7.63 v soc/core/_23913_/Y (sky130_fd_sc_hd__a41oi_2)
1 0.01 soc/core/_05516_ (net)
0.05 0.00 7.64 v soc/core/_38050_/D (sky130_fd_sc_hd__dfxtp_1)
7.64 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.01 6.49 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.21 6.71 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_13_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.86 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_26_0_core_clk (net)
0.04 0.00 6.86 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
1.01 0.84 7.70 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.35 soc/core/clknet_5_26_1_core_clk (net)
1.01 0.01 7.71 ^ soc/core/clkbuf_leaf_136_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.36 8.07 ^ soc/core/clkbuf_leaf_136_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.07 soc/core/clknet_leaf_136_core_clk (net)
0.11 0.00 8.07 ^ soc/core/_38050_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.32 clock uncertainty
-0.88 7.44 clock reconvergence pessimism
-0.04 7.40 library hold time
7.40 data required time
-----------------------------------------------------------------------------
7.40 data required time
-7.64 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: housekeeping/_9014_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: housekeeping/_9014_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 4.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 4.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 4.31 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 4.50 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net)
0.13 0.00 4.50 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.25 4.75 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net)
0.23 0.00 4.75 ^ housekeeping/_9014_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.36 5.12 ^ housekeeping/_9014_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 housekeeping/net328 (net)
0.07 0.00 5.12 ^ housekeeping/_6982_/B1 (sky130_fd_sc_hd__o22a_1)
0.04 0.12 5.23 ^ housekeeping/_6982_/X (sky130_fd_sc_hd__o22a_1)
1 0.00 housekeeping/_0264_ (net)
0.04 0.00 5.23 ^ housekeeping/_9014_/D (sky130_fd_sc_hd__dfxtp_1)
5.23 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.27 5.54 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net)
0.23 0.00 5.54 ^ housekeeping/_9014_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.79 clock uncertainty
-0.79 5.00 clock reconvergence pessimism
-0.01 5.00 library hold time
5.00 data required time
-----------------------------------------------------------------------------
5.00 data required time
-5.23 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: housekeeping/_9025_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: housekeeping/_9025_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 4.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 4.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 4.31 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 4.50 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net)
0.13 0.00 4.50 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.25 4.75 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net)
0.23 0.00 4.75 ^ housekeeping/_9025_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.36 5.12 ^ housekeeping/_9025_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 housekeeping/net354 (net)
0.07 0.00 5.12 ^ housekeeping/_6967_/B1 (sky130_fd_sc_hd__o22a_1)
0.04 0.12 5.24 ^ housekeeping/_6967_/X (sky130_fd_sc_hd__o22a_1)
1 0.00 housekeeping/_0275_ (net)
0.04 0.00 5.24 ^ housekeeping/_9025_/D (sky130_fd_sc_hd__dfxtp_1)
5.24 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.27 5.54 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net)
0.23 0.00 5.54 ^ housekeeping/_9025_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.79 clock uncertainty
-0.79 5.00 clock reconvergence pessimism
-0.01 5.00 library hold time
5.00 data required time
-----------------------------------------------------------------------------
5.00 data required time
-5.24 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: mprj/mprj/_5340_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5163_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.55 5.08 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.08 ^ mprj/mprj/clkbuf_leaf_27_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.29 5.37 ^ mprj/mprj/clkbuf_leaf_27_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
23 0.07 mprj/mprj/clknet_leaf_27_wb_clk_i (net)
0.10 0.01 5.38 ^ mprj/mprj/_5340_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.30 5.68 ^ mprj/mprj/_5340_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.00 mprj/mprj/soc.rom_encoder_0.data_out[2] (net)
0.04 0.00 5.68 ^ mprj/mprj/_4122_/A0 (sky130_fd_sc_hd__mux2_1)
0.04 0.11 5.79 ^ mprj/mprj/_4122_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 mprj/mprj/_1729_ (net)
0.04 0.00 5.79 ^ mprj/mprj/_4123_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 5.86 ^ mprj/mprj/_4123_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 mprj/mprj/_0191_ (net)
0.04 0.00 5.86 ^ mprj/mprj/_5163_/D (sky130_fd_sc_hd__dfxtp_1)
5.86 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.61 5.90 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.90 ^ mprj/mprj/clkbuf_leaf_26_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.31 6.21 ^ mprj/mprj/clkbuf_leaf_26_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
16 0.05 mprj/mprj/clknet_leaf_26_wb_clk_i (net)
0.08 0.00 6.22 ^ mprj/mprj/_5163_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.47 clock uncertainty
-0.82 5.64 clock reconvergence pessimism
-0.02 5.62 library hold time
5.62 data required time
-----------------------------------------------------------------------------
5.62 data required time
-5.86 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: soc/core/_39118_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_39119_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 5.61 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.20 5.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_14_0_core_clk (net)
0.10 0.00 5.81 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.95 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_29_0_core_clk (net)
0.04 0.00 5.95 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.70 0.56 6.51 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.24 soc/core/clknet_5_29_1_core_clk (net)
0.70 0.01 6.52 ^ soc/core/clkbuf_leaf_212_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.30 6.82 ^ soc/core/clkbuf_leaf_212_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.07 soc/core/clknet_leaf_212_core_clk (net)
0.10 0.00 6.82 ^ soc/core/_39118_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.32 7.14 ^ soc/core/_39118_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.01 soc/core/count[16] (net)
0.06 0.00 7.14 ^ soc/core/_16941_/A (sky130_fd_sc_hd__inv_2)
0.03 0.04 7.18 v soc/core/_16941_/Y (sky130_fd_sc_hd__inv_2)
4 0.01 soc/core/_07006_ (net)
0.03 0.00 7.18 v soc/core/_16943_/C (sky130_fd_sc_hd__and4_2)
0.08 0.25 7.44 v soc/core/_16943_/X (sky130_fd_sc_hd__and4_2)
4 0.02 soc/core/_07008_ (net)
0.08 0.00 7.44 v soc/core/_19486_/A (sky130_fd_sc_hd__inv_2)
0.03 0.05 7.49 ^ soc/core/_19486_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/_08990_ (net)
0.03 0.00 7.49 ^ soc/core/_19488_/B (sky130_fd_sc_hd__nand3_1)
0.06 0.07 7.56 v soc/core/_19488_/Y (sky130_fd_sc_hd__nand3_1)
1 0.00 soc/core/_06585_ (net)
0.06 0.00 7.56 v soc/core/_39119_/D (sky130_fd_sc_hd__dfxtp_1)
7.56 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.18 6.16 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.29 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 6.29 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.20 6.49 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 6.49 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.22 6.72 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_15_0_core_clk (net)
0.11 0.00 6.72 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_31_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.93 0.76 7.64 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
38 0.32 soc/core/clknet_5_31_1_core_clk (net)
0.93 0.01 7.65 ^ soc/core/clkbuf_leaf_206_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.34 8.00 ^ soc/core/clkbuf_leaf_206_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.06 soc/core/clknet_leaf_206_core_clk (net)
0.10 0.00 8.00 ^ soc/core/_39119_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.25 clock uncertainty
-0.88 7.37 clock reconvergence pessimism
-0.05 7.32 library hold time
7.32 data required time
-----------------------------------------------------------------------------
7.32 data required time
-7.56 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: soc/core/_37941_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_38228_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 5.61 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.20 5.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_14_0_core_clk (net)
0.10 0.00 5.81 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.95 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_29_0_core_clk (net)
0.04 0.00 5.95 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.70 0.56 6.51 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.24 soc/core/clknet_5_29_1_core_clk (net)
0.71 0.02 6.53 ^ soc/core/clkbuf_leaf_216_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.30 6.84 ^ soc/core/clkbuf_leaf_216_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.08 soc/core/clknet_leaf_216_core_clk (net)
0.11 0.00 6.84 ^ soc/core/_37941_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.11 0.41 7.25 v soc/core/_37941_/Q (sky130_fd_sc_hd__dfxtp_4)
14 0.07 soc/core/uartwishbonebridge_rs232phyrx_state (net)
0.11 0.00 7.25 v soc/core/hold1495/A (sky130_fd_sc_hd__buf_4)
0.08 0.19 7.44 v soc/core/hold1495/X (sky130_fd_sc_hd__buf_4)
4 0.05 soc/core/net4344 (net)
0.08 0.01 7.45 v soc/core/_22375_/A2 (sky130_fd_sc_hd__a31oi_1)
0.08 0.15 7.61 ^ soc/core/_22375_/Y (sky130_fd_sc_hd__a31oi_1)
1 0.00 soc/core/_05694_ (net)
0.08 0.00 7.61 ^ soc/core/_38228_/D (sky130_fd_sc_hd__dfxtp_1)
7.61 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_2_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_2_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.06 0.19 6.16 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_5_0_core_clk (net)
0.06 0.00 6.16 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 6.30 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_5_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.20 6.49 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_5_2_core_clk (net)
0.12 0.01 6.50 ^ soc/core/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.21 6.71 ^ soc/core/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_10_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.86 ^ soc/core/clkbuf_5_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_21_0_core_clk (net)
0.04 0.00 6.86 ^ soc/core/clkbuf_5_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.85 0.72 7.59 ^ soc/core/clkbuf_5_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
36 0.29 soc/core/clknet_5_21_1_core_clk (net)
0.85 0.02 7.61 ^ soc/core/clkbuf_leaf_307_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.33 7.94 ^ soc/core/clkbuf_leaf_307_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
20 0.05 soc/core/clknet_leaf_307_core_clk (net)
0.09 0.00 7.94 ^ soc/core/_38228_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.19 clock uncertainty
-0.79 7.40 clock reconvergence pessimism
-0.03 7.37 library hold time
7.37 data required time
-----------------------------------------------------------------------------
7.37 data required time
-7.61 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: housekeeping/_9026_
(rising edge-triggered flip-flop clocked by clock)
Endpoint: housekeeping/_9026_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 4.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 4.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 4.31 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 4.50 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net)
0.13 0.00 4.50 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.25 4.75 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net)
0.23 0.00 4.75 ^ housekeeping/_9026_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.37 5.12 ^ housekeeping/_9026_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 housekeeping/net355 (net)
0.07 0.00 5.12 ^ housekeeping/_6966_/B1 (sky130_fd_sc_hd__o22a_1)
0.04 0.12 5.24 ^ housekeeping/_6966_/X (sky130_fd_sc_hd__o22a_1)
1 0.00 housekeeping/_0276_ (net)
0.04 0.00 5.24 ^ housekeeping/_9026_/D (sky130_fd_sc_hd__dfxtp_1)
5.24 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.27 5.54 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net)
0.23 0.00 5.54 ^ housekeeping/_9026_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.79 clock uncertainty
-0.79 5.00 clock reconvergence pessimism
-0.01 5.00 library hold time
5.00 data required time
-----------------------------------------------------------------------------
5.00 data required time
-5.24 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: mprj/mprj/_5050_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5050_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.55 5.08 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.08 ^ mprj/mprj/clkbuf_leaf_29_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.26 5.34 ^ mprj/mprj/clkbuf_leaf_29_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/mprj/clknet_leaf_29_wb_clk_i (net)
0.06 0.00 5.34 ^ mprj/mprj/_5050_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.32 5.67 v mprj/mprj/_5050_/Q (sky130_fd_sc_hd__dfxtp_1)
5 0.01 mprj/mprj/soc.spi_video_ram_1.state_counter[9] (net)
0.07 0.00 5.67 v mprj/mprj/_3817_/A1 (sky130_fd_sc_hd__a21oi_1)
0.09 0.13 5.80 ^ mprj/mprj/_3817_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 mprj/mprj/_0080_ (net)
0.09 0.00 5.80 ^ mprj/mprj/_5050_/D (sky130_fd_sc_hd__dfxtp_1)
5.80 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.61 5.90 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.90 ^ mprj/mprj/clkbuf_leaf_29_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.29 6.19 ^ mprj/mprj/clkbuf_leaf_29_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 mprj/mprj/clknet_leaf_29_wb_clk_i (net)
0.06 0.00 6.19 ^ mprj/mprj/_5050_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.44 clock uncertainty
-0.85 5.59 clock reconvergence pessimism
-0.04 5.55 library hold time
5.55 data required time
-----------------------------------------------------------------------------
5.55 data required time
-5.80 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: soc/core/_36460_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_38053_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 5.61 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.20 5.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_15_0_core_clk (net)
0.11 0.00 5.82 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.96 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_30_0_core_clk (net)
0.04 0.00 5.96 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.79 0.60 6.56 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.27 soc/core/clknet_5_30_1_core_clk (net)
0.79 0.02 6.59 ^ soc/core/clkbuf_leaf_200_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.31 6.90 ^ soc/core/clkbuf_leaf_200_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.08 soc/core/clknet_leaf_200_core_clk (net)
0.11 0.00 6.90 ^ soc/core/_36460_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.13 0.43 7.33 v soc/core/_36460_/Q (sky130_fd_sc_hd__dfxtp_4)
4 0.09 soc/core/memdat_3[2] (net)
0.13 0.01 7.33 v soc/core/_23889_/C (sky130_fd_sc_hd__and4_1)
0.07 0.25 7.59 v soc/core/_23889_/X (sky130_fd_sc_hd__and4_1)
1 0.01 soc/core/_12193_ (net)
0.07 0.00 7.59 v soc/core/_23890_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.09 7.68 v soc/core/_23890_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/_05519_ (net)
0.03 0.00 7.68 v soc/core/_38053_/D (sky130_fd_sc_hd__dfxtp_1)
7.68 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.01 6.49 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.21 6.71 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_13_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.86 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_26_0_core_clk (net)
0.04 0.00 6.86 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
1.01 0.84 7.70 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.35 soc/core/clknet_5_26_1_core_clk (net)
1.01 0.04 7.74 ^ soc/core/clkbuf_leaf_141_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.32 8.06 ^ soc/core/clkbuf_leaf_141_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 soc/core/clknet_leaf_141_core_clk (net)
0.07 0.00 8.06 ^ soc/core/_38053_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.31 clock uncertainty
-0.83 7.48 clock reconvergence pessimism
-0.04 7.44 library hold time
7.44 data required time
-----------------------------------------------------------------------------
7.44 data required time
-7.68 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: mprj/mprj/_5351_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5174_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 4.52 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 4.52 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.55 5.08 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.70 0.00 5.08 ^ mprj/mprj/clkbuf_leaf_27_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.29 5.37 ^ mprj/mprj/clkbuf_leaf_27_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
23 0.07 mprj/mprj/clknet_leaf_27_wb_clk_i (net)
0.10 0.00 5.38 ^ mprj/mprj/_5351_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.30 5.68 ^ mprj/mprj/_5351_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.00 mprj/mprj/soc.rom_encoder_0.data_out[13] (net)
0.04 0.00 5.68 ^ mprj/mprj/_4146_/A0 (sky130_fd_sc_hd__mux2_1)
0.04 0.10 5.78 ^ mprj/mprj/_4146_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 mprj/mprj/_1742_ (net)
0.04 0.00 5.78 ^ mprj/mprj/_4147_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 5.85 ^ mprj/mprj/_4147_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 mprj/mprj/_0202_ (net)
0.04 0.00 5.85 ^ mprj/mprj/_5174_/D (sky130_fd_sc_hd__dfxtp_2)
5.85 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 5.29 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.12 0.00 5.29 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.70 0.61 5.90 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.70 0.01 5.90 ^ mprj/mprj/clkbuf_leaf_27_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.32 6.23 ^ mprj/mprj/clkbuf_leaf_27_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
23 0.07 mprj/mprj/clknet_leaf_27_wb_clk_i (net)
0.10 0.01 6.23 ^ mprj/mprj/_5174_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 6.48 clock uncertainty
-0.85 5.63 clock reconvergence pessimism
-0.02 5.61 library hold time
5.61 data required time
-----------------------------------------------------------------------------
5.61 data required time
-5.85 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: soc/core/_36464_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_38057_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 5.61 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.20 5.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_15_0_core_clk (net)
0.11 0.00 5.82 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.96 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_30_0_core_clk (net)
0.04 0.00 5.96 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.79 0.60 6.56 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.27 soc/core/clknet_5_30_1_core_clk (net)
0.79 0.03 6.60 ^ soc/core/clkbuf_leaf_201_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.31 6.91 ^ soc/core/clkbuf_leaf_201_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
34 0.08 soc/core/clknet_leaf_201_core_clk (net)
0.11 0.00 6.91 ^ soc/core/_36464_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.12 0.41 7.32 v soc/core/_36464_/Q (sky130_fd_sc_hd__dfxtp_4)
4 0.08 soc/core/memdat_3[6] (net)
0.13 0.02 7.35 v soc/core/_23881_/C (sky130_fd_sc_hd__and4_4)
0.08 0.26 7.60 v soc/core/_23881_/X (sky130_fd_sc_hd__and4_4)
2 0.05 soc/core/_12189_ (net)
0.08 0.01 7.61 v soc/core/_23882_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.10 7.71 v soc/core/_23882_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/_05523_ (net)
0.03 0.00 7.71 v soc/core/_38057_/D (sky130_fd_sc_hd__dfxtp_1)
7.71 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_0_0_core_clk (net)
0.05 0.00 4.86 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.15 5.01 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_0_1_core_clk (net)
0.05 0.00 5.01 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.15 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_0_2_core_clk (net)
0.05 0.00 5.15 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.12 5.27 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_1_0_3_core_clk (net)
0.03 0.00 5.27 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.24 0.27 5.54 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.08 soc/core/clknet_1_0_4_core_clk (net)
0.24 0.03 5.57 ^ soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.19 5.76 ^ soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_2_1_0_core_clk (net)
0.03 0.00 5.76 ^ soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.22 5.98 ^ soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_1_1_core_clk (net)
0.15 0.00 5.98 ^ soc/core/clkbuf_3_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.06 0.19 6.17 ^ soc/core/clkbuf_3_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_3_0_core_clk (net)
0.06 0.00 6.17 ^ soc/core/clkbuf_3_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 6.30 ^ soc/core/clkbuf_3_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_3_1_core_clk (net)
0.04 0.00 6.31 ^ soc/core/clkbuf_3_3_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.22 6.52 ^ soc/core/clkbuf_3_3_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_3_3_2_core_clk (net)
0.15 0.01 6.53 ^ soc/core/clkbuf_4_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.24 6.77 ^ soc/core/clkbuf_4_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_4_6_0_core_clk (net)
0.12 0.00 6.77 ^ soc/core/clkbuf_5_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.16 6.93 ^ soc/core/clkbuf_5_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_13_0_core_clk (net)
0.04 0.00 6.93 ^ soc/core/clkbuf_5_13_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.80 0.66 7.59 ^ soc/core/clkbuf_5_13_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
32 0.27 soc/core/clknet_5_13_1_core_clk (net)
0.80 0.05 7.64 ^ soc/core/clkbuf_leaf_92_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.32 7.97 ^ soc/core/clkbuf_leaf_92_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.05 soc/core/clknet_leaf_92_core_clk (net)
0.09 0.00 7.97 ^ soc/core/_38057_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.22 clock uncertainty
-0.71 7.51 clock reconvergence pessimism
-0.04 7.47 library hold time
7.47 data required time
-----------------------------------------------------------------------------
7.47 data required time
-7.71 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: soc/core/_38764_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_38753_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_0_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.27 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_0_1_core_clk (net)
0.05 0.00 4.27 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.40 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_0_2_core_clk (net)
0.05 0.00 4.40 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.11 4.51 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_1_0_3_core_clk (net)
0.03 0.00 4.51 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.24 0.24 4.75 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.08 soc/core/clknet_1_0_4_core_clk (net)
0.24 0.03 4.78 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.17 4.95 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_2_0_0_core_clk (net)
0.03 0.00 4.95 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.46 0.38 5.33 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.15 soc/core/clknet_2_0_1_core_clk (net)
0.46 0.03 5.36 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.21 5.57 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_3_1_0_core_clk (net)
0.04 0.00 5.57 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.10 5.68 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_3_1_1_core_clk (net)
0.03 0.00 5.68 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.08 0.14 5.82 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.02 soc/core/clknet_3_1_2_core_clk (net)
0.08 0.00 5.82 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.09 0.17 6.00 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_3_0_core_clk (net)
0.09 0.00 6.00 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 6.13 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_7_0_core_clk (net)
0.04 0.00 6.13 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.52 0.43 6.57 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
22 0.18 soc/core/clknet_5_7_1_core_clk (net)
0.52 0.02 6.59 ^ soc/core/clkbuf_leaf_115_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.28 6.87 ^ soc/core/clkbuf_leaf_115_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.09 soc/core/clknet_leaf_115_core_clk (net)
0.11 0.00 6.88 ^ soc/core/_38764_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.27 0.49 7.37 ^ soc/core/_38764_/Q (sky130_fd_sc_hd__dfxtp_4)
10 0.09 soc/core/VexRiscv.DebugPlugin_stepIt (net)
0.27 0.02 7.39 ^ soc/core/_20631_/A1 (sky130_fd_sc_hd__a2111oi_2)
0.04 0.13 7.52 v soc/core/_20631_/Y (sky130_fd_sc_hd__a2111oi_2)
1 0.01 soc/core/_09726_ (net)
0.04 0.00 7.52 v soc/core/_20632_/C (sky130_fd_sc_hd__nand3b_1)
0.10 0.10 7.63 ^ soc/core/_20632_/Y (sky130_fd_sc_hd__nand3b_1)
2 0.01 soc/core/_09727_ (net)
0.10 0.00 7.63 ^ soc/core/_20682_/A2 (sky130_fd_sc_hd__a21oi_1)
0.04 0.07 7.69 v soc/core/_20682_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 soc/core/_06219_ (net)
0.04 0.00 7.69 v soc/core/_38753_/D (sky130_fd_sc_hd__dfxtp_2)
7.69 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_2_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_2_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.18 6.15 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_4_0_core_clk (net)
0.05 0.00 6.15 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.29 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_4_1_core_clk (net)
0.04 0.00 6.29 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.14 0.21 6.50 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_3_4_2_core_clk (net)
0.14 0.01 6.50 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.73 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_9_0_core_clk (net)
0.10 0.00 6.73 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.16 6.89 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_18_0_core_clk (net)
0.04 0.00 6.89 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.78 0.64 7.52 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
32 0.26 soc/core/clknet_5_18_1_core_clk (net)
0.79 0.08 7.61 ^ soc/core/clkbuf_leaf_379_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.33 7.94 ^ soc/core/clkbuf_leaf_379_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.07 soc/core/clknet_leaf_379_core_clk (net)
0.10 0.00 7.94 ^ soc/core/_38753_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 8.19 clock uncertainty
-0.71 7.48 clock reconvergence pessimism
-0.03 7.45 library hold time
7.45 data required time
-----------------------------------------------------------------------------
7.45 data required time
-7.69 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: mprj/mprj/_5097_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5097_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.00 4.97 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.28 5.25 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.08 mprj/mprj/clknet_leaf_16_wb_clk_i (net)
0.11 0.00 5.25 ^ mprj/mprj/_5097_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.09 0.34 5.59 ^ mprj/mprj/_5097_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.data_out[4] (net)
0.09 0.00 5.59 ^ mprj/mprj/_3975_/A1 (sky130_fd_sc_hd__a22o_1)
0.05 0.13 5.72 ^ mprj/mprj/_3975_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0127_ (net)
0.05 0.00 5.72 ^ mprj/mprj/_5097_/D (sky130_fd_sc_hd__dfxtp_1)
5.72 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.00 5.78 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.31 6.09 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.08 mprj/mprj/clknet_leaf_16_wb_clk_i (net)
0.11 0.00 6.09 ^ mprj/mprj/_5097_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.34 clock uncertainty
-0.84 5.50 clock reconvergence pessimism
-0.02 5.48 library hold time
5.48 data required time
-----------------------------------------------------------------------------
5.48 data required time
-5.72 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: soc/core/_36719_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_38807_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 5.61 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.20 5.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_15_0_core_clk (net)
0.11 0.00 5.82 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.96 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_30_0_core_clk (net)
0.04 0.00 5.96 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.79 0.60 6.56 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.27 soc/core/clknet_5_30_1_core_clk (net)
0.79 0.03 6.60 ^ soc/core/clkbuf_leaf_201_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.31 6.91 ^ soc/core/clkbuf_leaf_201_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
34 0.08 soc/core/clknet_leaf_201_core_clk (net)
0.11 0.00 6.91 ^ soc/core/_36719_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.07 0.38 7.29 v soc/core/_36719_/Q (sky130_fd_sc_hd__dfxtp_4)
10 0.04 soc/core/mgmtsoc_litespisdrphycore_sr_in[16] (net)
0.07 0.00 7.29 v soc/core/hold1462/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.09 0.19 7.48 v soc/core/hold1462/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 soc/core/net4311 (net)
0.09 0.00 7.48 v soc/core/_20468_/B (sky130_fd_sc_hd__nand3_1)
0.06 0.10 7.58 ^ soc/core/_20468_/Y (sky130_fd_sc_hd__nand3_1)
1 0.00 soc/core/_09613_ (net)
0.06 0.00 7.58 ^ soc/core/_20470_/A1 (sky130_fd_sc_hd__a21oi_1)
0.04 0.05 7.63 v soc/core/_20470_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 soc/core/_06273_ (net)
0.04 0.00 7.63 v soc/core/_38807_/D (sky130_fd_sc_hd__dfxtp_1)
7.63 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.01 6.49 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.21 6.71 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_13_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_27_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.86 ^ soc/core/clkbuf_5_27_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_27_0_core_clk (net)
0.04 0.00 6.86 ^ soc/core/clkbuf_5_27_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.91 0.76 7.62 ^ soc/core/clkbuf_5_27_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
36 0.31 soc/core/clknet_5_27_1_core_clk (net)
0.91 0.02 7.64 ^ soc/core/clkbuf_leaf_134_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.35 8.00 ^ soc/core/clkbuf_leaf_134_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.08 soc/core/clknet_leaf_134_core_clk (net)
0.11 0.00 8.00 ^ soc/core/_38807_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.25 clock uncertainty
-0.83 7.42 clock reconvergence pessimism
-0.04 7.38 library hold time
7.38 data required time
-----------------------------------------------------------------------------
7.38 data required time
-7.63 data arrival time
-----------------------------------------------------------------------------
0.24 slack (MET)
Startpoint: mprj/mprj/_5271_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5271_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/mprj/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.25 5.22 ^ mprj/mprj/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
11 0.04 mprj/mprj/clknet_leaf_17_wb_clk_i (net)
0.07 0.00 5.22 ^ mprj/mprj/_5271_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.13 0.36 5.58 ^ mprj/mprj/_5271_/Q (sky130_fd_sc_hd__dfxtp_1)
5 0.01 mprj/mprj/soc.ram_encoder_0.input_bits_left[2] (net)
0.13 0.00 5.58 ^ mprj/mprj/_4510_/A1 (sky130_fd_sc_hd__a21bo_1)
0.03 0.11 5.69 ^ mprj/mprj/_4510_/X (sky130_fd_sc_hd__a21bo_1)
1 0.00 mprj/mprj/_0299_ (net)
0.03 0.00 5.69 ^ mprj/mprj/_5271_/D (sky130_fd_sc_hd__dfxtp_1)
5.69 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/mprj/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 6.06 ^ mprj/mprj/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
11 0.04 mprj/mprj/clknet_leaf_17_wb_clk_i (net)
0.07 0.00 6.06 ^ mprj/mprj/_5271_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.31 clock uncertainty
-0.84 5.47 clock reconvergence pessimism
-0.03 5.45 library hold time
5.45 data required time
-----------------------------------------------------------------------------
5.45 data required time
-5.69 data arrival time
-----------------------------------------------------------------------------
0.25 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9661_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.17 18.19 ^ housekeeping/_9661_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 29.88 ^ housekeeping/_9661_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.66 30.29 clock reconvergence pessimism
0.25 30.54 library recovery time
30.54 data required time
-----------------------------------------------------------------------------
30.54 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.35 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9662_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.17 18.19 ^ housekeeping/_9662_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 29.88 ^ housekeeping/_9662_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.66 30.29 clock reconvergence pessimism
0.25 30.54 library recovery time
30.54 data required time
-----------------------------------------------------------------------------
30.54 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.35 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9660_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.17 18.19 ^ housekeeping/_9660_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 29.88 ^ housekeeping/_9660_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.66 30.29 clock reconvergence pessimism
0.25 30.54 library recovery time
30.54 data required time
-----------------------------------------------------------------------------
30.54 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.35 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9663_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.17 18.19 ^ housekeeping/_9663_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 29.88 ^ housekeeping/_9663_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.66 30.29 clock reconvergence pessimism
0.25 30.54 library recovery time
30.54 data required time
-----------------------------------------------------------------------------
30.54 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.35 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9664_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.17 18.19 ^ housekeeping/_9664_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 29.88 ^ housekeeping/_9664_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.66 30.29 clock reconvergence pessimism
0.25 30.54 library recovery time
30.54 data required time
-----------------------------------------------------------------------------
30.54 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.35 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9065_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.16 18.18 ^ housekeeping/_9065_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.18 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.00 29.87 ^ housekeeping/_9065_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.62 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.26 30.54 library recovery time
30.54 data required time
-----------------------------------------------------------------------------
30.54 data required time
-18.18 data arrival time
-----------------------------------------------------------------------------
12.36 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9059_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.19 ^ housekeeping/_9059_/SET_B (sky130_fd_sc_hd__dfstp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9059_/CLK (sky130_fd_sc_hd__dfstp_1)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.23 30.57 library recovery time
30.57 data required time
-----------------------------------------------------------------------------
30.57 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.39 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9067_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.19 ^ housekeeping/_9067_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.94 ^ housekeeping/_9067_/CLK (sky130_fd_sc_hd__dfrtp_4)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.25 30.59 library recovery time
30.59 data required time
-----------------------------------------------------------------------------
30.59 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.41 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9066_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.19 ^ housekeeping/_9066_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9066_/CLK (sky130_fd_sc_hd__dfrtp_4)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.25 30.59 library recovery time
30.59 data required time
-----------------------------------------------------------------------------
30.59 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.41 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9068_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.19 ^ housekeeping/_9068_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9068_/CLK (sky130_fd_sc_hd__dfrtp_4)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.25 30.59 library recovery time
30.59 data required time
-----------------------------------------------------------------------------
30.59 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.41 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9119_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.18 ^ housekeeping/_9119_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
18.18 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.25 30.59 library recovery time
30.59 data required time
-----------------------------------------------------------------------------
30.59 data required time
-18.18 data arrival time
-----------------------------------------------------------------------------
12.41 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9587_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.15 18.17 ^ housekeeping/_9587_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
18.17 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.01 29.94 ^ housekeeping/_9587_/CLK (sky130_fd_sc_hd__dfrtp_4)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.25 30.60 library recovery time
30.60 data required time
-----------------------------------------------------------------------------
30.60 data required time
-18.17 data arrival time
-----------------------------------------------------------------------------
12.42 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9678_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.32 0.10 18.12 ^ housekeeping/_9678_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.12 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.88 ^ housekeeping/_9678_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.26 30.55 library recovery time
30.55 data required time
-----------------------------------------------------------------------------
30.55 data required time
-18.12 data arrival time
-----------------------------------------------------------------------------
12.43 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9759_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.15 18.17 ^ housekeeping/_9759_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
18.17 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.01 29.94 ^ housekeeping/_9759_/CLK (sky130_fd_sc_hd__dfrtp_4)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.26 30.60 library recovery time
30.60 data required time
-----------------------------------------------------------------------------
30.60 data required time
-18.17 data arrival time
-----------------------------------------------------------------------------
12.43 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9675_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.32 0.09 18.11 ^ housekeeping/_9675_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.11 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.88 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.27 30.55 library recovery time
30.55 data required time
-----------------------------------------------------------------------------
30.55 data required time
-18.11 data arrival time
-----------------------------------------------------------------------------
12.43 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9677_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.32 0.09 18.11 ^ housekeeping/_9677_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.11 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.87 ^ housekeeping/_9677_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.62 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.27 30.55 library recovery time
30.55 data required time
-----------------------------------------------------------------------------
30.55 data required time
-18.11 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9659_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.17 18.19 ^ housekeeping/_9659_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.94 ^ housekeeping/_9659_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.28 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9665_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.17 18.19 ^ housekeeping/_9665_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.94 ^ housekeeping/_9665_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.28 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9679_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.09 18.11 ^ housekeeping/_9679_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.11 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.87 ^ housekeeping/_9679_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.62 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.27 30.55 library recovery time
30.55 data required time
-----------------------------------------------------------------------------
30.55 data required time
-18.11 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9666_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.17 18.19 ^ housekeeping/_9666_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.94 ^ housekeeping/_9666_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.28 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9681_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.09 18.11 ^ housekeeping/_9681_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.11 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.87 ^ housekeeping/_9681_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.62 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.27 30.55 library recovery time
30.55 data required time
-----------------------------------------------------------------------------
30.55 data required time
-18.11 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9064_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.19 ^ housekeeping/_9064_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9064_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.28 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9063_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.19 ^ housekeeping/_9063_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9063_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.28 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9061_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.19 ^ housekeeping/_9061_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9061_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.28 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9640_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.38 0.15 18.17 ^ housekeeping/_9640_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
18.17 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.01 29.94 ^ housekeeping/_9640_/CLK (sky130_fd_sc_hd__dfrtp_2)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.27 30.61 library recovery time
30.61 data required time
-----------------------------------------------------------------------------
30.61 data required time
-18.17 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9060_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.18 ^ housekeeping/_9060_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.18 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9060_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.29 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.18 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9676_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.08 18.10 ^ housekeeping/_9676_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.10 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.87 ^ housekeeping/_9676_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.62 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.27 30.55 library recovery time
30.55 data required time
-----------------------------------------------------------------------------
30.55 data required time
-18.10 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9680_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.08 18.10 ^ housekeeping/_9680_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.10 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.87 ^ housekeeping/_9680_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.62 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.27 30.55 library recovery time
30.55 data required time
-----------------------------------------------------------------------------
30.55 data required time
-18.10 data arrival time
-----------------------------------------------------------------------------
12.45 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9062_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.16 18.18 ^ housekeeping/_9062_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.18 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.94 ^ housekeeping/_9062_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.29 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.18 data arrival time
-----------------------------------------------------------------------------
12.45 slack (MET)
Startpoint: soc/core/_38911_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: flash_csb (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.18 6.16 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.29 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 6.29 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.20 6.49 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 6.49 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.22 6.72 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_15_0_core_clk (net)
0.11 0.00 6.72 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.16 6.87 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_30_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.79 0.67 7.54 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.27 soc/core/clknet_5_30_1_core_clk (net)
0.79 0.04 7.58 ^ soc/core/clkbuf_leaf_175_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.34 7.92 ^ soc/core/clkbuf_leaf_175_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.07 soc/core/clknet_leaf_175_core_clk (net)
0.10 0.00 7.92 ^ soc/core/_38911_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.10 0.40 8.32 v soc/core/_38911_/Q (sky130_fd_sc_hd__dfxtp_1)
4 0.02 soc/core/mgmtsoc_litespisdrphycore_count[0] (net)
0.10 0.00 8.32 v soc/core/_20112_/C (sky130_fd_sc_hd__nor3_4)
0.44 0.38 8.70 ^ soc/core/_20112_/Y (sky130_fd_sc_hd__nor3_4)
6 0.04 soc/core/_09403_ (net)
0.44 0.00 8.71 ^ soc/core/_20115_/A (sky130_fd_sc_hd__nand2_8)
0.15 0.16 8.87 v soc/core/_20115_/Y (sky130_fd_sc_hd__nand2_8)
2 0.06 soc/core/net242 (net)
0.16 0.01 8.88 v soc/core/output242/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.18 9.07 v soc/core/output242/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 flash_csb_core (net)
0.03 0.00 9.07 v housekeeping/input84/A (sky130_fd_sc_hd__buf_4)
0.09 0.19 9.26 v housekeeping/input84/X (sky130_fd_sc_hd__buf_4)
1 0.07 housekeeping/net84 (net)
0.10 0.02 9.28 v housekeeping/_8836_/A0 (sky130_fd_sc_hd__mux2_2)
0.09 0.35 9.63 v housekeeping/_8836_/X (sky130_fd_sc_hd__mux2_2)
1 0.02 housekeeping/net252 (net)
0.09 0.00 9.63 v housekeeping/output252/A (sky130_fd_sc_hd__buf_2)
0.09 0.21 9.84 v housekeeping/output252/X (sky130_fd_sc_hd__buf_2)
1 0.04 flash_csb_frame (net)
0.09 0.00 9.84 v padframe/flash_csb_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped)
13.20 10.37 20.21 v padframe/flash_csb_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
7 1.15 flash_csb (net)
13.20 0.00 20.21 v flash_csb (out)
20.21 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock network delay (propagated)
-0.25 24.75 clock uncertainty
0.00 24.75 clock reconvergence pessimism
-5.00 19.75 output external delay
19.75 data required time
-----------------------------------------------------------------------------
19.75 data required time
-20.21 data arrival time
-----------------------------------------------------------------------------
-0.46 slack (VIOLATED)
Startpoint: soc/core/_34932_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: flash_clk (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.18 6.16 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.29 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 6.29 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.20 6.49 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 6.49 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.22 6.72 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_15_0_core_clk (net)
0.11 0.00 6.72 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_31_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.93 0.76 7.64 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
38 0.32 soc/core/clknet_5_31_1_core_clk (net)
0.93 0.04 7.68 ^ soc/core/clkbuf_leaf_186_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.35 8.02 ^ soc/core/clkbuf_leaf_186_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.06 soc/core/clknet_leaf_186_core_clk (net)
0.10 0.00 8.02 ^ soc/core/_34932_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.36 8.38 v soc/core/_34932_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.01 soc/core/net241 (net)
0.06 0.00 8.38 v soc/core/output241/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.14 8.52 v soc/core/output241/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 flash_clk_core (net)
0.03 0.00 8.52 v housekeeping/input83/A (sky130_fd_sc_hd__buf_6)
0.11 0.19 8.71 v housekeeping/input83/X (sky130_fd_sc_hd__buf_6)
15 0.12 housekeeping/net83 (net)
0.11 0.01 8.72 v housekeeping/_8837_/A0 (sky130_fd_sc_hd__mux2_1)
0.07 0.33 9.05 v housekeeping/_8837_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 housekeeping/net250 (net)
0.07 0.00 9.05 v housekeeping/output250/A (sky130_fd_sc_hd__clkbuf_1)
0.21 0.25 9.31 v housekeeping/output250/X (sky130_fd_sc_hd__clkbuf_1)
1 0.04 flash_clk_frame (net)
0.21 0.00 9.31 v padframe/flash_clk_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped)
13.20 10.44 19.75 v padframe/flash_clk_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
7 1.15 flash_clk (net)
13.20 0.00 19.75 v flash_clk (out)
19.75 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock network delay (propagated)
-0.25 24.75 clock uncertainty
0.00 24.75 clock reconvergence pessimism
-5.00 19.75 output external delay
19.75 data required time
-----------------------------------------------------------------------------
19.75 data required time
-19.75 data arrival time
-----------------------------------------------------------------------------
0.00 slack (MET)
Startpoint: soc/core/_34930_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: flash_io0 (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.18 6.16 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.29 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 6.29 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.20 6.49 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 6.49 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.22 6.72 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_15_0_core_clk (net)
0.11 0.00 6.72 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_31_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.93 0.76 7.64 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
38 0.32 soc/core/clknet_5_31_1_core_clk (net)
0.93 0.04 7.68 ^ soc/core/clkbuf_leaf_184_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 8.00 ^ soc/core/clkbuf_leaf_184_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.04 soc/core/clknet_leaf_184_core_clk (net)
0.08 0.00 8.01 ^ soc/core/_34930_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.34 8.34 v soc/core/_34930_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.01 soc/core/net243 (net)
0.04 0.00 8.34 v soc/core/output243/A (sky130_fd_sc_hd__clkbuf_4)
0.02 0.13 8.47 v soc/core/output243/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 flash_io0_do_core (net)
0.02 0.00 8.47 v housekeeping/input85/A (sky130_fd_sc_hd__buf_4)
0.12 0.22 8.69 v housekeeping/input85/X (sky130_fd_sc_hd__buf_4)
5 0.09 housekeeping/net85 (net)
0.12 0.01 8.70 v housekeeping/_8838_/A0 (sky130_fd_sc_hd__mux2_2)
0.09 0.36 9.06 v housekeeping/_8838_/X (sky130_fd_sc_hd__mux2_2)
1 0.02 housekeeping/net254 (net)
0.09 0.00 9.06 v housekeeping/output254/A (sky130_fd_sc_hd__buf_2)
0.09 0.21 9.28 v housekeeping/output254/X (sky130_fd_sc_hd__buf_2)
1 0.04 flash_io0_do (net)
0.09 0.00 9.28 v padframe/flash_io0_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped)
13.19 10.37 19.65 v padframe/flash_io0_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
7 1.15 flash_io0 (net)
13.19 0.00 19.65 v flash_io0 (out)
19.65 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock network delay (propagated)
-0.25 24.75 clock uncertainty
0.00 24.75 clock reconvergence pessimism
-5.00 19.75 output external delay
19.75 data required time
-----------------------------------------------------------------------------
19.75 data required time
-19.65 data arrival time
-----------------------------------------------------------------------------
0.10 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: housekeeping/_9675_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33554_/S (sky130_fd_sc_hd__mux2_8)
0.17 0.61 11.12 v soc/core/_33554_/X (sky130_fd_sc_hd__mux2_8)
12 0.16 soc/core/_02133_ (net)
0.18 0.04 11.15 v soc/core/_17321_/A (sky130_fd_sc_hd__inv_2)
0.05 0.09 11.25 ^ soc/core/_17321_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net638 (net)
0.05 0.00 11.25 ^ soc/core/repeater1831/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.35 ^ soc/core/repeater1831/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1831 (net)
0.07 0.00 11.35 ^ soc/core/repeater1830/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.44 ^ soc/core/repeater1830/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1830 (net)
0.05 0.00 11.44 ^ soc/core/repeater1829/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.09 11.54 ^ soc/core/repeater1829/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1829 (net)
0.06 0.00 11.54 ^ soc/core/repeater1828/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.64 ^ soc/core/repeater1828/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1828 (net)
0.06 0.00 11.64 ^ soc/core/repeater1827/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 11.73 ^ soc/core/repeater1827/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1827 (net)
0.05 0.00 11.73 ^ soc/core/repeater1826/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.83 ^ soc/core/repeater1826/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1826 (net)
0.06 0.00 11.83 ^ soc/core/repeater1825/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.93 ^ soc/core/repeater1825/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1825 (net)
0.06 0.00 11.93 ^ soc/core/repeater1824/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.03 ^ soc/core/repeater1824/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1824 (net)
0.05 0.00 12.03 ^ soc/core/repeater1823/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 12.13 ^ soc/core/repeater1823/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1823 (net)
0.06 0.00 12.13 ^ soc/core/repeater1822/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.12 12.24 ^ soc/core/repeater1822/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1822 (net)
0.08 0.00 12.24 ^ soc/core/repeater1820/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.35 ^ soc/core/repeater1820/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1820 (net)
0.05 0.00 12.35 ^ soc/core/repeater1819/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 12.44 ^ soc/core/repeater1819/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1819 (net)
0.06 0.00 12.44 ^ soc/core/repeater1818/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 12.53 ^ soc/core/repeater1818/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1818 (net)
0.04 0.00 12.53 ^ soc/core/repeater1817/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.62 ^ soc/core/repeater1817/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1817 (net)
0.05 0.00 12.62 ^ soc/core/repeater1816/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.72 ^ soc/core/repeater1816/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1816 (net)
0.05 0.00 12.72 ^ soc/core/repeater1815/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 12.82 ^ soc/core/repeater1815/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1815 (net)
0.07 0.00 12.82 ^ soc/core/repeater1814/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 12.91 ^ soc/core/repeater1814/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1814 (net)
0.04 0.00 12.91 ^ soc/core/repeater1813/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 13.00 ^ soc/core/repeater1813/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1813 (net)
0.05 0.00 13.00 ^ soc/core/repeater1812/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 13.09 ^ soc/core/repeater1812/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1812 (net)
0.05 0.00 13.09 ^ soc/core/repeater1811/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 13.19 ^ soc/core/repeater1811/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1811 (net)
0.07 0.00 13.19 ^ soc/core/repeater1810/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 13.29 ^ soc/core/repeater1810/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1810 (net)
0.05 0.00 13.29 ^ soc/core/repeater1809/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.17 0.19 13.48 ^ soc/core/repeater1809/X (sky130_fd_sc_hd__dlymetal6s2s_1)
2 0.02 soc/core/net1809 (net)
0.17 0.00 13.48 ^ soc/core/repeater1808/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.20 13.68 ^ soc/core/repeater1808/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1808 (net)
0.16 0.00 13.68 ^ soc/core/repeater1807/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.18 0.23 13.91 ^ soc/core/repeater1807/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 soc/core/net1807 (net)
0.18 0.00 13.91 ^ soc/core/repeater1806/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.18 0.23 14.14 ^ soc/core/repeater1806/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 soc/core/net1806 (net)
0.18 0.00 14.14 ^ soc/core/repeater1805/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.17 0.22 14.36 ^ soc/core/repeater1805/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.01 soc/core/net1805 (net)
0.17 0.00 14.37 ^ soc/core/repeater1804/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.22 0.26 14.62 ^ soc/core/repeater1804/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 soc/core/net1804 (net)
0.22 0.00 14.62 ^ soc/core/repeater1803/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.18 0.24 14.87 ^ soc/core/repeater1803/X (sky130_fd_sc_hd__dlymetal6s2s_1)
2 0.02 soc/core/net1803 (net)
0.18 0.00 14.87 ^ soc/core/repeater1802/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.98 ^ soc/core/repeater1802/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1802 (net)
0.05 0.00 14.98 ^ soc/core/repeater1801/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.07 ^ soc/core/repeater1801/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1801 (net)
0.04 0.00 15.07 ^ soc/core/repeater1800/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.16 ^ soc/core/repeater1800/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1800 (net)
0.05 0.00 15.16 ^ soc/core/repeater1799/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.25 ^ soc/core/repeater1799/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1799 (net)
0.05 0.00 15.25 ^ soc/core/repeater1798/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.35 ^ soc/core/repeater1798/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1798 (net)
0.05 0.00 15.35 ^ soc/core/repeater1797/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.44 ^ soc/core/repeater1797/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1797 (net)
0.05 0.00 15.44 ^ soc/core/repeater1796/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.53 ^ soc/core/repeater1796/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1796 (net)
0.05 0.00 15.53 ^ soc/core/repeater1795/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.62 ^ soc/core/repeater1795/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1795 (net)
0.04 0.00 15.62 ^ soc/core/repeater1794/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1794/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1794 (net)
0.05 0.00 15.70 ^ soc/core/repeater1793/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.79 ^ soc/core/repeater1793/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1793 (net)
0.05 0.00 15.79 ^ soc/core/repeater1792/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.88 ^ soc/core/repeater1792/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1792 (net)
0.05 0.00 15.88 ^ soc/core/repeater1791/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.97 ^ soc/core/repeater1791/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1791 (net)
0.05 0.00 15.97 ^ soc/core/repeater1790/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.06 ^ soc/core/repeater1790/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1790 (net)
0.05 0.00 16.06 ^ soc/core/repeater1789/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.15 ^ soc/core/repeater1789/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1789 (net)
0.05 0.00 16.15 ^ soc/core/repeater1788/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 16.23 ^ soc/core/repeater1788/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1788 (net)
0.04 0.00 16.23 ^ soc/core/repeater1787/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.32 ^ soc/core/repeater1787/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1787 (net)
0.05 0.00 16.32 ^ soc/core/_32524_/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.14 16.45 ^ soc/core/_32524_/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 soc/core/net705 (net)
0.08 0.00 16.46 ^ soc/core/output705/A (sky130_fd_sc_hd__clkbuf_4)
0.06 0.16 16.61 ^ soc/core/output705/X (sky130_fd_sc_hd__clkbuf_4)
3 0.01 mprj_adr_o_core[4] (net)
0.06 0.00 16.61 ^ housekeeping/input157/A (sky130_fd_sc_hd__buf_4)
0.15 0.20 16.81 ^ housekeeping/input157/X (sky130_fd_sc_hd__buf_4)
7 0.05 housekeeping/net157 (net)
0.15 0.01 16.82 ^ housekeeping/_7894_/B (sky130_fd_sc_hd__or4_1)
0.06 0.16 16.98 ^ housekeeping/_7894_/X (sky130_fd_sc_hd__or4_1)
1 0.01 housekeeping/_3525_ (net)
0.06 0.00 16.98 ^ housekeeping/_7895_/A (sky130_fd_sc_hd__clkbuf_8)
0.13 0.21 17.18 ^ housekeeping/_7895_/X (sky130_fd_sc_hd__clkbuf_8)
12 0.07 housekeeping/_3526_ (net)
0.13 0.00 17.18 ^ housekeeping/_8216_/B (sky130_fd_sc_hd__or2_2)
0.12 0.21 17.39 ^ housekeeping/_8216_/X (sky130_fd_sc_hd__or2_2)
3 0.02 housekeeping/_3846_ (net)
0.12 0.00 17.39 ^ housekeeping/_8217_/D1 (sky130_fd_sc_hd__o2111ai_1)
0.09 0.12 17.51 v housekeeping/_8217_/Y (sky130_fd_sc_hd__o2111ai_1)
1 0.00 housekeeping/_3847_ (net)
0.09 0.00 17.51 v housekeeping/_8220_/A3 (sky130_fd_sc_hd__o32a_2)
0.09 0.41 17.92 v housekeeping/_8220_/X (sky130_fd_sc_hd__o32a_2)
1 0.02 housekeeping/_3850_ (net)
0.09 0.00 17.92 v housekeeping/_8224_/C (sky130_fd_sc_hd__or4b_2)
0.13 0.71 18.63 v housekeeping/_8224_/X (sky130_fd_sc_hd__or4b_2)
1 0.01 housekeeping/_3854_ (net)
0.13 0.00 18.63 v housekeeping/_8227_/B (sky130_fd_sc_hd__or4_1)
0.10 0.57 19.20 v housekeeping/_8227_/X (sky130_fd_sc_hd__or4_1)
1 0.01 housekeeping/_3857_ (net)
0.10 0.00 19.20 v housekeeping/_8231_/A (sky130_fd_sc_hd__or4_1)
0.09 0.57 19.77 v housekeeping/_8231_/X (sky130_fd_sc_hd__or4_1)
1 0.00 housekeeping/_3861_ (net)
0.09 0.00 19.77 v housekeeping/_8237_/A (sky130_fd_sc_hd__or4_1)
0.10 0.58 20.36 v housekeeping/_8237_/X (sky130_fd_sc_hd__or4_1)
1 0.01 housekeeping/_3867_ (net)
0.10 0.00 20.36 v housekeeping/_8241_/A (sky130_fd_sc_hd__or4_1)
0.10 0.58 20.94 v housekeeping/_8241_/X (sky130_fd_sc_hd__or4_1)
1 0.00 housekeeping/_3871_ (net)
0.10 0.00 20.94 v housekeeping/_8245_/A (sky130_fd_sc_hd__or3_1)
0.08 0.42 21.36 v housekeeping/_8245_/X (sky130_fd_sc_hd__or3_1)
1 0.01 housekeeping/_3875_ (net)
0.08 0.00 21.36 v housekeeping/_8248_/A (sky130_fd_sc_hd__or3_1)
0.06 0.39 21.75 v housekeeping/_8248_/X (sky130_fd_sc_hd__or3_1)
1 0.00 housekeeping/_3878_ (net)
0.06 0.00 21.75 v housekeeping/_8251_/A (sky130_fd_sc_hd__or2_1)
0.04 0.23 21.98 v housekeeping/_8251_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3881_ (net)
0.04 0.00 21.98 v housekeeping/_8253_/A (sky130_fd_sc_hd__or2_1)
0.04 0.22 22.20 v housekeeping/_8253_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3883_ (net)
0.04 0.00 22.20 v housekeeping/_8255_/A (sky130_fd_sc_hd__or2_1)
0.04 0.22 22.43 v housekeeping/_8255_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3885_ (net)
0.04 0.00 22.43 v housekeeping/_8257_/A (sky130_fd_sc_hd__or2_1)
0.05 0.23 22.65 v housekeeping/_8257_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3887_ (net)
0.05 0.00 22.65 v housekeeping/_8259_/A (sky130_fd_sc_hd__or2_1)
0.05 0.24 22.89 v housekeeping/_8259_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3889_ (net)
0.05 0.00 22.89 v housekeeping/_8261_/A (sky130_fd_sc_hd__or2_1)
0.04 0.22 23.12 v housekeeping/_8261_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3891_ (net)
0.04 0.00 23.12 v housekeeping/_8263_/A (sky130_fd_sc_hd__or2_1)
0.09 0.28 23.40 v housekeeping/_8263_/X (sky130_fd_sc_hd__or2_1)
1 0.01 housekeeping/_3893_ (net)
0.09 0.00 23.40 v housekeeping/_8265_/A (sky130_fd_sc_hd__or2_1)
0.04 0.24 23.64 v housekeeping/_8265_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3895_ (net)
0.04 0.00 23.64 v housekeeping/_8267_/A (sky130_fd_sc_hd__or2_1)
0.05 0.24 23.88 v housekeeping/_8267_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3897_ (net)
0.05 0.00 23.88 v housekeeping/_8269_/A (sky130_fd_sc_hd__or2_1)
0.05 0.23 24.12 v housekeeping/_8269_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3899_ (net)
0.05 0.00 24.12 v housekeeping/_8271_/A (sky130_fd_sc_hd__or2_1)
0.08 0.27 24.39 v housekeeping/_8271_/X (sky130_fd_sc_hd__or2_1)
1 0.01 housekeeping/_3901_ (net)
0.08 0.00 24.39 v housekeeping/_8273_/A (sky130_fd_sc_hd__or2_1)
0.05 0.24 24.63 v housekeeping/_8273_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3903_ (net)
0.05 0.00 24.63 v housekeeping/_8275_/A (sky130_fd_sc_hd__or2_2)
0.14 0.42 25.05 v housekeeping/_8275_/X (sky130_fd_sc_hd__or2_2)
1 0.04 housekeeping/_3905_ (net)
0.14 0.01 25.06 v housekeeping/_8280_/A_N (sky130_fd_sc_hd__nand3b_1)
0.05 0.19 25.25 v housekeeping/_8280_/Y (sky130_fd_sc_hd__nand3b_1)
1 0.00 housekeeping/_3910_ (net)
0.05 0.00 25.25 v housekeeping/_8281_/B (sky130_fd_sc_hd__or2_1)
0.05 0.21 25.47 v housekeeping/_8281_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3911_ (net)
0.05 0.00 25.47 v housekeeping/_8283_/A (sky130_fd_sc_hd__or2_1)
0.05 0.24 25.71 v housekeeping/_8283_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3913_ (net)
0.05 0.00 25.71 v housekeeping/_8284_/B (sky130_fd_sc_hd__or2_1)
0.04 0.20 25.91 v housekeeping/_8284_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3914_ (net)
0.04 0.00 25.91 v housekeeping/_8285_/B (sky130_fd_sc_hd__or2_1)
0.04 0.20 26.11 v housekeeping/_8285_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3915_ (net)
0.04 0.00 26.11 v housekeeping/_8286_/C (sky130_fd_sc_hd__or3_1)
0.06 0.30 26.41 v housekeeping/_8286_/X (sky130_fd_sc_hd__or3_1)
1 0.00 housekeeping/_3916_ (net)
0.06 0.00 26.41 v housekeeping/_8287_/B (sky130_fd_sc_hd__or2_1)
0.06 0.23 26.65 v housekeeping/_8287_/X (sky130_fd_sc_hd__or2_1)
1 0.01 housekeeping/_3917_ (net)
0.06 0.00 26.65 v housekeeping/_8288_/B1 (sky130_fd_sc_hd__a31o_1)
0.04 0.20 26.84 v housekeeping/_8288_/X (sky130_fd_sc_hd__a31o_1)
1 0.00 housekeeping/_3918_ (net)
0.04 0.00 26.84 v housekeeping/_8289_/B1 (sky130_fd_sc_hd__a31o_1)
0.05 0.21 27.05 v housekeeping/_8289_/X (sky130_fd_sc_hd__a31o_1)
1 0.01 housekeeping/_3919_ (net)
0.05 0.00 27.05 v housekeeping/_8290_/B (sky130_fd_sc_hd__or2_1)
0.04 0.21 27.25 v housekeeping/_8290_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3920_ (net)
0.04 0.00 27.25 v housekeeping/_8291_/C (sky130_fd_sc_hd__or3_1)
0.06 0.31 27.57 v housekeeping/_8291_/X (sky130_fd_sc_hd__or3_1)
1 0.00 housekeeping/_3921_ (net)
0.06 0.00 27.57 v housekeeping/_8292_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.08 27.65 ^ housekeeping/_8292_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 housekeeping/_3922_ (net)
0.07 0.00 27.65 ^ housekeeping/_8294_/A (sky130_fd_sc_hd__or2_1)
0.06 0.12 27.77 ^ housekeeping/_8294_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3924_ (net)
0.06 0.00 27.77 ^ housekeeping/_8295_/B (sky130_fd_sc_hd__and2_1)
0.04 0.12 27.89 ^ housekeeping/_8295_/X (sky130_fd_sc_hd__and2_1)
1 0.00 housekeeping/_3925_ (net)
0.04 0.00 27.89 ^ housekeeping/_8296_/B (sky130_fd_sc_hd__nand2_1)
0.06 0.07 27.97 v housekeeping/_8296_/Y (sky130_fd_sc_hd__nand2_1)
1 0.01 housekeeping/_0179_ (net)
0.06 0.00 27.97 v housekeeping/_8969_/A0 (sky130_fd_sc_hd__mux2_4)
0.11 0.37 28.33 v housekeeping/_8969_/X (sky130_fd_sc_hd__mux2_4)
1 0.05 housekeeping/_4401_ (net)
0.11 0.01 28.34 v housekeeping/_5044_/A1 (sky130_fd_sc_hd__a22o_1)
0.05 0.25 28.59 v housekeeping/_5044_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 housekeeping/_0904_ (net)
0.05 0.00 28.59 v housekeeping/_9675_/D (sky130_fd_sc_hd__dfrtp_1)
28.59 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.88 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.69 30.31 clock reconvergence pessimism
-0.04 30.28 library setup time
30.28 data required time
-----------------------------------------------------------------------------
30.28 data required time
-28.59 data arrival time
-----------------------------------------------------------------------------
1.69 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[8]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.01 25.53 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.73 2.19 27.72 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.23 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[8] (net)
2.74 0.07 27.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[8]/D (sky130_fd_sc_hd__dfxtp_1)
27.79 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[8]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.16 30.75 library setup time
30.75 data required time
-----------------------------------------------------------------------------
30.75 data required time
-27.79 data arrival time
-----------------------------------------------------------------------------
2.96 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[20]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.81 2.21 27.61 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.24 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[20] (net)
2.83 0.08 27.70 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[20]/D (sky130_fd_sc_hd__dfxtp_1)
27.70 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.04 30.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[20]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.20 clock uncertainty
0.69 30.89 clock reconvergence pessimism
-0.16 30.73 library setup time
30.73 data required time
-----------------------------------------------------------------------------
30.73 data required time
-27.70 data arrival time
-----------------------------------------------------------------------------
3.04 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[13]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.01 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.63 2.13 27.65 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[13] (net)
2.63 0.05 27.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[13]/D (sky130_fd_sc_hd__dfxtp_1)
27.71 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[13]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.15 30.76 library setup time
30.76 data required time
-----------------------------------------------------------------------------
30.76 data required time
-27.71 data arrival time
-----------------------------------------------------------------------------
3.05 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[14]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.01 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.63 2.15 27.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[14] (net)
2.64 0.03 27.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[14]/D (sky130_fd_sc_hd__dfxtp_1)
27.71 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[14]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.15 30.76 library setup time
30.76 data required time
-----------------------------------------------------------------------------
30.76 data required time
-27.71 data arrival time
-----------------------------------------------------------------------------
3.05 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[15]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.01 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.59 2.10 27.62 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[15] (net)
2.60 0.08 27.70 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[15]/D (sky130_fd_sc_hd__dfxtp_1)
27.70 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[15]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.15 30.76 library setup time
30.76 data required time
-----------------------------------------------------------------------------
30.76 data required time
-27.70 data arrival time
-----------------------------------------------------------------------------
3.07 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[11]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.00 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.57 2.09 27.61 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[11] (net)
2.59 0.05 27.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[11]/D (sky130_fd_sc_hd__dfxtp_1)
27.66 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[11]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.15 30.76 library setup time
30.76 data required time
-----------------------------------------------------------------------------
30.76 data required time
-27.66 data arrival time
-----------------------------------------------------------------------------
3.10 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[12]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.01 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.55 2.06 27.59 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[12] (net)
2.55 0.07 27.65 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[12]/D (sky130_fd_sc_hd__dfxtp_1)
27.65 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[12]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.14 30.77 library setup time
30.77 data required time
-----------------------------------------------------------------------------
30.77 data required time
-27.65 data arrival time
-----------------------------------------------------------------------------
3.11 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[21]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.72 2.15 27.55 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.23 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[21] (net)
2.74 0.07 27.62 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[21]/D (sky130_fd_sc_hd__dfxtp_1)
27.62 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.05 30.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[21]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.21 clock uncertainty
0.69 30.90 clock reconvergence pessimism
-0.16 30.74 library setup time
30.74 data required time
-----------------------------------------------------------------------------
30.74 data required time
-27.62 data arrival time
-----------------------------------------------------------------------------
3.12 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[19]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.69 2.13 27.53 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.23 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[19] (net)
2.71 0.08 27.61 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[19]/D (sky130_fd_sc_hd__dfxtp_1)
27.61 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.03 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[19]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.19 clock uncertainty
0.69 30.88 clock reconvergence pessimism
-0.15 30.73 library setup time
30.73 data required time
-----------------------------------------------------------------------------
30.73 data required time
-27.61 data arrival time
-----------------------------------------------------------------------------
3.12 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[10]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.01 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.56 2.08 27.61 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[10] (net)
2.56 0.04 27.65 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[10]/D (sky130_fd_sc_hd__dfxtp_1)
27.65 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[10]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.15 30.77 library setup time
30.77 data required time
-----------------------------------------------------------------------------
30.77 data required time
-27.65 data arrival time
-----------------------------------------------------------------------------
3.12 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[9]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.01 25.53 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.52 2.04 27.57 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[9] (net)
2.52 0.08 27.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[9]/D (sky130_fd_sc_hd__dfxtp_1)
27.64 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[9]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.14 30.77 library setup time
30.77 data required time
-----------------------------------------------------------------------------
30.77 data required time
-27.64 data arrival time
-----------------------------------------------------------------------------
3.13 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[29]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.06 0.22 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.06 0.25 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.SEL (net)
0.06 0.00 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.36 25.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.39 0.01 25.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.33 0.39 25.44 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.SEL_B (net)
0.33 0.00 25.44 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.69 2.15 27.59 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.23 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[29] (net)
2.70 0.06 27.65 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[29]/D (sky130_fd_sc_hd__dfxtp_1)
27.65 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.09 30.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[29]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.25 clock uncertainty
0.69 30.94 clock reconvergence pessimism
-0.15 30.78 library setup time
30.78 data required time
-----------------------------------------------------------------------------
30.78 data required time
-27.65 data arrival time
-----------------------------------------------------------------------------
3.13 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[13]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.35 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.53 2.02 27.53 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[13] (net)
2.55 0.07 27.60 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[13]/D (sky130_fd_sc_hd__dfxtp_1)
27.60 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.09 30.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[13]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.25 clock uncertainty
0.69 30.94 clock reconvergence pessimism
-0.14 30.80 library setup time
30.80 data required time
-----------------------------------------------------------------------------
30.80 data required time
-27.60 data arrival time
-----------------------------------------------------------------------------
3.20 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[6]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.36 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.49 2.00 27.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[6] (net)
2.49 0.04 27.54 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[6]/D (sky130_fd_sc_hd__dfxtp_1)
27.54 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.05 30.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[6]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.21 clock uncertainty
0.69 30.90 clock reconvergence pessimism
-0.14 30.76 library setup time
30.76 data required time
-----------------------------------------------------------------------------
30.76 data required time
-27.54 data arrival time
-----------------------------------------------------------------------------
3.22 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[19]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.44 0.38 23.69 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.A_buf[3] (net)
0.44 0.00 23.70 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.25 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.04 0.19 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.00 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.04 0.00 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.12 0.00 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2)
0.06 0.26 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2)
1 0.01 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SEL (net)
0.06 0.00 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.48 25.05 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.10 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.SEL (net)
0.55 0.01 25.06 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.39 0.50 25.55 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SEL_B (net)
0.39 0.01 25.57 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.59 2.12 27.69 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_pre[19] (net)
2.60 0.01 27.71 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[19]/D (sky130_fd_sc_hd__dfxtp_1)
27.71 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.45 1.63 30.45 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.84 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[0].RAM32.CLK (net)
2.47 0.18 30.62 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[19]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.37 clock uncertainty
0.69 31.06 clock reconvergence pessimism
-0.14 30.92 library setup time
30.92 data required time
-----------------------------------------------------------------------------
30.92 data required time
-27.71 data arrival time
-----------------------------------------------------------------------------
3.22 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[18]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.59 2.06 27.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[18] (net)
2.60 0.05 27.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[18]/D (sky130_fd_sc_hd__dfxtp_1)
27.51 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.03 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[18]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.19 clock uncertainty
0.69 30.88 clock reconvergence pessimism
-0.15 30.73 library setup time
30.73 data required time
-----------------------------------------------------------------------------
30.73 data required time
-27.51 data arrival time
-----------------------------------------------------------------------------
3.23 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[16]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.57 2.04 27.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[16] (net)
2.59 0.07 27.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[16]/D (sky130_fd_sc_hd__dfxtp_1)
27.51 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.04 30.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[16]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.20 clock uncertainty
0.69 30.89 clock reconvergence pessimism
-0.15 30.74 library setup time
30.74 data required time
-----------------------------------------------------------------------------
30.74 data required time
-27.51 data arrival time
-----------------------------------------------------------------------------
3.23 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[1]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.36 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.50 2.00 27.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[1] (net)
2.50 0.05 27.55 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[1]/D (sky130_fd_sc_hd__dfxtp_1)
27.55 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.08 30.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[1]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.24 clock uncertainty
0.69 30.93 clock reconvergence pessimism
-0.14 30.78 library setup time
30.78 data required time
-----------------------------------------------------------------------------
30.78 data required time
-27.55 data arrival time
-----------------------------------------------------------------------------
3.23 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[21]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.44 0.38 23.69 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.A_buf[3] (net)
0.44 0.00 23.70 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.25 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.04 0.19 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.00 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.04 0.00 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.12 0.00 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2)
0.06 0.26 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2)
1 0.01 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SEL (net)
0.06 0.00 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.48 25.05 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.10 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.SEL (net)
0.55 0.01 25.06 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.39 0.50 25.55 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SEL_B (net)
0.39 0.01 25.57 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.56 2.10 27.67 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_pre[21] (net)
2.56 0.01 27.67 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[21]/D (sky130_fd_sc_hd__dfxtp_1)
27.67 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.45 1.63 30.45 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.84 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[0].RAM32.CLK (net)
2.47 0.18 30.62 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[21]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.37 clock uncertainty
0.69 31.06 clock reconvergence pessimism
-0.14 30.93 library setup time
30.93 data required time
-----------------------------------------------------------------------------
30.93 data required time
-27.67 data arrival time
-----------------------------------------------------------------------------
3.26 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[10]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.35 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.49 1.98 27.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[10] (net)
2.49 0.06 27.55 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[10]/D (sky130_fd_sc_hd__dfxtp_1)
27.55 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.10 30.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[10]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.26 clock uncertainty
0.69 30.95 clock reconvergence pessimism
-0.14 30.81 library setup time
30.81 data required time
-----------------------------------------------------------------------------
30.81 data required time
-27.55 data arrival time
-----------------------------------------------------------------------------
3.26 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[4]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.36 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.46 1.98 27.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[4] (net)
2.46 0.02 27.52 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[4]/D (sky130_fd_sc_hd__dfxtp_1)
27.52 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.08 30.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[4]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.24 clock uncertainty
0.69 30.93 clock reconvergence pessimism
-0.14 30.79 library setup time
30.79 data required time
-----------------------------------------------------------------------------
30.79 data required time
-27.52 data arrival time
-----------------------------------------------------------------------------
3.27 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[23]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.50 1.98 27.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[23] (net)
2.51 0.08 27.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[23]/D (sky130_fd_sc_hd__dfxtp_1)
27.46 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.03 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[23]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.19 clock uncertainty
0.69 30.88 clock reconvergence pessimism
-0.14 30.74 library setup time
30.74 data required time
-----------------------------------------------------------------------------
30.74 data required time
-27.46 data arrival time
-----------------------------------------------------------------------------
3.28 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[9]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.35 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.46 1.97 27.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[9] (net)
2.46 0.05 27.52 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[9]/D (sky130_fd_sc_hd__dfxtp_1)
27.52 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.09 30.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[9]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.25 clock uncertainty
0.69 30.94 clock reconvergence pessimism
-0.14 30.80 library setup time
30.80 data required time
-----------------------------------------------------------------------------
30.80 data required time
-27.52 data arrival time
-----------------------------------------------------------------------------
3.28 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[12]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.35 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.48 2.01 27.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[12] (net)
2.49 0.01 27.53 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[12]/D (sky130_fd_sc_hd__dfxtp_1)
27.53 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.10 30.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[12]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.26 clock uncertainty
0.69 30.95 clock reconvergence pessimism
-0.14 30.81 library setup time
30.81 data required time
-----------------------------------------------------------------------------
30.81 data required time
-27.53 data arrival time
-----------------------------------------------------------------------------
3.28 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[22]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.52 2.00 27.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[22] (net)
2.53 0.06 27.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[22]/D (sky130_fd_sc_hd__dfxtp_1)
27.46 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.04 30.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[22]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.20 clock uncertainty
0.69 30.89 clock reconvergence pessimism
-0.14 30.75 library setup time
30.75 data required time
-----------------------------------------------------------------------------
30.75 data required time
-27.46 data arrival time
-----------------------------------------------------------------------------
3.29 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[9]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.06 0.22 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2)
0.06 0.25 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net)
0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.43 0.39 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net)
0.43 0.01 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net)
0.22 0.00 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.58 2.06 27.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[9] (net)
2.59 0.04 27.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[9]/D (sky130_fd_sc_hd__dfxtp_1)
27.47 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[9]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.15 30.76 library setup time
30.76 data required time
-----------------------------------------------------------------------------
30.76 data required time
-27.47 data arrival time
-----------------------------------------------------------------------------
3.29 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[12]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.06 0.22 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2)
0.06 0.25 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net)
0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.43 0.39 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net)
0.43 0.01 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net)
0.22 0.00 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.54 2.01 27.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[12] (net)
2.54 0.06 27.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[12]/D (sky130_fd_sc_hd__dfxtp_1)
27.44 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.03 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[12]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.19 clock uncertainty
0.69 30.88 clock reconvergence pessimism
-0.14 30.74 library setup time
30.74 data required time
-----------------------------------------------------------------------------
30.74 data required time
-27.44 data arrival time
-----------------------------------------------------------------------------
3.30 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[22]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2)
0.06 0.25 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net)
0.06 0.00 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.49 0.44 25.12 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net)
0.49 0.00 25.12 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.27 0.36 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.05 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.SEL_B (net)
0.27 0.00 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.44 1.96 27.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[22] (net)
2.45 0.04 27.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[22]/D (sky130_fd_sc_hd__dfxtp_1)
27.48 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.07 30.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[22]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.23 clock uncertainty
0.69 30.92 clock reconvergence pessimism
-0.14 30.78 library setup time
30.78 data required time
-----------------------------------------------------------------------------
30.78 data required time
-27.48 data arrival time
-----------------------------------------------------------------------------
3.30 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[0]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.36 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.41 1.94 27.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[0] (net)
2.42 0.04 27.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[0]/D (sky130_fd_sc_hd__dfxtp_1)
27.49 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.08 30.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[0]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.24 clock uncertainty
0.69 30.93 clock reconvergence pessimism
-0.14 30.79 library setup time
30.79 data required time
-----------------------------------------------------------------------------
30.79 data required time
-27.49 data arrival time
-----------------------------------------------------------------------------
3.30 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[17]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.49 1.98 27.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[17] (net)
2.50 0.06 27.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[17]/D (sky130_fd_sc_hd__dfxtp_1)
27.44 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.03 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[17]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.19 clock uncertainty
0.69 30.88 clock reconvergence pessimism
-0.14 30.74 library setup time
30.74 data required time
-----------------------------------------------------------------------------
30.74 data required time
-27.44 data arrival time
-----------------------------------------------------------------------------
3.31 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[19]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.29 0.39 25.54 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.05 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.SEL_B (net)
0.29 0.00 25.54 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.37 1.93 27.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[19] (net)
2.38 0.01 27.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[19]/D (sky130_fd_sc_hd__dfxtp_1)
27.49 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.09 30.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[19]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.25 clock uncertainty
0.69 30.94 clock reconvergence pessimism
-0.13 30.80 library setup time
30.80 data required time
-----------------------------------------------------------------------------
30.80 data required time
-27.49 data arrival time
-----------------------------------------------------------------------------
3.32 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[11]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.06 0.22 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2)
0.06 0.25 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net)
0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.43 0.39 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net)
0.43 0.01 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net)
0.22 0.00 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.51 2.01 27.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[11] (net)
2.52 0.04 27.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[11]/D (sky130_fd_sc_hd__dfxtp_1)
27.42 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.03 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[11]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.19 clock uncertainty
0.69 30.88 clock reconvergence pessimism
-0.14 30.74 library setup time
30.74 data required time
-----------------------------------------------------------------------------
30.74 data required time
-27.42 data arrival time
-----------------------------------------------------------------------------
3.32 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[17]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.44 0.38 23.69 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.A_buf[3] (net)
0.44 0.00 23.70 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.25 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.04 0.19 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.00 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.04 0.00 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.12 0.00 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2)
0.06 0.26 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2)
1 0.01 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SEL (net)
0.06 0.00 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.48 25.05 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.10 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.SEL (net)
0.55 0.01 25.06 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.39 0.50 25.55 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SEL_B (net)
0.39 0.01 25.56 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.46 2.04 27.60 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_pre[17] (net)
2.46 0.01 27.61 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[17]/D (sky130_fd_sc_hd__dfxtp_1)
27.61 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.45 1.63 30.45 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.84 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[0].RAM32.CLK (net)
2.47 0.18 30.62 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[17]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.37 clock uncertainty
0.69 31.06 clock reconvergence pessimism
-0.13 30.93 library setup time
30.93 data required time
-----------------------------------------------------------------------------
30.93 data required time
-27.61 data arrival time
-----------------------------------------------------------------------------
3.32 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[2]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.36 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.38 1.91 27.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[2] (net)
2.38 0.04 27.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[2]/D (sky130_fd_sc_hd__dfxtp_1)
27.46 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.07 30.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[2]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.23 clock uncertainty
0.69 30.92 clock reconvergence pessimism
-0.13 30.78 library setup time
30.78 data required time
-----------------------------------------------------------------------------
30.78 data required time
-27.46 data arrival time
-----------------------------------------------------------------------------
3.32 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[8]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.35 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.42 1.95 27.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[8] (net)
2.42 0.03 27.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[8]/D (sky130_fd_sc_hd__dfxtp_1)
27.49 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.10 30.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[8]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.26 clock uncertainty
0.69 30.95 clock reconvergence pessimism
-0.14 30.81 library setup time
30.81 data required time
-----------------------------------------------------------------------------
30.81 data required time
-27.49 data arrival time
-----------------------------------------------------------------------------
3.32 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[15]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.35 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.42 1.95 27.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[15] (net)
2.42 0.02 27.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[15]/D (sky130_fd_sc_hd__dfxtp_1)
27.48 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.09 30.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[15]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.25 clock uncertainty
0.69 30.94 clock reconvergence pessimism
-0.14 30.80 library setup time
30.80 data required time
-----------------------------------------------------------------------------
30.80 data required time
-27.48 data arrival time
-----------------------------------------------------------------------------
3.33 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[10]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.06 0.22 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2)
0.06 0.25 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net)
0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.43 0.39 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net)
0.43 0.01 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net)
0.22 0.00 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.50 2.00 27.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[10] (net)
2.51 0.05 27.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[10]/D (sky130_fd_sc_hd__dfxtp_1)
27.41 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.04 30.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[10]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.20 clock uncertainty
0.69 30.89 clock reconvergence pessimism
-0.14 30.74 library setup time
30.74 data required time
-----------------------------------------------------------------------------
30.74 data required time
-27.41 data arrival time
-----------------------------------------------------------------------------
3.33 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[23]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.44 0.38 23.69 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.A_buf[3] (net)
0.44 0.00 23.70 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.25 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.04 0.19 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.00 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.04 0.00 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.12 0.00 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2)
0.06 0.26 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2)
1 0.01 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SEL (net)
0.06 0.00 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.48 25.05 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.10 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.SEL (net)
0.55 0.01 25.06 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.39 0.50 25.55 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SEL_B (net)
0.39 0.01 25.57 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.46 2.03 27.59 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_pre[23] (net)
2.47 0.01 27.60 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[23]/D (sky130_fd_sc_hd__dfxtp_1)
27.60 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.45 1.63 30.45 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.84 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[0].RAM32.CLK (net)
2.47 0.18 30.62 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[23]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.37 clock uncertainty
0.69 31.06 clock reconvergence pessimism
-0.13 30.93 library setup time
30.93 data required time
-----------------------------------------------------------------------------
30.93 data required time
-27.60 data arrival time
-----------------------------------------------------------------------------
3.33 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[8]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.06 0.22 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2)
0.06 0.25 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net)
0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.43 0.39 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net)
0.43 0.01 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net)
0.22 0.00 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.51 2.00 27.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[8] (net)
2.51 0.04 27.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[8]/D (sky130_fd_sc_hd__dfxtp_1)
27.41 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.04 30.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[8]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.20 clock uncertainty
0.69 30.89 clock reconvergence pessimism
-0.14 30.75 library setup time
30.75 data required time
-----------------------------------------------------------------------------
30.75 data required time
-27.41 data arrival time
-----------------------------------------------------------------------------
3.34 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[7]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.36 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.37 1.90 27.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[7] (net)
2.37 0.05 27.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[7]/D (sky130_fd_sc_hd__dfxtp_1)
27.45 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.08 30.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[7]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.24 clock uncertainty
0.69 30.93 clock reconvergence pessimism
-0.13 30.79 library setup time
30.79 data required time
-----------------------------------------------------------------------------
30.79 data required time
-27.45 data arrival time
-----------------------------------------------------------------------------
3.34 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[12]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2)
0.06 0.25 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net)
0.06 0.00 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.49 0.44 25.12 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net)
0.50 0.01 25.13 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.24 0.33 25.46 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SEL_B (net)
0.24 0.00 25.46 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.45 1.95 27.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[12] (net)
2.45 0.04 27.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[12]/D (sky130_fd_sc_hd__dfxtp_1)
27.45 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.09 30.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[12]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.25 clock uncertainty
0.69 30.94 clock reconvergence pessimism
-0.14 30.80 library setup time
30.80 data required time
-----------------------------------------------------------------------------
30.80 data required time
-27.45 data arrival time
-----------------------------------------------------------------------------
3.35 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[29]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.24 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.24 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 24.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.12 0.00 24.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.06 0.26 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.SEL (net)
0.06 0.00 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.46 25.12 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.52 0.00 25.12 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.27 0.36 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.05 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.SEL_B (net)
0.27 0.00 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.38 1.93 27.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[29] (net)
2.39 0.01 27.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[29]/D (sky130_fd_sc_hd__dfxtp_1)
27.43 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.07 30.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[29]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.23 clock uncertainty
0.69 30.92 clock reconvergence pessimism
-0.14 30.78 library setup time
30.78 data required time
-----------------------------------------------------------------------------
30.78 data required time
-27.43 data arrival time
-----------------------------------------------------------------------------
3.35 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[16]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.44 0.38 23.69 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.A_buf[3] (net)
0.44 0.00 23.70 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.25 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.04 0.19 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.00 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.04 0.00 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.12 0.00 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2)
0.06 0.26 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2)
1 0.01 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SEL (net)
0.06 0.00 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.48 25.05 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.10 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.SEL (net)
0.55 0.01 25.06 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.39 0.50 25.55 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SEL_B (net)
0.39 0.01 25.57 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.42 2.00 27.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_pre[16] (net)
2.42 0.01 27.58 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[16]/D (sky130_fd_sc_hd__dfxtp_1)
27.58 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.45 1.63 30.45 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.84 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[0].RAM32.CLK (net)
2.47 0.18 30.62 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[16]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.37 clock uncertainty
0.69 31.06 clock reconvergence pessimism
-0.13 30.93 library setup time
30.93 data required time
-----------------------------------------------------------------------------
30.93 data required time
-27.58 data arrival time
-----------------------------------------------------------------------------
3.36 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[11]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.35 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.37 1.92 27.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[11] (net)
2.38 0.02 27.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[11]/D (sky130_fd_sc_hd__dfxtp_1)
27.45 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.10 30.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[11]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.26 clock uncertainty
0.69 30.95 clock reconvergence pessimism
-0.13 30.81 library setup time
30.81 data required time
-----------------------------------------------------------------------------
30.81 data required time
-27.45 data arrival time
-----------------------------------------------------------------------------
3.36 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[18]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.29 0.39 25.54 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.05 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.SEL_B (net)
0.29 0.00 25.54 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.31 1.89 27.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[18] (net)
2.32 0.01 27.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[18]/D (sky130_fd_sc_hd__dfxtp_1)
27.44 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.09 30.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[18]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.25 clock uncertainty
0.69 30.94 clock reconvergence pessimism
-0.13 30.81 library setup time
30.81 data required time
-----------------------------------------------------------------------------
30.81 data required time
-27.44 data arrival time
-----------------------------------------------------------------------------
3.37 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[3]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.06 0.22 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.06 0.25 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.SEL (net)
0.06 0.00 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.36 25.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.38 0.00 25.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.33 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.05 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SEL_B (net)
0.26 0.00 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.48 2.00 27.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[3] (net)
2.49 0.04 27.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[3]/D (sky130_fd_sc_hd__dfxtp_1)
27.41 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.07 30.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[3]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.23 clock uncertainty
0.69 30.92 clock reconvergence pessimism
-0.14 30.77 library setup time
30.77 data required time
-----------------------------------------------------------------------------
30.77 data required time
-27.41 data arrival time
-----------------------------------------------------------------------------
3.37 slack (MET)
worst slack -0.46
worst slack 0.20
Management Area Interface
No paths found.
User project Interface
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
Flash output Interface
Startpoint: soc/core/_34932_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: flash_clk (output port clocked by clock)
Path Group: clock
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock clock (rise edge)
8.02 8.02 clock network delay (propagated)
0.00 8.02 ^ soc/core/_34932_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.36 8.38 v soc/core/_34932_/Q (sky130_fd_sc_hd__dfxtp_1)
0.14 8.52 v soc/core/output241/X (sky130_fd_sc_hd__clkbuf_4)
0.19 8.71 v housekeeping/input83/X (sky130_fd_sc_hd__buf_6)
0.34 9.05 v housekeeping/_8837_/X (sky130_fd_sc_hd__mux2_1)
0.25 9.31 v housekeeping/output250/X (sky130_fd_sc_hd__clkbuf_1)
10.44 19.75 v padframe/flash_clk_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0.00 19.75 v flash_clk (out)
19.75 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock network delay (propagated)
-0.25 24.75 clock uncertainty
0.00 24.75 clock reconvergence pessimism
-5.00 19.75 output external delay
19.75 data required time
---------------------------------------------------------
19.75 data required time
-19.75 data arrival time
---------------------------------------------------------
0.00 slack (MET)
Startpoint: soc/core/_38911_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: flash_csb (output port clocked by clock)
Path Group: clock
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock clock (rise edge)
7.92 7.92 clock network delay (propagated)
0.00 7.92 ^ soc/core/_38911_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.40 8.32 v soc/core/_38911_/Q (sky130_fd_sc_hd__dfxtp_1)
0.39 8.70 ^ soc/core/_20112_/Y (sky130_fd_sc_hd__nor3_4)
0.17 8.87 v soc/core/_20115_/Y (sky130_fd_sc_hd__nand2_8)
0.20 9.07 v soc/core/output242/X (sky130_fd_sc_hd__clkbuf_4)
0.19 9.26 v housekeeping/input84/X (sky130_fd_sc_hd__buf_4)
0.37 9.63 v housekeeping/_8836_/X (sky130_fd_sc_hd__mux2_2)
0.21 9.84 v housekeeping/output252/X (sky130_fd_sc_hd__buf_2)
10.37 20.21 v padframe/flash_csb_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0.00 20.21 v flash_csb (out)
20.21 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock network delay (propagated)
-0.25 24.75 clock uncertainty
0.00 24.75 clock reconvergence pessimism
-5.00 19.75 output external delay
19.75 data required time
---------------------------------------------------------
19.75 data required time
-20.21 data arrival time
---------------------------------------------------------
-0.46 slack (VIOLATED)
Startpoint: soc/core/_34930_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: flash_io0 (output port clocked by clock)
Path Group: clock
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock clock (rise edge)
8.01 8.01 clock network delay (propagated)
0.00 8.01 ^ soc/core/_34930_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.34 8.34 v soc/core/_34930_/Q (sky130_fd_sc_hd__dfxtp_1)
0.13 8.47 v soc/core/output243/X (sky130_fd_sc_hd__clkbuf_4)
0.22 8.69 v housekeeping/input85/X (sky130_fd_sc_hd__buf_4)
0.37 9.06 v housekeeping/_8838_/X (sky130_fd_sc_hd__mux2_2)
0.22 9.28 v housekeeping/output254/X (sky130_fd_sc_hd__buf_2)
10.37 19.65 v padframe/flash_io0_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0.00 19.65 v flash_io0 (out)
19.65 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock network delay (propagated)
-0.25 24.75 clock uncertainty
0.00 24.75 clock reconvergence pessimism
-5.00 19.75 output external delay
19.75 data required time
---------------------------------------------------------
19.75 data required time
-19.65 data arrival time
---------------------------------------------------------
0.10 slack (MET)
% No paths found.
% No paths found.
% No paths found.
% Error: report_checks -path_Delay is not a known keyword or flag.
% Startpoint: mprj/mprj/_5083_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5083_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock clock (rise edge)
5.23 5.23 clock network delay (propagated)
0.00 5.23 ^ mprj/mprj/_5083_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.32 5.55 ^ mprj/mprj/_5083_/Q (sky130_fd_sc_hd__dfxtp_1)
0.11 5.66 ^ mprj/mprj/_3925_/X (sky130_fd_sc_hd__o21a_1)
0.00 5.66 ^ mprj/mprj/_5083_/D (sky130_fd_sc_hd__dfxtp_1)
5.66 data arrival time
0.00 0.00 clock clock (rise edge)
6.07 6.07 clock network delay (propagated)
0.25 6.32 clock uncertainty
-0.84 5.48 clock reconvergence pessimism
5.48 ^ mprj/mprj/_5083_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.03 5.45 library hold time
5.45 data required time
---------------------------------------------------------
5.45 data required time
-5.66 data arrival time
---------------------------------------------------------
0.20 slack (MET)
% Error: report_checks -path_Delay is not a known keyword or flag.
% Startpoint: mprj/mprj/_5083_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5083_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.45 4.96 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 4.97 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.26 5.23 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 5.23 ^ mprj/mprj/_5083_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.32 5.55 ^ mprj/mprj/_5083_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.output_buffer[10] (net)
0.07 0.00 5.55 ^ mprj/mprj/_3925_/A1 (sky130_fd_sc_hd__o21a_1)
0.03 0.11 5.66 ^ mprj/mprj/_3925_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/mprj/_0113_ (net)
0.03 0.00 5.66 ^ mprj/mprj/_5083_/D (sky130_fd_sc_hd__dfxtp_1)
5.66 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.49 5.77 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.55 0.01 5.78 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 6.07 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.07 0.00 6.07 ^ mprj/mprj/_5083_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.32 clock uncertainty
-0.84 5.48 clock reconvergence pessimism
-0.03 5.45 library hold time
5.45 data required time
-----------------------------------------------------------------------------
5.45 data required time
-5.66 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
% Error: report_checks -path_Delay is not a known keyword or flag.
% Startpoint: mprj/mprj/_5158_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5158_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock clock (rise edge)
5.16 5.16 clock network delay (propagated)
0.00 5.16 ^ mprj/mprj/_5158_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.32 5.49 v mprj/mprj/_5158_/Q (sky130_fd_sc_hd__dfxtp_1)
0.15 5.64 ^ mprj/mprj/_4105_/Y (sky130_fd_sc_hd__o21ai_1)
0.05 5.70 v mprj/mprj/_4106_/Y (sky130_fd_sc_hd__nor2_1)
0.00 5.70 v mprj/mprj/_5158_/D (sky130_fd_sc_hd__dfxtp_1)
5.70 data arrival time
0.00 0.00 clock clock (rise edge)
6.00 6.00 clock network delay (propagated)
0.25 6.25 clock uncertainty
-0.83 5.41 clock reconvergence pessimism
5.41 ^ mprj/mprj/_5158_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.05 5.37 library hold time
5.37 data required time
---------------------------------------------------------
5.37 data required time
-5.70 data arrival time
---------------------------------------------------------
0.33 slack (MET)
% Startpoint: mprj/mprj/_5158_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5158_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.13 4.37 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 4.37 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.14 4.52 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 4.52 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.49 0.41 4.93 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.09 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.49 0.01 4.94 ^ mprj/mprj/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 5.16 ^ mprj/mprj/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
129 0.02 mprj/mprj/clknet_leaf_11_wb_clk_i (net)
0.05 0.00 5.16 ^ mprj/mprj/_5158_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.32 5.49 v mprj/mprj/_5158_/Q (sky130_fd_sc_hd__dfxtp_1)
5 0.02 mprj/mprj/soc.spi_video_ram_1.write_fifo.read_pointer[2] (net)
0.08 0.00 5.49 v mprj/mprj/_4105_/A1 (sky130_fd_sc_hd__o21ai_1)
0.10 0.15 5.64 ^ mprj/mprj/_4105_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.00 mprj/mprj/_1717_ (net)
0.10 0.00 5.64 ^ mprj/mprj/_4106_/B (sky130_fd_sc_hd__nor2_1)
0.04 0.05 5.70 v mprj/mprj/_4106_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 mprj/mprj/_0186_ (net)
0.04 0.00 5.70 v mprj/mprj/_5158_/D (sky130_fd_sc_hd__dfxtp_1)
5.70 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 5.12 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 mprj/mprj/clknet_0_wb_clk_i (net)
0.05 0.00 5.12 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 5.28 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.11 0.00 5.28 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.49 0.46 5.74 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.09 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.49 0.01 5.74 ^ mprj/mprj/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.25 6.00 ^ mprj/mprj/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
129 0.02 mprj/mprj/clknet_leaf_11_wb_clk_i (net)
0.05 0.00 6.00 ^ mprj/mprj/_5158_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.25 clock uncertainty
-0.83 5.41 clock reconvergence pessimism
-0.05 5.37 library hold time
5.37 data required time
-----------------------------------------------------------------------------
5.37 data required time
-5.70 data arrival time
-----------------------------------------------------------------------------
0.33 slack (MET)
% Warning: instance '*OBUF2*' not found.
% _70b11da477550000_p_Instance
% No paths found.
% Startpoint: mprj/mprj/soc.spi_video_ram_1.write_fifo.dffrf/REGF[10].RFW.BIT[18].FF
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5035_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock clock (rise edge)
5.37 5.37 clock network delay (propagated)
0.00 5.37 ^ mprj/mprj/soc.spi_video_ram_1.write_fifo.dffrf/REGF[10].RFW.BIT[18].FF/CLK (sky130_fd_sc_hd__dfxtp_1)
0.32 5.69 v mprj/mprj/soc.spi_video_ram_1.write_fifo.dffrf/REGF[10].RFW.BIT[18].FF/Q (sky130_fd_sc_hd__dfxtp_1)
0.36 6.05 v mprj/mprj/soc.spi_video_ram_1.write_fifo.dffrf/REGF[10].RFW.BIT[18].OBUF1/Z (sky130_fd_sc_hd__ebufn_2)
0.16 6.22 v mprj/mprj/_3784_/X (sky130_fd_sc_hd__a22o_1)
0.00 6.22 v mprj/mprj/_5035_/D (sky130_fd_sc_hd__dfxtp_1)
6.22 data arrival time
0.00 0.00 clock clock (rise edge)
6.21 6.21 clock network delay (propagated)
0.25 6.46 clock uncertainty
-0.75 5.71 clock reconvergence pessimism
5.71 ^ mprj/mprj/_5035_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.04 5.67 library hold time
5.67 data required time
---------------------------------------------------------
5.67 data required time
-6.22 data arrival time
---------------------------------------------------------
0.54 slack (MET)
% Startpoint: mprj/mprj/soc.spi_video_ram_1.write_fifo.dffrf/REGF[10].RFW.BIT[18].FF
(rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5035_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock clock (rise edge)
5.37 5.37 clock network delay (propagated)
0.00 5.37 ^ mprj/mprj/soc.spi_video_ram_1.write_fifo.dffrf/REGF[10].RFW.BIT[18].FF/CLK (sky130_fd_sc_hd__dfxtp_1)
0.32 5.69 v mprj/mprj/soc.spi_video_ram_1.write_fifo.dffrf/REGF[10].RFW.BIT[18].FF/Q (sky130_fd_sc_hd__dfxtp_1)
0.36 6.05 v mprj/mprj/soc.spi_video_ram_1.write_fifo.dffrf/REGF[10].RFW.BIT[18].OBUF1/Z (sky130_fd_sc_hd__ebufn_2)
0.16 6.22 v mprj/mprj/_3784_/X (sky130_fd_sc_hd__a22o_1)
0.00 6.22 v mprj/mprj/_5035_/D (sky130_fd_sc_hd__dfxtp_1)
6.22 data arrival time
0.00 0.00 clock clock (rise edge)
6.21 6.21 clock network delay (propagated)
0.25 6.46 clock uncertainty
-0.75 5.71 clock reconvergence pessimism
5.71 ^ mprj/mprj/_5035_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.04 5.67 library hold time
5.67 data required time
---------------------------------------------------------
5.67 data required time
-6.22 data arrival time
---------------------------------------------------------
0.54 slack (MET)
% Warning: object 'mprj/mprj/soc.spi_video_ram_1.write_fifo.dffr/*' not found.
Warning: no valid objects specified for -through
Error: report_checks command failed.
% invalid command name "rechecreport_check_types"
% % Error: positional arguments not supported.
% Warning: instance 'mprj/mprj/soc.spi_video_ram_1.write_fifo.dffr/*' not found.
% _70b11da477550000_p_Instance
% _70b11da477550000_p_Instance
% _70b11da477550000_p_Instance
% Warning: instance 'mprj/mprj/soc.spi_video_ram_1.write_fifo.dffr/' not found.
% Warning: instance 'mprj/mprj/soc.spi_video_ram_1.write_fifo.dffr/*' not found.
% _70b11da477550000_p_Instance
% _d03a20a477550000_p_Instance
% Warning: instance 'mprj/mprj/soc.spi_video_ram_1.write_fifo.dffrf/REGF[10].RFW.BIT[18]' not found.
% invalid command name "mprj/mprj/soc.spi_video_ram_1.write_fifo.dffrf/REGF[10].RFW.BIT[18].FF"
% _d0fb1fa477550000_p_Instance _80ff1fa477550000_p_Instance _f00120a477550000_p_Instance _600420a477550000_p_Instance _400820a477550000_p_Instance _b00a20a477550000_p_Instance _200d20a477550000_p_Instance _201020a477550000_p_Instance _e01120a477550000_p_Instance _701320a477550000_p_Instance _401620a477550000_p_Instance _001820a477550000_p_Instance _901920a477550000_p_Instance _601c20a477550000_p_Instance _201e20a477550000_p_Instance _b01f20a477550000_p_Instance _802220a477550000_p_Instance _402420a477550000_p_Instance _d02520a477550000_p_Instance _a02820a477550000_p_Instance _602a20a477550000_p_Instance _f02b20a477550000_p_Instance _a02f20a477550000_p_Instance _103220a477550000_p_Instance _803420a477550000_p_Instance _803720a477550000_p_Instance _403920a477550000_p_Instance _d03a20a477550000_p_Instance _a03d20a477550000_p_Instance _603f20a477550000_p_Instance _f04020a477550000_p_Instance _c04320a477550000_p_Instance _804520a477550000_p_Instance _104720a477550000_p_Instance _e04920a477550000_p_Instance _a04b20a477550000_p_Instance _304d20a477550000_p_Instance _005020a477550000_p_Instance _c05120a477550000_p_Instance _505320a477550000_p_Instance _205620a477550000_p_Instance _e05720a477550000_p_Instance _705920a477550000_p_Instance _405c20a477550000_p_Instance _005e20a477550000_p_Instance _905f20a477550000_p_Instance _606220a477550000_p_Instance _206420a477550000_p_Instance _b06520a477550000_p_Instance _606920a477550000_p_Instance _d06b20a477550000_p_Instance _406e20a477550000_p_Instance _407120a477550000_p_Instance _007320a477550000_p_Instance _907420a477550000_p_Instance _607720a477550000_p_Instance _207920a477550000_p_Instance _b07a20a477550000_p_Instance _807d20a477550000_p_Instance _407f20a477550000_p_Instance _d08020a477550000_p_Instance _a08320a477550000_p_Instance _608520a477550000_p_Instance _f08620a477550000_p_Instance _c08920a477550000_p_Instance _808b20a477550000_p_Instance _108d20a477550000_p_Instance _e08f20a477550000_p_Instance _a09120a477550000_p_Instance _309320a477550000_p_Instance _009620a477550000_p_Instance _c09720a477550000_p_Instance _509920a477550000_p_Instance _209c20a477550000_p_Instance _e09d20a477550000_p_Instance _709f20a477550000_p_Instance _40a220a477550000_p_Instance _00a420a477550000_p_Instance _90a520a477550000_p_Instance _60a820a477550000_p_Instance _20aa20a477550000_p_Instance _b0ab20a477550000_p_Instance _80ae20a477550000_p_Instance _40b020a477550000_p_Instance _d0b120a477550000_p_Instance _a0b420a477550000_p_Instance _60b620a477550000_p_Instance _f0b720a477550000_p_Instance _c0ba20a477550000_p_Instance _80bc20a477550000_p_Instance _10be20a477550000_p_Instance _e0c020a477550000_p_Instance _a0c220a477550000_p_Instance _30c420a477550000_p_Instance _00c720a477550000_p_Instance _c0c820a477550000_p_Instance _50ca20a477550000_p_Instance _70cc20a477550000_p_Instance _70ce20a477550000_p_Instance _40d020a477550000_p_Instance _10d220a477550000_p_Instance _e0d320a477550000_p_Instance _30d520a477550000_p_Instance _80d620a477550000_p_Instance _d0d720a477550000_p_Instance _20d920a477550000_p_Instance _70da20a477550000_p_Instance _c0db20a477550000_p_Instance _10dd20a477550000_p_Instance
% _d0fb1fa477550000_p_Instance _80ff1fa477550000_p_Instance _f00120a477550000_p_Instance _600420a477550000_p_Instance _400820a477550000_p_Instance _b00a20a477550000_p_Instance _200d20a477550000_p_Instance _201020a477550000_p_Instance _e01120a477550000_p_Instance _701320a477550000_p_Instance _401620a477550000_p_Instance _001820a477550000_p_Instance _901920a477550000_p_Instance _601c20a477550000_p_Instance _201e20a477550000_p_Instance _b01f20a477550000_p_Instance _802220a477550000_p_Instance _402420a477550000_p_Instance _d02520a477550000_p_Instance _a02820a477550000_p_Instance _602a20a477550000_p_Instance _f02b20a477550000_p_Instance _a02f20a477550000_p_Instance _103220a477550000_p_Instance _803420a477550000_p_Instance _803720a477550000_p_Instance _403920a477550000_p_Instance _d03a20a477550000_p_Instance _a03d20a477550000_p_Instance _603f20a477550000_p_Instance _f04020a477550000_p_Instance _c04320a477550000_p_Instance _804520a477550000_p_Instance _104720a477550000_p_Instance _e04920a477550000_p_Instance _a04b20a477550000_p_Instance _304d20a477550000_p_Instance _005020a477550000_p_Instance _c05120a477550000_p_Instance _505320a477550000_p_Instance _205620a477550000_p_Instance _e05720a477550000_p_Instance _705920a477550000_p_Instance _405c20a477550000_p_Instance _005e20a477550000_p_Instance _905f20a477550000_p_Instance _606220a477550000_p_Instance _206420a477550000_p_Instance _b06520a477550000_p_Instance _606920a477550000_p_Instance _d06b20a477550000_p_Instance _406e20a477550000_p_Instance _407120a477550000_p_Instance _007320a477550000_p_Instance _907420a477550000_p_Instance _607720a477550000_p_Instance _207920a477550000_p_Instance _b07a20a477550000_p_Instance _807d20a477550000_p_Instance _407f20a477550000_p_Instance _d08020a477550000_p_Instance _a08320a477550000_p_Instance _608520a477550000_p_Instance _f08620a477550000_p_Instance _c08920a477550000_p_Instance _808b20a477550000_p_Instance _108d20a477550000_p_Instance _e08f20a477550000_p_Instance _a09120a477550000_p_Instance _309320a477550000_p_Instance _009620a477550000_p_Instance _c09720a477550000_p_Instance _509920a477550000_p_Instance _209c20a477550000_p_Instance _e09d20a477550000_p_Instance _709f20a477550000_p_Instance _40a220a477550000_p_Instance _00a420a477550000_p_Instance _90a520a477550000_p_Instance _60a820a477550000_p_Instance _20aa20a477550000_p_Instance _b0ab20a477550000_p_Instance _80ae20a477550000_p_Instance _40b020a477550000_p_Instance _d0b120a477550000_p_Instance _a0b420a477550000_p_Instance _60b620a477550000_p_Instance _f0b720a477550000_p_Instance _c0ba20a477550000_p_Instance _80bc20a477550000_p_Instance _10be20a477550000_p_Instance _e0c020a477550000_p_Instance _a0c220a477550000_p_Instance _30c420a477550000_p_Instance _00c720a477550000_p_Instance _c0c820a477550000_p_Instance _50ca20a477550000_p_Instance _70cc20a477550000_p_Instance _70ce20a477550000_p_Instance _40d020a477550000_p_Instance _10d220a477550000_p_Instance _e0d320a477550000_p_Instance _30d520a477550000_p_Instance _80d620a477550000_p_Instance _d0d720a477550000_p_Instance _20d920a477550000_p_Instance _70da20a477550000_p_Instance _c0db20a477550000_p_Instance _10dd20a477550000_p_Instance _60de20a477550000_p_Instance _10e220a477550000_p_Instance _80e420a477550000_p_Instance _f0e620a477550000_p_Instance _d0ea20a477550000_p_Instance _40ed20a477550000_p_Instance _b0ef20a477550000_p_Instance _b0f220a477550000_p_Instance _70f420a477550000_p_Instance _00f620a477550000_p_Instance _d0f820a477550000_p_Instance _90fa20a477550000_p_Instance _20fc20a477550000_p_Instance _f0fe20a477550000_p_Instance _b00021a477550000_p_Instance _400221a477550000_p_Instance _100521a477550000_p_Instance _d00621a477550000_p_Instance _600821a477550000_p_Instance _300b21a477550000_p_Instance _f00c21a477550000_p_Instance _800e21a477550000_p_Instance _301221a477550000_p_Instance _a01421a477550000_p_Instance _101721a477550000_p_Instance _101a21a477550000_p_Instance _d01b21a477550000_p_Instance _601d21a477550000_p_Instance _302021a477550000_p_Instance _f02121a477550000_p_Instance _802321a477550000_p_Instance _502621a477550000_p_Instance _102821a477550000_p_Instance _a02921a477550000_p_Instance _702c21a477550000_p_Instance _302e21a477550000_p_Instance _c02f21a477550000_p_Instance _903221a477550000_p_Instance _503421a477550000_p_Instance _e03521a477550000_p_Instance _b03821a477550000_p_Instance _703a21a477550000_p_Instance _003c21a477550000_p_Instance _d03e21a477550000_p_Instance _904021a477550000_p_Instance _204221a477550000_p_Instance _f04421a477550000_p_Instance _b04621a477550000_p_Instance _404821a477550000_p_Instance _f04b21a477550000_p_Instance _604e21a477550000_p_Instance _d05021a477550000_p_Instance _d05321a477550000_p_Instance _905521a477550000_p_Instance _205721a477550000_p_Instance _f05921a477550000_p_Instance _b05b21a477550000_p_Instance _405d21a477550000_p_Instance _106021a477550000_p_Instance _d06121a477550000_p_Instance _606321a477550000_p_Instance _306621a477550000_p_Instance _f06721a477550000_p_Instance _806921a477550000_p_Instance _506c21a477550000_p_Instance _106e21a477550000_p_Instance _a06f21a477550000_p_Instance _707221a477550000_p_Instance _307421a477550000_p_Instance _c07521a477550000_p_Instance _907821a477550000_p_Instance _507a21a477550000_p_Instance _e07b21a477550000_p_Instance _b07e21a477550000_p_Instance _708021a477550000_p_Instance _008221a477550000_p_Instance _d08421a477550000_p_Instance _908621a477550000_p_Instance _208821a477550000_p_Instance _f08a21a477550000_p_Instance _b08c21a477550000_p_Instance _408e21a477550000_p_Instance _109121a477550000_p_Instance _d09221a477550000_p_Instance _609421a477550000_p_Instance _309721a477550000_p_Instance _f09821a477550000_p_Instance _809a21a477550000_p_Instance _509d21a477550000_p_Instance _109f21a477550000_p_Instance _a0a021a477550000_p_Instance _70a321a477550000_p_Instance _30a521a477550000_p_Instance _c0a621a477550000_p_Instance _90a921a477550000_p_Instance _50ab21a477550000_p_Instance _e0ac21a477550000_p_Instance _00af21a477550000_p_Instance _00b121a477550000_p_Instance _d0b221a477550000_p_Instance _a0b421a477550000_p_Instance _70b621a477550000_p_Instance _c0b721a477550000_p_Instance _10b921a477550000_p_Instance _60ba21a477550000_p_Instance _b0bb21a477550000_p_Instance _00bd21a477550000_p_Instance _50be21a477550000_p_Instance _a0bf21a477550000_p_Instance _f0c021a477550000_p_Instance _a0c421a477550000_p_Instance _10c721a477550000_p_Instance _80c921a477550000_p_Instance _60cd21a477550000_p_Instance _d0cf21a477550000_p_Instance _40d221a477550000_p_Instance _40d521a477550000_p_Instance _00d721a477550000_p_Instance _90d821a477550000_p_Instance _60db21a477550000_p_Instance _20dd21a477550000_p_Instance _b0de21a477550000_p_Instance _80e121a477550000_p_Instance _40e321a477550000_p_Instance _d0e421a477550000_p_Instance _a0e721a477550000_p_Instance _60e921a477550000_p_Instance _f0ea21a477550000_p_Instance _c0ed21a477550000_p_Instance _80ef21a477550000_p_Instance _10f121a477550000_p_Instance _c0f421a477550000_p_Instance _30f721a477550000_p_Instance _a0f921a477550000_p_Instance _a0fc21a477550000_p_Instance _60fe21a477550000_p_Instance _f0ff21a477550000_p_Instance _c00222a477550000_p_Instance _800422a477550000_p_Instance _100622a477550000_p_Instance _e00822a477550000_p_Instance _a00a22a477550000_p_Instance _300c22a477550000_p_Instance _000f22a477550000_p_Instance _c01022a477550000_p_Instance _501222a477550000_p_Instance _201522a477550000_p_Instance _e01622a477550000_p_Instance _701822a477550000_p_Instance _401b22a477550000_p_Instance _001d22a477550000_p_Instance _901e22a477550000_p_Instance _602122a477550000_p_Instance _202322a477550000_p_Instance _b02422a477550000_p_Instance _802722a477550000_p_Instance _402922a477550000_p_Instance _d02a22a477550000_p_Instance _802e22a477550000_p_Instance _f03022a477550000_p_Instance _603322a477550000_p_Instance _603622a477550000_p_Instance _203822a477550000_p_Instance _b03922a477550000_p_Instance _803c22a477550000_p_Instance _403e22a477550000_p_Instance _d03f22a477550000_p_Instance _a04222a477550000_p_Instance _604422a477550000_p_Instance _f04522a477550000_p_Instance _c04822a477550000_p_Instance _804a22a477550000_p_Instance _104c22a477550000_p_Instance _e04e22a477550000_p_Instance _a05022a477550000_p_Instance _305222a477550000_p_Instance _005522a477550000_p_Instance _c05622a477550000_p_Instance _505822a477550000_p_Instance _205b22a477550000_p_Instance _e05c22a477550000_p_Instance _705e22a477550000_p_Instance _406122a477550000_p_Instance _006322a477550000_p_Instance _906422a477550000_p_Instance _606722a477550000_p_Instance _206922a477550000_p_Instance _b06a22a477550000_p_Instance _806d22a477550000_p_Instance _406f22a477550000_p_Instance _d07022a477550000_p_Instance _a07322a477550000_p_Instance _607522a477550000_p_Instance _f07622a477550000_p_Instance _c07922a477550000_p_Instance _807b22a477550000_p_Instance _107d22a477550000_p_Instance _e07f22a477550000_p_Instance _a08122a477550000_p_Instance _308322a477550000_p_Instance _008622a477550000_p_Instance _c08722a477550000_p_Instance _508922a477550000_p_Instance _208c22a477550000_p_Instance _e08d22a477550000_p_Instance _708f22a477550000_p_Instance _909122a477550000_p_Instance _909322a477550000_p_Instance _609522a477550000_p_Instance _309722a477550000_p_Instance _009922a477550000_p_Instance _509a22a477550000_p_Instance _a09b22a477550000_p_Instance _f09c22a477550000_p_Instance _409e22a477550000_p_Instance _909f22a477550000_p_Instance _e0a022a477550000_p_Instance _30a222a477550000_p_Instance _80a322a477550000_p_Instance _30a722a477550000_p_Instance _a0a922a477550000_p_Instance _10ac22a477550000_p_Instance _f0af22a477550000_p_Instance _60b222a477550000_p_Instance _d0b422a477550000_p_Instance _d0b722a477550000_p_Instance _90b922a477550000_p_Instance _20bb22a477550000_p_Instance _f0bd22a477550000_p_Instance _b0bf22a477550000_p_Instance _40c122a477550000_p_Instance _10c422a477550000_p_Instance _d0c522a477550000_p_Instance _60c722a477550000_p_Instance _30ca22a477550000_p_Instance _f0cb22a477550000_p_Instance _80cd22a477550000_p_Instance _50d022a477550000_p_Instance _10d222a477550000_p_Instance _a0d322a477550000_p_Instance _50d722a477550000_p_Instance _c0d922a477550000_p_Instance _30dc22a477550000_p_Instance _30df22a477550000_p_Instance _f0e022a477550000_p_Instance _80e222a477550000_p_Instance _50e522a477550000_p_Instance _10e722a477550000_p_Instance _a0e822a477550000_p_Instance _70eb22a477550000_p_Instance _30ed22a477550000_p_Instance _c0ee22a477550000_p_Instance _90f122a477550000_p_Instance _50f322a477550000_p_Instance _e0f422a477550000_p_Instance _b0f722a477550000_p_Instance _70f922a477550000_p_Instance _00fb22a477550000_p_Instance _d0fd22a477550000_p_Instance _90ff22a477550000_p_Instance _200123a477550000_p_Instance _f00323a477550000_p_Instance _b00523a477550000_p_Instance _400723a477550000_p_Instance _100a23a477550000_p_Instance _d00b23a477550000_p_Instance _600d23a477550000_p_Instance _101123a477550000_p_Instance _801323a477550000_p_Instance _f01523a477550000_p_Instance _f01823a477550000_p_Instance _b01a23a477550000_p_Instance _401c23a477550000_p_Instance _101f23a477550000_p_Instance _d02023a477550000_p_Instance _602223a477550000_p_Instance _302523a477550000_p_Instance _f02623a477550000_p_Instance _802823a477550000_p_Instance _502b23a477550000_p_Instance _102d23a477550000_p_Instance _a02e23a477550000_p_Instance _703123a477550000_p_Instance _303323a477550000_p_Instance _c03423a477550000_p_Instance _903723a477550000_p_Instance _503923a477550000_p_Instance _e03a23a477550000_p_Instance _b03d23a477550000_p_Instance _703f23a477550000_p_Instance _004123a477550000_p_Instance _d04323a477550000_p_Instance _904523a477550000_p_Instance _204723a477550000_p_Instance _f04923a477550000_p_Instance _b04b23a477550000_p_Instance _404d23a477550000_p_Instance _105023a477550000_p_Instance _d05123a477550000_p_Instance _605323a477550000_p_Instance _305623a477550000_p_Instance _f05723a477550000_p_Instance _805923a477550000_p_Instance _505c23a477550000_p_Instance _105e23a477550000_p_Instance _a05f23a477550000_p_Instance _706223a477550000_p_Instance _306423a477550000_p_Instance _c06523a477550000_p_Instance _906823a477550000_p_Instance _506a23a477550000_p_Instance _e06b23a477550000_p_Instance _b06e23a477550000_p_Instance _707023a477550000_p_Instance _007223a477550000_p_Instance _207423a477550000_p_Instance _207623a477550000_p_Instance _f07723a477550000_p_Instance _c07923a477550000_p_Instance _907b23a477550000_p_Instance _e07c23a477550000_p_Instance _307e23a477550000_p_Instance _807f23a477550000_p_Instance _d08023a477550000_p_Instance _208223a477550000_p_Instance _708323a477550000_p_Instance _c08423a477550000_p_Instance _108623a477550000_p_Instance _c08923a477550000_p_Instance _308c23a477550000_p_Instance _a08e23a477550000_p_Instance _809223a477550000_p_Instance _f09423a477550000_p_Instance _609723a477550000_p_Instance _609a23a477550000_p_Instance _209c23a477550000_p_Instance _b09d23a477550000_p_Instance _80a023a477550000_p_Instance _40a223a477550000_p_Instance _d0a323a477550000_p_Instance _a0a623a477550000_p_Instance _60a823a477550000_p_Instance _f0a923a477550000_p_Instance _c0ac23a477550000_p_Instance _80ae23a477550000_p_Instance _10b023a477550000_p_Instance _e0b223a477550000_p_Instance _a0b423a477550000_p_Instance _30b623a477550000_p_Instance _e0b923a477550000_p_Instance _50bc23a477550000_p_Instance _c0be23a477550000_p_Instance _c0c123a477550000_p_Instance _80c323a477550000_p_Instance _10c523a477550000_p_Instance _e0c723a477550000_p_Instance _a0c923a477550000_p_Instance _30cb23a477550000_p_Instance _00ce23a477550000_p_Instance _c0cf23a477550000_p_Instance _50d123a477550000_p_Instance _20d423a477550000_p_Instance _e0d523a477550000_p_Instance _70d723a477550000_p_Instance _40da23a477550000_p_Instance _00dc23a477550000_p_Instance _90dd23a477550000_p_Instance _60e023a477550000_p_Instance _20e223a477550000_p_Instance _b0e323a477550000_p_Instance _80e623a477550000_p_Instance _40e823a477550000_p_Instance _d0e923a477550000_p_Instance _a0ec23a477550000_p_Instance _60ee23a477550000_p_Instance _f0ef23a477550000_p_Instance _a0f323a477550000_p_Instance _10f623a477550000_p_Instance _80f823a477550000_p_Instance _80fb23a477550000_p_Instance _40fd23a477550000_p_Instance _d0fe23a477550000_p_Instance _a00124a477550000_p_Instance _600324a477550000_p_Instance _f00424a477550000_p_Instance _c00724a477550000_p_Instance _800924a477550000_p_Instance _100b24a477550000_p_Instance _e00d24a477550000_p_Instance _a00f24a477550000_p_Instance _301124a477550000_p_Instance _001424a477550000_p_Instance _c01524a477550000_p_Instance _501724a477550000_p_Instance _201a24a477550000_p_Instance _e01b24a477550000_p_Instance _701d24a477550000_p_Instance _402024a477550000_p_Instance _002224a477550000_p_Instance _902324a477550000_p_Instance _602624a477550000_p_Instance _202824a477550000_p_Instance _b02924a477550000_p_Instance _802c24a477550000_p_Instance _402e24a477550000_p_Instance _d02f24a477550000_p_Instance _a03224a477550000_p_Instance _603424a477550000_p_Instance _f03524a477550000_p_Instance _c03824a477550000_p_Instance _803a24a477550000_p_Instance _103c24a477550000_p_Instance _e03e24a477550000_p_Instance _a04024a477550000_p_Instance _304224a477550000_p_Instance _004524a477550000_p_Instance _c04624a477550000_p_Instance _504824a477550000_p_Instance _204b24a477550000_p_Instance _e04c24a477550000_p_Instance _704e24a477550000_p_Instance _405124a477550000_p_Instance _005324a477550000_p_Instance _905424a477550000_p_Instance _b05624a477550000_p_Instance _b05824a477550000_p_Instance _805a24a477550000_p_Instance _505c24a477550000_p_Instance _205e24a477550000_p_Instance _705f24a477550000_p_Instance _c06024a477550000_p_Instance _106224a477550000_p_Instance _606324a477550000_p_Instance _b06424a477550000_p_Instance _006624a477550000_p_Instance _506724a477550000_p_Instance _a06824a477550000_p_Instance _506c24a477550000_p_Instance _c06e24a477550000_p_Instance _307124a477550000_p_Instance _107524a477550000_p_Instance _807724a477550000_p_Instance _f07924a477550000_p_Instance _f07c24a477550000_p_Instance _b07e24a477550000_p_Instance _408024a477550000_p_Instance _108324a477550000_p_Instance _d08424a477550000_p_Instance _608624a477550000_p_Instance _308924a477550000_p_Instance _f08a24a477550000_p_Instance _808c24a477550000_p_Instance _508f24a477550000_p_Instance _109124a477550000_p_Instance _a09224a477550000_p_Instance _709524a477550000_p_Instance _309724a477550000_p_Instance _c09824a477550000_p_Instance _709c24a477550000_p_Instance _e09e24a477550000_p_Instance _50a124a477550000_p_Instance _50a424a477550000_p_Instance _10a624a477550000_p_Instance _a0a724a477550000_p_Instance _70aa24a477550000_p_Instance _30ac24a477550000_p_Instance _c0ad24a477550000_p_Instance _90b024a477550000_p_Instance _50b224a477550000_p_Instance _e0b324a477550000_p_Instance _b0b624a477550000_p_Instance _70b824a477550000_p_Instance _00ba24a477550000_p_Instance _d0bc24a477550000_p_Instance _90be24a477550000_p_Instance _20c024a477550000_p_Instance _f0c224a477550000_p_Instance _b0c424a477550000_p_Instance _40c624a477550000_p_Instance _10c924a477550000_p_Instance _d0ca24a477550000_p_Instance _60cc24a477550000_p_Instance _30cf24a477550000_p_Instance _f0d024a477550000_p_Instance _80d224a477550000_p_Instance _30d624a477550000_p_Instance _a0d824a477550000_p_Instance _10db24a477550000_p_Instance _10de24a477550000_p_Instance _d0df24a477550000_p_Instance _60e124a477550000_p_Instance _30e424a477550000_p_Instance _f0e524a477550000_p_Instance _80e724a477550000_p_Instance _50ea24a477550000_p_Instance _10ec24a477550000_p_Instance _a0ed24a477550000_p_Instance _70f024a477550000_p_Instance _30f224a477550000_p_Instance _c0f324a477550000_p_Instance _90f624a477550000_p_Instance _50f824a477550000_p_Instance _e0f924a477550000_p_Instance _b0fc24a477550000_p_Instance _70fe24a477550000_p_Instance _000025a477550000_p_Instance _d00225a477550000_p_Instance _900425a477550000_p_Instance _200625a477550000_p_Instance _f00825a477550000_p_Instance _b00a25a477550000_p_Instance _400c25a477550000_p_Instance _100f25a477550000_p_Instance _d01025a477550000_p_Instance _601225a477550000_p_Instance _301525a477550000_p_Instance _f01625a477550000_p_Instance _801825a477550000_p_Instance _501b25a477550000_p_Instance _101d25a477550000_p_Instance _a01e25a477550000_p_Instance _702125a477550000_p_Instance _302325a477550000_p_Instance _c02425a477550000_p_Instance _902725a477550000_p_Instance _502925a477550000_p_Instance _e02a25a477550000_p_Instance _b02d25a477550000_p_Instance _702f25a477550000_p_Instance _003125a477550000_p_Instance _d03325a477550000_p_Instance _903525a477550000_p_Instance _203725a477550000_p_Instance _403925a477550000_p_Instance _403b25a477550000_p_Instance _103d25a477550000_p_Instance _e03e25a477550000_p_Instance _b04025a477550000_p_Instance _004225a477550000_p_Instance _504325a477550000_p_Instance _a04425a477550000_p_Instance _f04525a477550000_p_Instance _404725a477550000_p_Instance _904825a477550000_p_Instance _e04925a477550000_p_Instance _304b25a477550000_p_Instance _e04e25a477550000_p_Instance _505125a477550000_p_Instance _c05325a477550000_p_Instance _a05725a477550000_p_Instance _105a25a477550000_p_Instance _805c25a477550000_p_Instance _805f25a477550000_p_Instance _406125a477550000_p_Instance _d06225a477550000_p_Instance _a06525a477550000_p_Instance _606725a477550000_p_Instance _f06825a477550000_p_Instance _c06b25a477550000_p_Instance _806d25a477550000_p_Instance _106f25a477550000_p_Instance _e07125a477550000_p_Instance _a07325a477550000_p_Instance _307525a477550000_p_Instance _007825a477550000_p_Instance _c07925a477550000_p_Instance _507b25a477550000_p_Instance _007f25a477550000_p_Instance _708125a477550000_p_Instance _e08325a477550000_p_Instance _e08625a477550000_p_Instance _a08825a477550000_p_Instance _308a25a477550000_p_Instance _008d25a477550000_p_Instance _c08e25a477550000_p_Instance _509025a477550000_p_Instance _209325a477550000_p_Instance _e09425a477550000_p_Instance _709625a477550000_p_Instance _409925a477550000_p_Instance _009b25a477550000_p_Instance _909c25a477550000_p_Instance _609f25a477550000_p_Instance _20a125a477550000_p_Instance _b0a225a477550000_p_Instance _80a525a477550000_p_Instance _40a725a477550000_p_Instance _d0a825a477550000_p_Instance _a0ab25a477550000_p_Instance _60ad25a477550000_p_Instance _f0ae25a477550000_p_Instance _c0b125a477550000_p_Instance _80b325a477550000_p_Instance _10b525a477550000_p_Instance _c0b825a477550000_p_Instance _30bb25a477550000_p_Instance _a0bd25a477550000_p_Instance _a0c025a477550000_p_Instance _60c225a477550000_p_Instance _f0c325a477550000_p_Instance _c0c625a477550000_p_Instance _80c825a477550000_p_Instance _10ca25a477550000_p_Instance _e0cc25a477550000_p_Instance _a0ce25a477550000_p_Instance _30d025a477550000_p_Instance _00d325a477550000_p_Instance _c0d425a477550000_p_Instance _50d625a477550000_p_Instance _20d925a477550000_p_Instance _e0da25a477550000_p_Instance _70dc25a477550000_p_Instance _40df25a477550000_p_Instance _00e125a477550000_p_Instance _90e225a477550000_p_Instance _60e525a477550000_p_Instance _20e725a477550000_p_Instance _b0e825a477550000_p_Instance _80eb25a477550000_p_Instance _40ed25a477550000_p_Instance _d0ee25a477550000_p_Instance _a0f125a477550000_p_Instance _60f325a477550000_p_Instance _f0f425a477550000_p_Instance _c0f725a477550000_p_Instance _80f925a477550000_p_Instance _10fb25a477550000_p_Instance _e0fd25a477550000_p_Instance _a0ff25a477550000_p_Instance _300126a477550000_p_Instance _000426a477550000_p_Instance _c00526a477550000_p_Instance _500726a477550000_p_Instance _200a26a477550000_p_Instance _e00b26a477550000_p_Instance _700d26a477550000_p_Instance _401026a477550000_p_Instance _001226a477550000_p_Instance _901326a477550000_p_Instance _601626a477550000_p_Instance _201826a477550000_p_Instance _b01926a477550000_p_Instance _d01b26a477550000_p_Instance _d01d26a477550000_p_Instance _a01f26a477550000_p_Instance _702126a477550000_p_Instance _402326a477550000_p_Instance _902426a477550000_p_Instance _e02526a477550000_p_Instance _302726a477550000_p_Instance _802826a477550000_p_Instance _d02926a477550000_p_Instance _202b26a477550000_p_Instance _702c26a477550000_p_Instance _c02d26a477550000_p_Instance _703126a477550000_p_Instance _e03326a477550000_p_Instance _503626a477550000_p_Instance _303a26a477550000_p_Instance _a03c26a477550000_p_Instance _103f26a477550000_p_Instance _104226a477550000_p_Instance _d04326a477550000_p_Instance _604526a477550000_p_Instance _304826a477550000_p_Instance _f04926a477550000_p_Instance _804b26a477550000_p_Instance _504e26a477550000_p_Instance _105026a477550000_p_Instance _a05126a477550000_p_Instance _705426a477550000_p_Instance _305626a477550000_p_Instance _c05726a477550000_p_Instance _905a26a477550000_p_Instance _505c26a477550000_p_Instance _e05d26a477550000_p_Instance _906126a477550000_p_Instance _006426a477550000_p_Instance _706626a477550000_p_Instance _706926a477550000_p_Instance _306b26a477550000_p_Instance _c06c26a477550000_p_Instance _906f26a477550000_p_Instance _507126a477550000_p_Instance _e07226a477550000_p_Instance _b07526a477550000_p_Instance _707726a477550000_p_Instance _007926a477550000_p_Instance _d07b26a477550000_p_Instance _907d26a477550000_p_Instance _207f26a477550000_p_Instance _f08126a477550000_p_Instance _b08326a477550000_p_Instance _408526a477550000_p_Instance _108826a477550000_p_Instance _d08926a477550000_p_Instance _608b26a477550000_p_Instance _308e26a477550000_p_Instance _f08f26a477550000_p_Instance _809126a477550000_p_Instance _509426a477550000_p_Instance _109626a477550000_p_Instance _a09726a477550000_p_Instance _509b26a477550000_p_Instance _c09d26a477550000_p_Instance _30a026a477550000_p_Instance _30a326a477550000_p_Instance _f0a426a477550000_p_Instance _80a626a477550000_p_Instance _50a926a477550000_p_Instance _10ab26a477550000_p_Instance _a0ac26a477550000_p_Instance _70af26a477550000_p_Instance _30b126a477550000_p_Instance _c0b226a477550000_p_Instance _90b526a477550000_p_Instance _50b726a477550000_p_Instance _e0b826a477550000_p_Instance _b0bb26a477550000_p_Instance _70bd26a477550000_p_Instance _00bf26a477550000_p_Instance _d0c126a477550000_p_Instance _90c326a477550000_p_Instance _20c526a477550000_p_Instance _f0c726a477550000_p_Instance _b0c926a477550000_p_Instance _40cb26a477550000_p_Instance _10ce26a477550000_p_Instance _d0cf26a477550000_p_Instance _60d126a477550000_p_Instance _30d426a477550000_p_Instance _f0d526a477550000_p_Instance _80d726a477550000_p_Instance _50da26a477550000_p_Instance _10dc26a477550000_p_Instance _a0dd26a477550000_p_Instance _70e026a477550000_p_Instance _30e226a477550000_p_Instance _c0e326a477550000_p_Instance _90e626a477550000_p_Instance _50e826a477550000_p_Instance _e0e926a477550000_p_Instance _b0ec26a477550000_p_Instance _70ee26a477550000_p_Instance _00f026a477550000_p_Instance _d0f226a477550000_p_Instance _90f426a477550000_p_Instance _20f626a477550000_p_Instance _f0f826a477550000_p_Instance _b0fa26a477550000_p_Instance _40fc26a477550000_p_Instance _60fe26a477550000_p_Instance _600027a477550000_p_Instance _300227a477550000_p_Instance _000427a477550000_p_Instance _d00527a477550000_p_Instance _200727a477550000_p_Instance _700827a477550000_p_Instance _c00927a477550000_p_Instance _100b27a477550000_p_Instance _600c27a477550000_p_Instance _b00d27a477550000_p_Instance _000f27a477550000_p_Instance _501027a477550000_p_Instance _001427a477550000_p_Instance _701627a477550000_p_Instance _e01827a477550000_p_Instance _c01c27a477550000_p_Instance _301f27a477550000_p_Instance _a02127a477550000_p_Instance _a02427a477550000_p_Instance _602627a477550000_p_Instance _f02727a477550000_p_Instance _c02a27a477550000_p_Instance _802c27a477550000_p_Instance _102e27a477550000_p_Instance _e03027a477550000_p_Instance _a03227a477550000_p_Instance _303427a477550000_p_Instance _003727a477550000_p_Instance _c03827a477550000_p_Instance _503a27a477550000_p_Instance _203d27a477550000_p_Instance _e03e27a477550000_p_Instance _704027a477550000_p_Instance _204427a477550000_p_Instance _904627a477550000_p_Instance _004927a477550000_p_Instance _004c27a477550000_p_Instance _c04d27a477550000_p_Instance _504f27a477550000_p_Instance _205227a477550000_p_Instance _e05327a477550000_p_Instance _705527a477550000_p_Instance _405827a477550000_p_Instance _005a27a477550000_p_Instance _905b27a477550000_p_Instance _605e27a477550000_p_Instance _206027a477550000_p_Instance _b06127a477550000_p_Instance _806427a477550000_p_Instance _406627a477550000_p_Instance _d06727a477550000_p_Instance _a06a27a477550000_p_Instance _606c27a477550000_p_Instance _f06d27a477550000_p_Instance _c07027a477550000_p_Instance _807227a477550000_p_Instance _107427a477550000_p_Instance _e07627a477550000_p_Instance _a07827a477550000_p_Instance _307a27a477550000_p_Instance _e07d27a477550000_p_Instance _508027a477550000_p_Instance _c08227a477550000_p_Instance _c08527a477550000_p_Instance _808727a477550000_p_Instance _108927a477550000_p_Instance _e08b27a477550000_p_Instance _a08d27a477550000_p_Instance _308f27a477550000_p_Instance _009227a477550000_p_Instance _c09327a477550000_p_Instance _509527a477550000_p_Instance _209827a477550000_p_Instance _e09927a477550000_p_Instance _709b27a477550000_p_Instance _409e27a477550000_p_Instance _00a027a477550000_p_Instance _90a127a477550000_p_Instance _60a427a477550000_p_Instance _20a627a477550000_p_Instance _b0a727a477550000_p_Instance _80aa27a477550000_p_Instance _40ac27a477550000_p_Instance _d0ad27a477550000_p_Instance _a0b027a477550000_p_Instance _60b227a477550000_p_Instance _f0b327a477550000_p_Instance _c0b627a477550000_p_Instance _80b827a477550000_p_Instance _10ba27a477550000_p_Instance _e0bc27a477550000_p_Instance _a0be27a477550000_p_Instance _30c027a477550000_p_Instance _00c327a477550000_p_Instance _c0c427a477550000_p_Instance _50c627a477550000_p_Instance _20c927a477550000_p_Instance _e0ca27a477550000_p_Instance _70cc27a477550000_p_Instance _40cf27a477550000_p_Instance _00d127a477550000_p_Instance _90d227a477550000_p_Instance _60d527a477550000_p_Instance _20d727a477550000_p_Instance _b0d827a477550000_p_Instance _80db27a477550000_p_Instance _40dd27a477550000_p_Instance _d0de27a477550000_p_Instance _f0e027a477550000_p_Instance _f0e227a477550000_p_Instance _c0e427a477550000_p_Instance _90e627a477550000_p_Instance _60e827a477550000_p_Instance _b0e927a477550000_p_Instance _00eb27a477550000_p_Instance _50ec27a477550000_p_Instance _a0ed27a477550000_p_Instance _f0ee27a477550000_p_Instance _40f027a477550000_p_Instance _90f127a477550000_p_Instance _e0f227a477550000_p_Instance _90f627a477550000_p_Instance _00f927a477550000_p_Instance _70fb27a477550000_p_Instance _50ff27a477550000_p_Instance _c00128a477550000_p_Instance _300428a477550000_p_Instance _300728a477550000_p_Instance _f00828a477550000_p_Instance _800a28a477550000_p_Instance _500d28a477550000_p_Instance _100f28a477550000_p_Instance _a01028a477550000_p_Instance _701328a477550000_p_Instance _301528a477550000_p_Instance _c01628a477550000_p_Instance _901928a477550000_p_Instance _501b28a477550000_p_Instance _e01c28a477550000_p_Instance _b01f28a477550000_p_Instance _702128a477550000_p_Instance _002328a477550000_p_Instance _b02628a477550000_p_Instance _202928a477550000_p_Instance _902b28a477550000_p_Instance _902e28a477550000_p_Instance _503028a477550000_p_Instance _e03128a477550000_p_Instance _b03428a477550000_p_Instance _703628a477550000_p_Instance _003828a477550000_p_Instance _d03a28a477550000_p_Instance _903c28a477550000_p_Instance _203e28a477550000_p_Instance _f04028a477550000_p_Instance _b04228a477550000_p_Instance _404428a477550000_p_Instance _104728a477550000_p_Instance _d04828a477550000_p_Instance _604a28a477550000_p_Instance _304d28a477550000_p_Instance _f04e28a477550000_p_Instance _805028a477550000_p_Instance _505328a477550000_p_Instance _105528a477550000_p_Instance _a05628a477550000_p_Instance _705928a477550000_p_Instance _305b28a477550000_p_Instance _c05c28a477550000_p_Instance _706028a477550000_p_Instance _e06228a477550000_p_Instance _506528a477550000_p_Instance _506828a477550000_p_Instance _106a28a477550000_p_Instance _a06b28a477550000_p_Instance _706e28a477550000_p_Instance _307028a477550000_p_Instance _c07128a477550000_p_Instance _907428a477550000_p_Instance _507628a477550000_p_Instance _e07728a477550000_p_Instance _b07a28a477550000_p_Instance _707c28a477550000_p_Instance _007e28a477550000_p_Instance _d08028a477550000_p_Instance _908228a477550000_p_Instance _208428a477550000_p_Instance _f08628a477550000_p_Instance _b08828a477550000_p_Instance _408a28a477550000_p_Instance _108d28a477550000_p_Instance _d08e28a477550000_p_Instance _609028a477550000_p_Instance _309328a477550000_p_Instance _f09428a477550000_p_Instance _809628a477550000_p_Instance _509928a477550000_p_Instance _109b28a477550000_p_Instance _a09c28a477550000_p_Instance _709f28a477550000_p_Instance _30a128a477550000_p_Instance _c0a228a477550000_p_Instance _90a528a477550000_p_Instance _50a728a477550000_p_Instance _e0a828a477550000_p_Instance _b0ab28a477550000_p_Instance _70ad28a477550000_p_Instance _00af28a477550000_p_Instance _d0b128a477550000_p_Instance _90b328a477550000_p_Instance _20b528a477550000_p_Instance _f0b728a477550000_p_Instance _b0b928a477550000_p_Instance _40bb28a477550000_p_Instance _10be28a477550000_p_Instance _d0bf28a477550000_p_Instance _60c128a477550000_p_Instance _80c328a477550000_p_Instance _80c528a477550000_p_Instance _50c728a477550000_p_Instance _20c928a477550000_p_Instance _f0ca28a477550000_p_Instance _40cc28a477550000_p_Instance _90cd28a477550000_p_Instance _e0ce28a477550000_p_Instance _30d028a477550000_p_Instance _80d128a477550000_p_Instance _d0d228a477550000_p_Instance _20d428a477550000_p_Instance _70d528a477550000_p_Instance _10d928a477550000_p_Instance _80db28a477550000_p_Instance _f0dd28a477550000_p_Instance _c0e128a477550000_p_Instance _30e428a477550000_p_Instance _a0e628a477550000_p_Instance _a0e928a477550000_p_Instance _60eb28a477550000_p_Instance _f0ec28a477550000_p_Instance _c0ef28a477550000_p_Instance _80f128a477550000_p_Instance _10f328a477550000_p_Instance _e0f528a477550000_p_Instance _a0f728a477550000_p_Instance _30f928a477550000_p_Instance _00fc28a477550000_p_Instance _c0fd28a477550000_p_Instance _50ff28a477550000_p_Instance _200229a477550000_p_Instance _e00329a477550000_p_Instance _700529a477550000_p_Instance _100929a477550000_p_Instance _800b29a477550000_p_Instance _f00d29a477550000_p_Instance _f01029a477550000_p_Instance _b01229a477550000_p_Instance _401429a477550000_p_Instance _101729a477550000_p_Instance _d01829a477550000_p_Instance _601a29a477550000_p_Instance _301d29a477550000_p_Instance _f01e29a477550000_p_Instance _802029a477550000_p_Instance _502329a477550000_p_Instance _102529a477550000_p_Instance _a02629a477550000_p_Instance _702929a477550000_p_Instance _302b29a477550000_p_Instance _c02c29a477550000_p_Instance _902f29a477550000_p_Instance _503129a477550000_p_Instance _e03229a477550000_p_Instance _b03529a477550000_p_Instance _703729a477550000_p_Instance _003929a477550000_p_Instance _d03b29a477550000_p_Instance _903d29a477550000_p_Instance _203f29a477550000_p_Instance _c04229a477550000_p_Instance _304529a477550000_p_Instance _a04729a477550000_p_Instance _a04a29a477550000_p_Instance _604c29a477550000_p_Instance _f04d29a477550000_p_Instance _c05029a477550000_p_Instance _805229a477550000_p_Instance _105429a477550000_p_Instance _e05629a477550000_p_Instance _a05829a477550000_p_Instance _305a29a477550000_p_Instance _005d29a477550000_p_Instance _c05e29a477550000_p_Instance _506029a477550000_p_Instance _206329a477550000_p_Instance _e06429a477550000_p_Instance _706629a477550000_p_Instance _406929a477550000_p_Instance _006b29a477550000_p_Instance _906c29a477550000_p_Instance _606f29a477550000_p_Instance _207129a477550000_p_Instance _b07229a477550000_p_Instance _807529a477550000_p_Instance _407729a477550000_p_Instance _d07829a477550000_p_Instance _a07b29a477550000_p_Instance _607d29a477550000_p_Instance _f07e29a477550000_p_Instance _c08129a477550000_p_Instance _808329a477550000_p_Instance _108529a477550000_p_Instance _e08729a477550000_p_Instance _a08929a477550000_p_Instance _308b29a477550000_p_Instance _008e29a477550000_p_Instance _c08f29a477550000_p_Instance _509129a477550000_p_Instance _209429a477550000_p_Instance _e09529a477550000_p_Instance _709729a477550000_p_Instance _409a29a477550000_p_Instance _009c29a477550000_p_Instance _909d29a477550000_p_Instance _60a029a477550000_p_Instance _20a229a477550000_p_Instance _b0a329a477550000_p_Instance _d0a529a477550000_p_Instance _d0a729a477550000_p_Instance _a0a929a477550000_p_Instance _70ab29a477550000_p_Instance _40ad29a477550000_p_Instance _80ae29a477550000_p_Instance _c0af29a477550000_p_Instance _00b129a477550000_p_Instance _40b229a477550000_p_Instance _80b329a477550000_p_Instance _c0b429a477550000_p_Instance _00b629a477550000_p_Instance _40b729a477550000_p_Instance _f0ba29a477550000_p_Instance _60bd29a477550000_p_Instance _d0bf29a477550000_p_Instance _b0c329a477550000_p_Instance _20c629a477550000_p_Instance _90c829a477550000_p_Instance _90cb29a477550000_p_Instance _50cd29a477550000_p_Instance _e0ce29a477550000_p_Instance _b0d129a477550000_p_Instance _70d329a477550000_p_Instance _00d529a477550000_p_Instance _d0d729a477550000_p_Instance _90d929a477550000_p_Instance _20db29a477550000_p_Instance _f0dd29a477550000_p_Instance _b0df29a477550000_p_Instance _40e129a477550000_p_Instance _10e429a477550000_p_Instance _d0e529a477550000_p_Instance _60e729a477550000_p_Instance _10eb29a477550000_p_Instance _80ed29a477550000_p_Instance _f0ef29a477550000_p_Instance _f0f229a477550000_p_Instance _b0f429a477550000_p_Instance _40f629a477550000_p_Instance _10f929a477550000_p_Instance _d0fa29a477550000_p_Instance _60fc29a477550000_p_Instance _30ff29a477550000_p_Instance _f0002aa477550000_p_Instance _80022aa477550000_p_Instance _50052aa477550000_p_Instance _10072aa477550000_p_Instance _a0082aa477550000_p_Instance _700b2aa477550000_p_Instance _300d2aa477550000_p_Instance _c00e2aa477550000_p_Instance _90112aa477550000_p_Instance _50132aa477550000_p_Instance _e0142aa477550000_p_Instance _b0172aa477550000_p_Instance _70192aa477550000_p_Instance _001b2aa477550000_p_Instance _d01d2aa477550000_p_Instance _901f2aa477550000_p_Instance _20212aa477550000_p_Instance _d0242aa477550000_p_Instance _40272aa477550000_p_Instance _b0292aa477550000_p_Instance _b02c2aa477550000_p_Instance _702e2aa477550000_p_Instance _00302aa477550000_p_Instance _d0322aa477550000_p_Instance _90342aa477550000_p_Instance _20362aa477550000_p_Instance _f0382aa477550000_p_Instance _b03a2aa477550000_p_Instance _403c2aa477550000_p_Instance _103f2aa477550000_p_Instance _d0402aa477550000_p_Instance _60422aa477550000_p_Instance _30452aa477550000_p_Instance _f0462aa477550000_p_Instance _80482aa477550000_p_Instance _504b2aa477550000_p_Instance _104d2aa477550000_p_Instance _a04e2aa477550000_p_Instance _70512aa477550000_p_Instance _30532aa477550000_p_Instance _c0542aa477550000_p_Instance _90572aa477550000_p_Instance _50592aa477550000_p_Instance _e05a2aa477550000_p_Instance _b05d2aa477550000_p_Instance _705f2aa477550000_p_Instance _00612aa477550000_p_Instance _d0632aa477550000_p_Instance _90652aa477550000_p_Instance _20672aa477550000_p_Instance _f0692aa477550000_p_Instance _b06b2aa477550000_p_Instance _406d2aa477550000_p_Instance _10702aa477550000_p_Instance _d0712aa477550000_p_Instance _60732aa477550000_p_Instance _30762aa477550000_p_Instance _f0772aa477550000_p_Instance _80792aa477550000_p_Instance _507c2aa477550000_p_Instance _107e2aa477550000_p_Instance _a07f2aa477550000_p_Instance _70822aa477550000_p_Instance _30842aa477550000_p_Instance _c0852aa477550000_p_Instance _e0872aa477550000_p_Instance _e0892aa477550000_p_Instance _b08b2aa477550000_p_Instance _808d2aa477550000_p_Instance _508f2aa477550000_p_Instance _a0902aa477550000_p_Instance _f0912aa477550000_p_Instance _40932aa477550000_p_Instance _90942aa477550000_p_Instance _e0952aa477550000_p_Instance _30972aa477550000_p_Instance _80982aa477550000_p_Instance _d0992aa477550000_p_Instance _809d2aa477550000_p_Instance _f09f2aa477550000_p_Instance _60a22aa477550000_p_Instance _40a62aa477550000_p_Instance _b0a82aa477550000_p_Instance _20ab2aa477550000_p_Instance _20ae2aa477550000_p_Instance _e0af2aa477550000_p_Instance _70b12aa477550000_p_Instance _40b42aa477550000_p_Instance _00b62aa477550000_p_Instance _90b72aa477550000_p_Instance _60ba2aa477550000_p_Instance _20bc2aa477550000_p_Instance _b0bd2aa477550000_p_Instance _80c02aa477550000_p_Instance _40c22aa477550000_p_Instance _d0c32aa477550000_p_Instance _a0c62aa477550000_p_Instance _60c82aa477550000_p_Instance _f0c92aa477550000_p_Instance _a0cd2aa477550000_p_Instance _10d02aa477550000_p_Instance _80d22aa477550000_p_Instance _80d52aa477550000_p_Instance _40d72aa477550000_p_Instance _d0d82aa477550000_p_Instance _a0db2aa477550000_p_Instance _60dd2aa477550000_p_Instance _f0de2aa477550000_p_Instance _c0e12aa477550000_p_Instance _80e32aa477550000_p_Instance _10e52aa477550000_p_Instance _e0e72aa477550000_p_Instance _a0e92aa477550000_p_Instance _30eb2aa477550000_p_Instance _00ee2aa477550000_p_Instance _c0ef2aa477550000_p_Instance _50f12aa477550000_p_Instance _20f42aa477550000_p_Instance _e0f52aa477550000_p_Instance _70f72aa477550000_p_Instance _40fa2aa477550000_p_Instance _00fc2aa477550000_p_Instance _90fd2aa477550000_p_Instance _60002ba477550000_p_Instance _20022ba477550000_p_Instance _b0032ba477550000_p_Instance _60072ba477550000_p_Instance _d0092ba477550000_p_Instance _400c2ba477550000_p_Instance _400f2ba477550000_p_Instance _00112ba477550000_p_Instance _90122ba477550000_p_Instance _60152ba477550000_p_Instance _20172ba477550000_p_Instance _b0182ba477550000_p_Instance _801b2ba477550000_p_Instance _401d2ba477550000_p_Instance _d01e2ba477550000_p_Instance _a0212ba477550000_p_Instance _60232ba477550000_p_Instance _f0242ba477550000_p_Instance _c0272ba477550000_p_Instance _80292ba477550000_p_Instance _102b2ba477550000_p_Instance _e02d2ba477550000_p_Instance _a02f2ba477550000_p_Instance _30312ba477550000_p_Instance _00342ba477550000_p_Instance _c0352ba477550000_p_Instance _50372ba477550000_p_Instance _203a2ba477550000_p_Instance _e03b2ba477550000_p_Instance _703d2ba477550000_p_Instance _40402ba477550000_p_Instance _00422ba477550000_p_Instance _90432ba477550000_p_Instance _60462ba477550000_p_Instance _20482ba477550000_p_Instance _b0492ba477550000_p_Instance _804c2ba477550000_p_Instance _404e2ba477550000_p_Instance _d04f2ba477550000_p_Instance _a0522ba477550000_p_Instance _60542ba477550000_p_Instance _f0552ba477550000_p_Instance _c0582ba477550000_p_Instance _805a2ba477550000_p_Instance _105c2ba477550000_p_Instance _e05e2ba477550000_p_Instance _a0602ba477550000_p_Instance _30622ba477550000_p_Instance _00652ba477550000_p_Instance _c0662ba477550000_p_Instance _50682ba477550000_p_Instance _706a2ba477550000_p_Instance _706c2ba477550000_p_Instance _406e2ba477550000_p_Instance _10702ba477550000_p_Instance _e0712ba477550000_p_Instance _30732ba477550000_p_Instance _80742ba477550000_p_Instance _d0752ba477550000_p_Instance _20772ba477550000_p_Instance _70782ba477550000_p_Instance _c0792ba477550000_p_Instance _107b2ba477550000_p_Instance _607c2ba477550000_p_Instance _10802ba477550000_p_Instance _80822ba477550000_p_Instance _f0842ba477550000_p_Instance _d0882ba477550000_p_Instance _408b2ba477550000_p_Instance _b08d2ba477550000_p_Instance _b0902ba477550000_p_Instance _70922ba477550000_p_Instance _00942ba477550000_p_Instance _d0962ba477550000_p_Instance _90982ba477550000_p_Instance _209a2ba477550000_p_Instance _f09c2ba477550000_p_Instance _b09e2ba477550000_p_Instance _40a02ba477550000_p_Instance _10a32ba477550000_p_Instance _d0a42ba477550000_p_Instance _60a62ba477550000_p_Instance _30a92ba477550000_p_Instance _f0aa2ba477550000_p_Instance _80ac2ba477550000_p_Instance _30b02ba477550000_p_Instance _a0b22ba477550000_p_Instance _10b52ba477550000_p_Instance _10b82ba477550000_p_Instance _d0b92ba477550000_p_Instance _60bb2ba477550000_p_Instance _30be2ba477550000_p_Instance _f0bf2ba477550000_p_Instance _80c12ba477550000_p_Instance _50c42ba477550000_p_Instance _10c62ba477550000_p_Instance _a0c72ba477550000_p_Instance _70ca2ba477550000_p_Instance _30cc2ba477550000_p_Instance _c0cd2ba477550000_p_Instance _90d02ba477550000_p_Instance _50d22ba477550000_p_Instance _e0d32ba477550000_p_Instance _b0d62ba477550000_p_Instance _70d82ba477550000_p_Instance _00da2ba477550000_p_Instance _d0dc2ba477550000_p_Instance _90de2ba477550000_p_Instance _20e02ba477550000_p_Instance _f0e22ba477550000_p_Instance _b0e42ba477550000_p_Instance _40e62ba477550000_p_Instance _f0e92ba477550000_p_Instance _60ec2ba477550000_p_Instance _d0ee2ba477550000_p_Instance _d0f12ba477550000_p_Instance _90f32ba477550000_p_Instance _20f52ba477550000_p_Instance _f0f72ba477550000_p_Instance _b0f92ba477550000_p_Instance _40fb2ba477550000_p_Instance _10fe2ba477550000_p_Instance _d0ff2ba477550000_p_Instance _60012ca477550000_p_Instance _30042ca477550000_p_Instance _f0052ca477550000_p_Instance _80072ca477550000_p_Instance _500a2ca477550000_p_Instance _100c2ca477550000_p_Instance _a00d2ca477550000_p_Instance _70102ca477550000_p_Instance _30122ca477550000_p_Instance _c0132ca477550000_p_Instance _90162ca477550000_p_Instance _50182ca477550000_p_Instance _e0192ca477550000_p_Instance _b01c2ca477550000_p_Instance _701e2ca477550000_p_Instance _00202ca477550000_p_Instance _d0222ca477550000_p_Instance _90242ca477550000_p_Instance _20262ca477550000_p_Instance _f0282ca477550000_p_Instance _b02a2ca477550000_p_Instance _402c2ca477550000_p_Instance _102f2ca477550000_p_Instance _d0302ca477550000_p_Instance _60322ca477550000_p_Instance _30352ca477550000_p_Instance _f0362ca477550000_p_Instance _80382ca477550000_p_Instance _503b2ca477550000_p_Instance _103d2ca477550000_p_Instance _a03e2ca477550000_p_Instance _70412ca477550000_p_Instance _30432ca477550000_p_Instance _c0442ca477550000_p_Instance _90472ca477550000_p_Instance _50492ca477550000_p_Instance _e04a2ca477550000_p_Instance _004d2ca477550000_p_Instance _004f2ca477550000_p_Instance _d0502ca477550000_p_Instance _a0522ca477550000_p_Instance _70542ca477550000_p_Instance _c0552ca477550000_p_Instance _10572ca477550000_p_Instance _60582ca477550000_p_Instance _b0592ca477550000_p_Instance _005b2ca477550000_p_Instance _505c2ca477550000_p_Instance _a05d2ca477550000_p_Instance _f05e2ca477550000_p_Instance _a0622ca477550000_p_Instance _10652ca477550000_p_Instance _80672ca477550000_p_Instance _606b2ca477550000_p_Instance _d06d2ca477550000_p_Instance _40702ca477550000_p_Instance _40732ca477550000_p_Instance _00752ca477550000_p_Instance _90762ca477550000_p_Instance _60792ca477550000_p_Instance _207b2ca477550000_p_Instance _b07c2ca477550000_p_Instance _807f2ca477550000_p_Instance _40812ca477550000_p_Instance _d0822ca477550000_p_Instance _a0852ca477550000_p_Instance _60872ca477550000_p_Instance _f0882ca477550000_p_Instance _c08b2ca477550000_p_Instance _808d2ca477550000_p_Instance _108f2ca477550000_p_Instance _c0922ca477550000_p_Instance _30952ca477550000_p_Instance _a0972ca477550000_p_Instance _a09a2ca477550000_p_Instance _609c2ca477550000_p_Instance _f09d2ca477550000_p_Instance _c0a02ca477550000_p_Instance _80a22ca477550000_p_Instance _10a42ca477550000_p_Instance _e0a62ca477550000_p_Instance _a0a82ca477550000_p_Instance _30aa2ca477550000_p_Instance _00ad2ca477550000_p_Instance _c0ae2ca477550000_p_Instance _50b02ca477550000_p_Instance _20b32ca477550000_p_Instance _e0b42ca477550000_p_Instance _70b62ca477550000_p_Instance _40b92ca477550000_p_Instance _00bb2ca477550000_p_Instance _90bc2ca477550000_p_Instance _60bf2ca477550000_p_Instance _20c12ca477550000_p_Instance _b0c22ca477550000_p_Instance _80c52ca477550000_p_Instance _40c72ca477550000_p_Instance _d0c82ca477550000_p_Instance _80cc2ca477550000_p_Instance _f0ce2ca477550000_p_Instance _60d12ca477550000_p_Instance _60d42ca477550000_p_Instance _20d62ca477550000_p_Instance _b0d72ca477550000_p_Instance _80da2ca477550000_p_Instance _40dc2ca477550000_p_Instance _d0dd2ca477550000_p_Instance _a0e02ca477550000_p_Instance _60e22ca477550000_p_Instance _f0e32ca477550000_p_Instance _c0e62ca477550000_p_Instance _80e82ca477550000_p_Instance _10ea2ca477550000_p_Instance _e0ec2ca477550000_p_Instance _a0ee2ca477550000_p_Instance _30f02ca477550000_p_Instance _00f32ca477550000_p_Instance _c0f42ca477550000_p_Instance _50f62ca477550000_p_Instance _20f92ca477550000_p_Instance _e0fa2ca477550000_p_Instance _70fc2ca477550000_p_Instance _40ff2ca477550000_p_Instance _00012da477550000_p_Instance _90022da477550000_p_Instance _60052da477550000_p_Instance _20072da477550000_p_Instance _b0082da477550000_p_Instance _800b2da477550000_p_Instance _400d2da477550000_p_Instance _d00e2da477550000_p_Instance _a0112da477550000_p_Instance _60132da477550000_p_Instance _f0142da477550000_p_Instance _c0172da477550000_p_Instance _80192da477550000_p_Instance _101b2da477550000_p_Instance _e01d2da477550000_p_Instance _a01f2da477550000_p_Instance _30212da477550000_p_Instance _00242da477550000_p_Instance _c0252da477550000_p_Instance _50272da477550000_p_Instance _202a2da477550000_p_Instance _e02b2da477550000_p_Instance _702d2da477550000_p_Instance _902f2da477550000_p_Instance _90312da477550000_p_Instance _60332da477550000_p_Instance _30352da477550000_p_Instance _00372da477550000_p_Instance _50382da477550000_p_Instance _a0392da477550000_p_Instance _f03a2da477550000_p_Instance _403c2da477550000_p_Instance _903d2da477550000_p_Instance _e03e2da477550000_p_Instance _30402da477550000_p_Instance _80412da477550000_p_Instance _30452da477550000_p_Instance _a0472da477550000_p_Instance _104a2da477550000_p_Instance _f04d2da477550000_p_Instance _60502da477550000_p_Instance _d0522da477550000_p_Instance _d0552da477550000_p_Instance _90572da477550000_p_Instance _20592da477550000_p_Instance _f05b2da477550000_p_Instance _b05d2da477550000_p_Instance _405f2da477550000_p_Instance _10622da477550000_p_Instance _d0632da477550000_p_Instance _60652da477550000_p_Instance _30682da477550000_p_Instance _f0692da477550000_p_Instance _806b2da477550000_p_Instance _506e2da477550000_p_Instance _10702da477550000_p_Instance _a0712da477550000_p_Instance _50752da477550000_p_Instance _c0772da477550000_p_Instance _307a2da477550000_p_Instance _307d2da477550000_p_Instance _f07e2da477550000_p_Instance _80802da477550000_p_Instance _50832da477550000_p_Instance _10852da477550000_p_Instance _a0862da477550000_p_Instance _70892da477550000_p_Instance _308b2da477550000_p_Instance _c08c2da477550000_p_Instance _908f2da477550000_p_Instance _50912da477550000_p_Instance _e0922da477550000_p_Instance _b0952da477550000_p_Instance _70972da477550000_p_Instance _00992da477550000_p_Instance _d09b2da477550000_p_Instance _909d2da477550000_p_Instance _209f2da477550000_p_Instance _f0a12da477550000_p_Instance _b0a32da477550000_p_Instance _40a52da477550000_p_Instance _10a82da477550000_p_Instance _d0a92da477550000_p_Instance _60ab2da477550000_p_Instance _10af2da477550000_p_Instance _80b12da477550000_p_Instance _f0b32da477550000_p_Instance _f0b62da477550000_p_Instance _b0b82da477550000_p_Instance _40ba2da477550000_p_Instance _10bd2da477550000_p_Instance _d0be2da477550000_p_Instance _60c02da477550000_p_Instance _30c32da477550000_p_Instance _f0c42da477550000_p_Instance _80c62da477550000_p_Instance _50c92da477550000_p_Instance _10cb2da477550000_p_Instance _a0cc2da477550000_p_Instance _70cf2da477550000_p_Instance _30d12da477550000_p_Instance _c0d22da477550000_p_Instance _90d52da477550000_p_Instance _50d72da477550000_p_Instance _e0d82da477550000_p_Instance _b0db2da477550000_p_Instance _70dd2da477550000_p_Instance _00df2da477550000_p_Instance _d0e12da477550000_p_Instance _90e32da477550000_p_Instance _20e52da477550000_p_Instance _f0e72da477550000_p_Instance _b0e92da477550000_p_Instance _40eb2da477550000_p_Instance _10ee2da477550000_p_Instance _d0ef2da477550000_p_Instance _60f12da477550000_p_Instance _30f42da477550000_p_Instance _f0f52da477550000_p_Instance _80f72da477550000_p_Instance _50fa2da477550000_p_Instance _10fc2da477550000_p_Instance _a0fd2da477550000_p_Instance _70002ea477550000_p_Instance _30022ea477550000_p_Instance _c0032ea477550000_p_Instance _90062ea477550000_p_Instance _50082ea477550000_p_Instance _e0092ea477550000_p_Instance _b00c2ea477550000_p_Instance _700e2ea477550000_p_Instance _00102ea477550000_p_Instance _20122ea477550000_p_Instance _20142ea477550000_p_Instance _f0152ea477550000_p_Instance _c0172ea477550000_p_Instance _90192ea477550000_p_Instance _e01a2ea477550000_p_Instance _301c2ea477550000_p_Instance _801d2ea477550000_p_Instance _d01e2ea477550000_p_Instance _20202ea477550000_p_Instance _70212ea477550000_p_Instance _c0222ea477550000_p_Instance _10242ea477550000_p_Instance _c0272ea477550000_p_Instance _302a2ea477550000_p_Instance _a02c2ea477550000_p_Instance _80302ea477550000_p_Instance _f0322ea477550000_p_Instance _60352ea477550000_p_Instance _60382ea477550000_p_Instance _203a2ea477550000_p_Instance _b03b2ea477550000_p_Instance _803e2ea477550000_p_Instance _40402ea477550000_p_Instance _d0412ea477550000_p_Instance _a0442ea477550000_p_Instance _60462ea477550000_p_Instance _f0472ea477550000_p_Instance _c04a2ea477550000_p_Instance _804c2ea477550000_p_Instance _104e2ea477550000_p_Instance _e0502ea477550000_p_Instance _a0522ea477550000_p_Instance _30542ea477550000_p_Instance _e0572ea477550000_p_Instance _505a2ea477550000_p_Instance _c05c2ea477550000_p_Instance _c05f2ea477550000_p_Instance _80612ea477550000_p_Instance _10632ea477550000_p_Instance _e0652ea477550000_p_Instance _a0672ea477550000_p_Instance _30692ea477550000_p_Instance _006c2ea477550000_p_Instance _c06d2ea477550000_p_Instance _506f2ea477550000_p_Instance _20722ea477550000_p_Instance _e0732ea477550000_p_Instance _70752ea477550000_p_Instance _40782ea477550000_p_Instance _007a2ea477550000_p_Instance _907b2ea477550000_p_Instance _607e2ea477550000_p_Instance _20802ea477550000_p_Instance _b0812ea477550000_p_Instance _80842ea477550000_p_Instance _40862ea477550000_p_Instance _d0872ea477550000_p_Instance _a08a2ea477550000_p_Instance _608c2ea477550000_p_Instance _f08d2ea477550000_p_Instance _a0912ea477550000_p_Instance _10942ea477550000_p_Instance _80962ea477550000_p_Instance _80992ea477550000_p_Instance _409b2ea477550000_p_Instance _d09c2ea477550000_p_Instance _a09f2ea477550000_p_Instance _60a12ea477550000_p_Instance _f0a22ea477550000_p_Instance _c0a52ea477550000_p_Instance _80a72ea477550000_p_Instance _10a92ea477550000_p_Instance _e0ab2ea477550000_p_Instance _a0ad2ea477550000_p_Instance _30af2ea477550000_p_Instance _00b22ea477550000_p_Instance _c0b32ea477550000_p_Instance _50b52ea477550000_p_Instance _20b82ea477550000_p_Instance _e0b92ea477550000_p_Instance _70bb2ea477550000_p_Instance _40be2ea477550000_p_Instance _00c02ea477550000_p_Instance _90c12ea477550000_p_Instance _60c42ea477550000_p_Instance _20c62ea477550000_p_Instance _b0c72ea477550000_p_Instance _80ca2ea477550000_p_Instance _40cc2ea477550000_p_Instance _d0cd2ea477550000_p_Instance _a0d02ea477550000_p_Instance _60d22ea477550000_p_Instance _f0d32ea477550000_p_Instance _c0d62ea477550000_p_Instance _80d82ea477550000_p_Instance _10da2ea477550000_p_Instance _e0dc2ea477550000_p_Instance _a0de2ea477550000_p_Instance _30e02ea477550000_p_Instance _00e32ea477550000_p_Instance _c0e42ea477550000_p_Instance _50e62ea477550000_p_Instance _20e92ea477550000_p_Instance _e0ea2ea477550000_p_Instance _70ec2ea477550000_p_Instance _40ef2ea477550000_p_Instance _00f12ea477550000_p_Instance _90f22ea477550000_p_Instance _b0f42ea477550000_p_Instance _b0f62ea477550000_p_Instance _80f82ea477550000_p_Instance _50fa2ea477550000_p_Instance _20fc2ea477550000_p_Instance _70fd2ea477550000_p_Instance _c0fe2ea477550000_p_Instance _10002fa477550000_p_Instance _60012fa477550000_p_Instance _b0022fa477550000_p_Instance _00042fa477550000_p_Instance _50052fa477550000_p_Instance _a0062fa477550000_p_Instance _500a2fa477550000_p_Instance _c00c2fa477550000_p_Instance _300f2fa477550000_p_Instance _10132fa477550000_p_Instance _80152fa477550000_p_Instance _f0172fa477550000_p_Instance _f01a2fa477550000_p_Instance _b01c2fa477550000_p_Instance _401e2fa477550000_p_Instance _10212fa477550000_p_Instance _d0222fa477550000_p_Instance _60242fa477550000_p_Instance _30272fa477550000_p_Instance _f0282fa477550000_p_Instance _802a2fa477550000_p_Instance _502d2fa477550000_p_Instance _102f2fa477550000_p_Instance _a0302fa477550000_p_Instance _70332fa477550000_p_Instance _30352fa477550000_p_Instance _c0362fa477550000_p_Instance _703a2fa477550000_p_Instance _e03c2fa477550000_p_Instance _503f2fa477550000_p_Instance _50422fa477550000_p_Instance _10442fa477550000_p_Instance _a0452fa477550000_p_Instance _70482fa477550000_p_Instance _304a2fa477550000_p_Instance _c04b2fa477550000_p_Instance _904e2fa477550000_p_Instance _50502fa477550000_p_Instance _e0512fa477550000_p_Instance _b0542fa477550000_p_Instance _70562fa477550000_p_Instance _00582fa477550000_p_Instance _d05a2fa477550000_p_Instance _905c2fa477550000_p_Instance _205e2fa477550000_p_Instance _f0602fa477550000_p_Instance _b0622fa477550000_p_Instance _40642fa477550000_p_Instance _10672fa477550000_p_Instance _d0682fa477550000_p_Instance _606a2fa477550000_p_Instance _306d2fa477550000_p_Instance _f06e2fa477550000_p_Instance _80702fa477550000_p_Instance _30742fa477550000_p_Instance _a0762fa477550000_p_Instance _10792fa477550000_p_Instance _107c2fa477550000_p_Instance _d07d2fa477550000_p_Instance _607f2fa477550000_p_Instance _30822fa477550000_p_Instance _f0832fa477550000_p_Instance _80852fa477550000_p_Instance _50882fa477550000_p_Instance _108a2fa477550000_p_Instance _a08b2fa477550000_p_Instance _708e2fa477550000_p_Instance _30902fa477550000_p_Instance _c0912fa477550000_p_Instance _90942fa477550000_p_Instance _50962fa477550000_p_Instance _e0972fa477550000_p_Instance _b09a2fa477550000_p_Instance _709c2fa477550000_p_Instance _009e2fa477550000_p_Instance _d0a02fa477550000_p_Instance _90a22fa477550000_p_Instance _20a42fa477550000_p_Instance _f0a62fa477550000_p_Instance _b0a82fa477550000_p_Instance _40aa2fa477550000_p_Instance _10ad2fa477550000_p_Instance _d0ae2fa477550000_p_Instance _60b02fa477550000_p_Instance _30b32fa477550000_p_Instance _f0b42fa477550000_p_Instance _80b62fa477550000_p_Instance _50b92fa477550000_p_Instance _10bb2fa477550000_p_Instance _a0bc2fa477550000_p_Instance _70bf2fa477550000_p_Instance _30c12fa477550000_p_Instance _c0c22fa477550000_p_Instance _90c52fa477550000_p_Instance _50c72fa477550000_p_Instance _e0c82fa477550000_p_Instance _b0cb2fa477550000_p_Instance _70cd2fa477550000_p_Instance _00cf2fa477550000_p_Instance _d0d12fa477550000_p_Instance _90d32fa477550000_p_Instance _20d52fa477550000_p_Instance _40d72fa477550000_p_Instance _40d92fa477550000_p_Instance _10db2fa477550000_p_Instance _e0dc2fa477550000_p_Instance _b0de2fa477550000_p_Instance _00e02fa477550000_p_Instance _50e12fa477550000_p_Instance _a0e22fa477550000_p_Instance _f0e32fa477550000_p_Instance _40e52fa477550000_p_Instance _90e62fa477550000_p_Instance _e0e72fa477550000_p_Instance _30e92fa477550000_p_Instance _e0ec2fa477550000_p_Instance _50ef2fa477550000_p_Instance _c0f12fa477550000_p_Instance _a0f52fa477550000_p_Instance _10f82fa477550000_p_Instance _80fa2fa477550000_p_Instance _80fd2fa477550000_p_Instance _40ff2fa477550000_p_Instance _d00030a477550000_p_Instance _a00330a477550000_p_Instance _600530a477550000_p_Instance _f00630a477550000_p_Instance _c00930a477550000_p_Instance _800b30a477550000_p_Instance _100d30a477550000_p_Instance _e00f30a477550000_p_Instance _a01130a477550000_p_Instance _301330a477550000_p_Instance _001630a477550000_p_Instance _c01730a477550000_p_Instance _501930a477550000_p_Instance _001d30a477550000_p_Instance _701f30a477550000_p_Instance _e02130a477550000_p_Instance _e02430a477550000_p_Instance _a02630a477550000_p_Instance _302830a477550000_p_Instance _002b30a477550000_p_Instance _c02c30a477550000_p_Instance _502e30a477550000_p_Instance _203130a477550000_p_Instance _e03230a477550000_p_Instance _703430a477550000_p_Instance _403730a477550000_p_Instance _003930a477550000_p_Instance _903a30a477550000_p_Instance _603d30a477550000_p_Instance _203f30a477550000_p_Instance _b04030a477550000_p_Instance _804330a477550000_p_Instance _404530a477550000_p_Instance _d04630a477550000_p_Instance _a04930a477550000_p_Instance _604b30a477550000_p_Instance _f04c30a477550000_p_Instance _c04f30a477550000_p_Instance _805130a477550000_p_Instance _105330a477550000_p_Instance _c05630a477550000_p_Instance _305930a477550000_p_Instance _a05b30a477550000_p_Instance _a05e30a477550000_p_Instance _606030a477550000_p_Instance _f06130a477550000_p_Instance _c06430a477550000_p_Instance _806630a477550000_p_Instance _106830a477550000_p_Instance _e06a30a477550000_p_Instance _a06c30a477550000_p_Instance _306e30a477550000_p_Instance _007130a477550000_p_Instance _c07230a477550000_p_Instance _507430a477550000_p_Instance _207730a477550000_p_Instance _e07830a477550000_p_Instance _707a30a477550000_p_Instance _407d30a477550000_p_Instance _007f30a477550000_p_Instance _908030a477550000_p_Instance _608330a477550000_p_Instance _208530a477550000_p_Instance _b08630a477550000_p_Instance _808930a477550000_p_Instance _408b30a477550000_p_Instance _d08c30a477550000_p_Instance _a08f30a477550000_p_Instance _609130a477550000_p_Instance _f09230a477550000_p_Instance _c09530a477550000_p_Instance _809730a477550000_p_Instance _109930a477550000_p_Instance _e09b30a477550000_p_Instance _a09d30a477550000_p_Instance _309f30a477550000_p_Instance _00a230a477550000_p_Instance _c0a330a477550000_p_Instance _50a530a477550000_p_Instance _20a830a477550000_p_Instance _e0a930a477550000_p_Instance _70ab30a477550000_p_Instance _40ae30a477550000_p_Instance _00b030a477550000_p_Instance _90b130a477550000_p_Instance _60b430a477550000_p_Instance _20b630a477550000_p_Instance _b0b730a477550000_p_Instance _d0b930a477550000_p_Instance _d0bb30a477550000_p_Instance _a0bd30a477550000_p_Instance _70bf30a477550000_p_Instance _40c130a477550000_p_Instance _90c230a477550000_p_Instance _e0c330a477550000_p_Instance _30c530a477550000_p_Instance _80c630a477550000_p_Instance _d0c730a477550000_p_Instance _20c930a477550000_p_Instance _70ca30a477550000_p_Instance _c0cb30a477550000_p_Instance _70cf30a477550000_p_Instance _e0d130a477550000_p_Instance _50d430a477550000_p_Instance _30d830a477550000_p_Instance _a0da30a477550000_p_Instance _10dd30a477550000_p_Instance _10e030a477550000_p_Instance _d0e130a477550000_p_Instance _60e330a477550000_p_Instance _30e630a477550000_p_Instance _f0e730a477550000_p_Instance _80e930a477550000_p_Instance _50ec30a477550000_p_Instance _10ee30a477550000_p_Instance _a0ef30a477550000_p_Instance _70f230a477550000_p_Instance _30f430a477550000_p_Instance _c0f530a477550000_p_Instance _90f830a477550000_p_Instance _50fa30a477550000_p_Instance _e0fb30a477550000_p_Instance _90ff30a477550000_p_Instance _000231a477550000_p_Instance _700431a477550000_p_Instance _700731a477550000_p_Instance _300931a477550000_p_Instance _c00a31a477550000_p_Instance _900d31a477550000_p_Instance _500f31a477550000_p_Instance _e01031a477550000_p_Instance _b01331a477550000_p_Instance _701531a477550000_p_Instance _001731a477550000_p_Instance _d01931a477550000_p_Instance _901b31a477550000_p_Instance _201d31a477550000_p_Instance _f01f31a477550000_p_Instance _b02131a477550000_p_Instance _402331a477550000_p_Instance _102631a477550000_p_Instance _d02731a477550000_p_Instance _602931a477550000_p_Instance _302c31a477550000_p_Instance _f02d31a477550000_p_Instance _802f31a477550000_p_Instance _503231a477550000_p_Instance _103431a477550000_p_Instance _a03531a477550000_p_Instance _503931a477550000_p_Instance _c03b31a477550000_p_Instance _303e31a477550000_p_Instance _304131a477550000_p_Instance _f04231a477550000_p_Instance _804431a477550000_p_Instance _504731a477550000_p_Instance _104931a477550000_p_Instance _a04a31a477550000_p_Instance _704d31a477550000_p_Instance _304f31a477550000_p_Instance _c05031a477550000_p_Instance _905331a477550000_p_Instance _505531a477550000_p_Instance _e05631a477550000_p_Instance _b05931a477550000_p_Instance _705b31a477550000_p_Instance _005d31a477550000_p_Instance _d05f31a477550000_p_Instance _906131a477550000_p_Instance _206331a477550000_p_Instance _f06531a477550000_p_Instance _b06731a477550000_p_Instance _406931a477550000_p_Instance _106c31a477550000_p_Instance _d06d31a477550000_p_Instance _606f31a477550000_p_Instance _307231a477550000_p_Instance _f07331a477550000_p_Instance _807531a477550000_p_Instance _507831a477550000_p_Instance _107a31a477550000_p_Instance _a07b31a477550000_p_Instance _707e31a477550000_p_Instance _308031a477550000_p_Instance _c08131a477550000_p_Instance _908431a477550000_p_Instance _508631a477550000_p_Instance _e08731a477550000_p_Instance _b08a31a477550000_p_Instance _708c31a477550000_p_Instance _008e31a477550000_p_Instance _d09031a477550000_p_Instance _909231a477550000_p_Instance _209431a477550000_p_Instance _f09631a477550000_p_Instance _b09831a477550000_p_Instance _409a31a477550000_p_Instance _609c31a477550000_p_Instance _609e31a477550000_p_Instance _30a031a477550000_p_Instance _00a231a477550000_p_Instance _d0a331a477550000_p_Instance _20a531a477550000_p_Instance _70a631a477550000_p_Instance _c0a731a477550000_p_Instance _10a931a477550000_p_Instance _60aa31a477550000_p_Instance _b0ab31a477550000_p_Instance _00ad31a477550000_p_Instance _50ae31a477550000_p_Instance _00b231a477550000_p_Instance _70b431a477550000_p_Instance _e0b631a477550000_p_Instance _c0ba31a477550000_p_Instance _30bd31a477550000_p_Instance _a0bf31a477550000_p_Instance _a0c231a477550000_p_Instance _60c431a477550000_p_Instance _f0c531a477550000_p_Instance _c0c831a477550000_p_Instance _80ca31a477550000_p_Instance _10cc31a477550000_p_Instance _e0ce31a477550000_p_Instance _a0d031a477550000_p_Instance _30d231a477550000_p_Instance _00d531a477550000_p_Instance _c0d631a477550000_p_Instance _50d831a477550000_p_Instance _20db31a477550000_p_Instance _e0dc31a477550000_p_Instance _70de31a477550000_p_Instance _20e231a477550000_p_Instance _90e431a477550000_p_Instance _00e731a477550000_p_Instance _00ea31a477550000_p_Instance _c0eb31a477550000_p_Instance _50ed31a477550000_p_Instance _20f031a477550000_p_Instance _e0f131a477550000_p_Instance _70f331a477550000_p_Instance _40f631a477550000_p_Instance _00f831a477550000_p_Instance _90f931a477550000_p_Instance _60fc31a477550000_p_Instance _20fe31a477550000_p_Instance _b0ff31a477550000_p_Instance _800232a477550000_p_Instance _400432a477550000_p_Instance _d00532a477550000_p_Instance _a00832a477550000_p_Instance _600a32a477550000_p_Instance _f00b32a477550000_p_Instance _c00e32a477550000_p_Instance _801032a477550000_p_Instance _101232a477550000_p_Instance _e01432a477550000_p_Instance _a01632a477550000_p_Instance _301832a477550000_p_Instance _e01b32a477550000_p_Instance _501e32a477550000_p_Instance _c02032a477550000_p_Instance _c02332a477550000_p_Instance _802532a477550000_p_Instance _102732a477550000_p_Instance _e02932a477550000_p_Instance _a02b32a477550000_p_Instance _302d32a477550000_p_Instance _003032a477550000_p_Instance _c03132a477550000_p_Instance _503332a477550000_p_Instance _203632a477550000_p_Instance _e03732a477550000_p_Instance _703932a477550000_p_Instance _403c32a477550000_p_Instance _003e32a477550000_p_Instance _903f32a477550000_p_Instance _604232a477550000_p_Instance _204432a477550000_p_Instance _b04532a477550000_p_Instance _804832a477550000_p_Instance _404a32a477550000_p_Instance _d04b32a477550000_p_Instance _a04e32a477550000_p_Instance _605032a477550000_p_Instance _f05132a477550000_p_Instance _c05432a477550000_p_Instance _805632a477550000_p_Instance _105832a477550000_p_Instance _e05a32a477550000_p_Instance _a05c32a477550000_p_Instance _305e32a477550000_p_Instance _006132a477550000_p_Instance _c06232a477550000_p_Instance _506432a477550000_p_Instance _206732a477550000_p_Instance _e06832a477550000_p_Instance _706a32a477550000_p_Instance _406d32a477550000_p_Instance _006f32a477550000_p_Instance _907032a477550000_p_Instance _607332a477550000_p_Instance _207532a477550000_p_Instance _b07632a477550000_p_Instance _807932a477550000_p_Instance _407b32a477550000_p_Instance _d07c32a477550000_p_Instance _f07e32a477550000_p_Instance _f08032a477550000_p_Instance _c08232a477550000_p_Instance _908432a477550000_p_Instance _608632a477550000_p_Instance _b08732a477550000_p_Instance _008932a477550000_p_Instance _508a32a477550000_p_Instance _a08b32a477550000_p_Instance _f08c32a477550000_p_Instance _408e32a477550000_p_Instance _908f32a477550000_p_Instance _e09032a477550000_p_Instance _809432a477550000_p_Instance _f09632a477550000_p_Instance _609932a477550000_p_Instance _309d32a477550000_p_Instance _a09f32a477550000_p_Instance _10a232a477550000_p_Instance _10a532a477550000_p_Instance _d0a632a477550000_p_Instance _60a832a477550000_p_Instance _30ab32a477550000_p_Instance _f0ac32a477550000_p_Instance _80ae32a477550000_p_Instance _50b132a477550000_p_Instance _10b332a477550000_p_Instance _a0b432a477550000_p_Instance _70b732a477550000_p_Instance _30b932a477550000_p_Instance _c0ba32a477550000_p_Instance _90bd32a477550000_p_Instance _50bf32a477550000_p_Instance _e0c032a477550000_p_Instance _80c432a477550000_p_Instance _f0c632a477550000_p_Instance _60c932a477550000_p_Instance _60cc32a477550000_p_Instance _20ce32a477550000_p_Instance _b0cf32a477550000_p_Instance _80d232a477550000_p_Instance _40d432a477550000_p_Instance _d0d532a477550000_p_Instance _a0d832a477550000_p_Instance _60da32a477550000_p_Instance _f0db32a477550000_p_Instance _c0de32a477550000_p_Instance _80e032a477550000_p_Instance _10e232a477550000_p_Instance _e0e432a477550000_p_Instance _a0e632a477550000_p_Instance _30e832a477550000_p_Instance _00eb32a477550000_p_Instance _c0ec32a477550000_p_Instance _50ee32a477550000_p_Instance _20f132a477550000_p_Instance _e0f232a477550000_p_Instance _70f432a477550000_p_Instance _40f732a477550000_p_Instance _00f932a477550000_p_Instance _90fa32a477550000_p_Instance _30fe32a477550000_p_Instance _a00033a477550000_p_Instance _100333a477550000_p_Instance _100633a477550000_p_Instance _d00733a477550000_p_Instance _600933a477550000_p_Instance _300c33a477550000_p_Instance _f00d33a477550000_p_Instance _800f33a477550000_p_Instance _501233a477550000_p_Instance _101433a477550000_p_Instance _a01533a477550000_p_Instance _701833a477550000_p_Instance _301a33a477550000_p_Instance _c01b33a477550000_p_Instance _901e33a477550000_p_Instance _502033a477550000_p_Instance _e02133a477550000_p_Instance _b02433a477550000_p_Instance _702633a477550000_p_Instance _002833a477550000_p_Instance _d02a33a477550000_p_Instance _902c33a477550000_p_Instance _202e33a477550000_p_Instance _f03033a477550000_p_Instance _b03233a477550000_p_Instance _403433a477550000_p_Instance _103733a477550000_p_Instance _d03833a477550000_p_Instance _603a33a477550000_p_Instance _303d33a477550000_p_Instance _f03e33a477550000_p_Instance _804033a477550000_p_Instance _504333a477550000_p_Instance _104533a477550000_p_Instance _a04633a477550000_p_Instance _704933a477550000_p_Instance _304b33a477550000_p_Instance _c04c33a477550000_p_Instance _904f33a477550000_p_Instance _505133a477550000_p_Instance _e05233a477550000_p_Instance _b05533a477550000_p_Instance _705733a477550000_p_Instance _005933a477550000_p_Instance _d05b33a477550000_p_Instance _905d33a477550000_p_Instance _205f33a477550000_p_Instance _406133a477550000_p_Instance _406333a477550000_p_Instance _106533a477550000_p_Instance _e06633a477550000_p_Instance _b06833a477550000_p_Instance _f06933a477550000_p_Instance _306b33a477550000_p_Instance _706c33a477550000_p_Instance _b06d33a477550000_p_Instance _f06e33a477550000_p_Instance _307033a477550000_p_Instance _707133a477550000_p_Instance _b07233a477550000_p_Instance _607633a477550000_p_Instance _d07833a477550000_p_Instance _407b33a477550000_p_Instance _207f33a477550000_p_Instance _908133a477550000_p_Instance _008433a477550000_p_Instance _008733a477550000_p_Instance _c08833a477550000_p_Instance _508a33a477550000_p_Instance _208d33a477550000_p_Instance _e08e33a477550000_p_Instance _709033a477550000_p_Instance _409333a477550000_p_Instance _009533a477550000_p_Instance _909633a477550000_p_Instance _609933a477550000_p_Instance _209b33a477550000_p_Instance _b09c33a477550000_p_Instance _809f33a477550000_p_Instance _40a133a477550000_p_Instance _d0a233a477550000_p_Instance _80a633a477550000_p_Instance _f0a833a477550000_p_Instance _60ab33a477550000_p_Instance _60ae33a477550000_p_Instance _20b033a477550000_p_Instance _b0b133a477550000_p_Instance _80b433a477550000_p_Instance _40b633a477550000_p_Instance _d0b733a477550000_p_Instance _a0ba33a477550000_p_Instance _60bc33a477550000_p_Instance _f0bd33a477550000_p_Instance _c0c033a477550000_p_Instance _80c233a477550000_p_Instance _10c433a477550000_p_Instance _e0c633a477550000_p_Instance _a0c833a477550000_p_Instance _30ca33a477550000_p_Instance _00cd33a477550000_p_Instance _c0ce33a477550000_p_Instance _50d033a477550000_p_Instance _20d333a477550000_p_Instance _e0d433a477550000_p_Instance _70d633a477550000_p_Instance _40d933a477550000_p_Instance _00db33a477550000_p_Instance _90dc33a477550000_p_Instance _40e033a477550000_p_Instance _b0e233a477550000_p_Instance _20e533a477550000_p_Instance _20e833a477550000_p_Instance _e0e933a477550000_p_Instance _70eb33a477550000_p_Instance _40ee33a477550000_p_Instance _00f033a477550000_p_Instance _90f133a477550000_p_Instance _60f433a477550000_p_Instance _20f633a477550000_p_Instance _b0f733a477550000_p_Instance _80fa33a477550000_p_Instance _40fc33a477550000_p_Instance _d0fd33a477550000_p_Instance _a00034a477550000_p_Instance _600234a477550000_p_Instance _f00334a477550000_p_Instance _c00634a477550000_p_Instance _800834a477550000_p_Instance _100a34a477550000_p_Instance _e00c34a477550000_p_Instance _a00e34a477550000_p_Instance _301034a477550000_p_Instance _001334a477550000_p_Instance _c01434a477550000_p_Instance _501634a477550000_p_Instance _201934a477550000_p_Instance _e01a34a477550000_p_Instance _701c34a477550000_p_Instance _401f34a477550000_p_Instance _002134a477550000_p_Instance _902234a477550000_p_Instance _602534a477550000_p_Instance _202734a477550000_p_Instance _b02834a477550000_p_Instance _802b34a477550000_p_Instance _402d34a477550000_p_Instance _d02e34a477550000_p_Instance _a03134a477550000_p_Instance _603334a477550000_p_Instance _f03434a477550000_p_Instance _c03734a477550000_p_Instance _803934a477550000_p_Instance _103b34a477550000_p_Instance _e03d34a477550000_p_Instance _a03f34a477550000_p_Instance _304134a477550000_p_Instance _504334a477550000_p_Instance _504534a477550000_p_Instance _204734a477550000_p_Instance _f04834a477550000_p_Instance _c04a34a477550000_p_Instance _104c34a477550000_p_Instance _604d34a477550000_p_Instance _b04e34a477550000_p_Instance _005034a477550000_p_Instance _505134a477550000_p_Instance _a05234a477550000_p_Instance _f05334a477550000_p_Instance _405534a477550000_p_Instance _f05834a477550000_p_Instance _605b34a477550000_p_Instance _d05d34a477550000_p_Instance _b06134a477550000_p_Instance _206434a477550000_p_Instance _906634a477550000_p_Instance _906934a477550000_p_Instance _506b34a477550000_p_Instance _e06c34a477550000_p_Instance _b06f34a477550000_p_Instance _707134a477550000_p_Instance _007334a477550000_p_Instance _d07534a477550000_p_Instance _907734a477550000_p_Instance _207934a477550000_p_Instance _f07b34a477550000_p_Instance _b07d34a477550000_p_Instance _407f34a477550000_p_Instance _108234a477550000_p_Instance _d08334a477550000_p_Instance _608534a477550000_p_Instance _108934a477550000_p_Instance _808b34a477550000_p_Instance _f08d34a477550000_p_Instance _f09034a477550000_p_Instance _b09234a477550000_p_Instance _409434a477550000_p_Instance _109734a477550000_p_Instance _d09834a477550000_p_Instance _609a34a477550000_p_Instance _309d34a477550000_p_Instance _f09e34a477550000_p_Instance _80a034a477550000_p_Instance _50a334a477550000_p_Instance _10a534a477550000_p_Instance _a0a634a477550000_p_Instance _70a934a477550000_p_Instance _30ab34a477550000_p_Instance _c0ac34a477550000_p_Instance _90af34a477550000_p_Instance _50b134a477550000_p_Instance _e0b234a477550000_p_Instance _b0b534a477550000_p_Instance _70b734a477550000_p_Instance _00b934a477550000_p_Instance _d0bb34a477550000_p_Instance _90bd34a477550000_p_Instance _20bf34a477550000_p_Instance _d0c234a477550000_p_Instance _40c534a477550000_p_Instance _b0c734a477550000_p_Instance _b0ca34a477550000_p_Instance _70cc34a477550000_p_Instance _00ce34a477550000_p_Instance _d0d034a477550000_p_Instance _90d234a477550000_p_Instance _20d434a477550000_p_Instance _f0d634a477550000_p_Instance _b0d834a477550000_p_Instance _40da34a477550000_p_Instance _10dd34a477550000_p_Instance _d0de34a477550000_p_Instance _60e034a477550000_p_Instance _30e334a477550000_p_Instance _f0e434a477550000_p_Instance _80e634a477550000_p_Instance _50e934a477550000_p_Instance _10eb34a477550000_p_Instance _a0ec34a477550000_p_Instance _70ef34a477550000_p_Instance _30f134a477550000_p_Instance _c0f234a477550000_p_Instance _90f534a477550000_p_Instance _50f734a477550000_p_Instance _e0f834a477550000_p_Instance _b0fb34a477550000_p_Instance _70fd34a477550000_p_Instance _00ff34a477550000_p_Instance _d00135a477550000_p_Instance _900335a477550000_p_Instance _200535a477550000_p_Instance _f00735a477550000_p_Instance _b00935a477550000_p_Instance _400b35a477550000_p_Instance _100e35a477550000_p_Instance _d00f35a477550000_p_Instance _601135a477550000_p_Instance _301435a477550000_p_Instance _f01535a477550000_p_Instance _801735a477550000_p_Instance _501a35a477550000_p_Instance _101c35a477550000_p_Instance _a01d35a477550000_p_Instance _702035a477550000_p_Instance _302235a477550000_p_Instance _c02335a477550000_p_Instance _e02535a477550000_p_Instance _e02735a477550000_p_Instance _b02935a477550000_p_Instance _802b35a477550000_p_Instance _502d35a477550000_p_Instance _a02e35a477550000_p_Instance _f02f35a477550000_p_Instance _403135a477550000_p_Instance _903235a477550000_p_Instance _e03335a477550000_p_Instance _303535a477550000_p_Instance _803635a477550000_p_Instance _d03735a477550000_p_Instance _703b35a477550000_p_Instance _e03d35a477550000_p_Instance _504035a477550000_p_Instance _204435a477550000_p_Instance _904635a477550000_p_Instance _004935a477550000_p_Instance _004c35a477550000_p_Instance _c04d35a477550000_p_Instance _504f35a477550000_p_Instance _205235a477550000_p_Instance _e05335a477550000_p_Instance _705535a477550000_p_Instance _405835a477550000_p_Instance _005a35a477550000_p_Instance _905b35a477550000_p_Instance _605e35a477550000_p_Instance _206035a477550000_p_Instance _b06135a477550000_p_Instance _806435a477550000_p_Instance _406635a477550000_p_Instance _d06735a477550000_p_Instance _706b35a477550000_p_Instance _e06d35a477550000_p_Instance _507035a477550000_p_Instance _507335a477550000_p_Instance _107535a477550000_p_Instance _a07635a477550000_p_Instance _707935a477550000_p_Instance _307b35a477550000_p_Instance _c07c35a477550000_p_Instance _907f35a477550000_p_Instance _508135a477550000_p_Instance _e08235a477550000_p_Instance _b08535a477550000_p_Instance _708735a477550000_p_Instance _008935a477550000_p_Instance _d08b35a477550000_p_Instance _908d35a477550000_p_Instance _208f35a477550000_p_Instance _f09135a477550000_p_Instance _b09335a477550000_p_Instance _409535a477550000_p_Instance _109835a477550000_p_Instance _d09935a477550000_p_Instance _609b35a477550000_p_Instance _309e35a477550000_p_Instance _f09f35a477550000_p_Instance _80a135a477550000_p_Instance _20a535a477550000_p_Instance _90a735a477550000_p_Instance _00aa35a477550000_p_Instance _00ad35a477550000_p_Instance _c0ae35a477550000_p_Instance _50b035a477550000_p_Instance _20b335a477550000_p_Instance _e0b435a477550000_p_Instance _70b635a477550000_p_Instance _40b935a477550000_p_Instance _00bb35a477550000_p_Instance _90bc35a477550000_p_Instance _60bf35a477550000_p_Instance _20c135a477550000_p_Instance _b0c235a477550000_p_Instance _80c535a477550000_p_Instance _40c735a477550000_p_Instance _d0c835a477550000_p_Instance _a0cb35a477550000_p_Instance _60cd35a477550000_p_Instance _f0ce35a477550000_p_Instance _c0d135a477550000_p_Instance _80d335a477550000_p_Instance _10d535a477550000_p_Instance _e0d735a477550000_p_Instance _a0d935a477550000_p_Instance _30db35a477550000_p_Instance _00de35a477550000_p_Instance _c0df35a477550000_p_Instance _50e135a477550000_p_Instance _20e435a477550000_p_Instance _e0e535a477550000_p_Instance _70e735a477550000_p_Instance _40ea35a477550000_p_Instance _00ec35a477550000_p_Instance _90ed35a477550000_p_Instance _60f035a477550000_p_Instance _20f235a477550000_p_Instance _b0f335a477550000_p_Instance _80f635a477550000_p_Instance _40f835a477550000_p_Instance _d0f935a477550000_p_Instance _a0fc35a477550000_p_Instance _60fe35a477550000_p_Instance _f0ff35a477550000_p_Instance _c00236a477550000_p_Instance _800436a477550000_p_Instance _100636a477550000_p_Instance _300836a477550000_p_Instance _300a36a477550000_p_Instance _000c36a477550000_p_Instance _d00d36a477550000_p_Instance _a00f36a477550000_p_Instance _e01036a477550000_p_Instance _201236a477550000_p_Instance _601336a477550000_p_Instance _a01436a477550000_p_Instance _e01536a477550000_p_Instance _201736a477550000_p_Instance _601836a477550000_p_Instance _a01936a477550000_p_Instance _401d36a477550000_p_Instance _b01f36a477550000_p_Instance _202236a477550000_p_Instance _f02536a477550000_p_Instance _602836a477550000_p_Instance _d02a36a477550000_p_Instance _d02d36a477550000_p_Instance _902f36a477550000_p_Instance _203136a477550000_p_Instance _f03336a477550000_p_Instance _b03536a477550000_p_Instance _403736a477550000_p_Instance _103a36a477550000_p_Instance _d03b36a477550000_p_Instance _603d36a477550000_p_Instance _304036a477550000_p_Instance _f04136a477550000_p_Instance _804336a477550000_p_Instance _504636a477550000_p_Instance _104836a477550000_p_Instance _a04936a477550000_p_Instance _404d36a477550000_p_Instance _b04f36a477550000_p_Instance _205236a477550000_p_Instance _205536a477550000_p_Instance _e05636a477550000_p_Instance _705836a477550000_p_Instance _405b36a477550000_p_Instance _005d36a477550000_p_Instance _905e36a477550000_p_Instance _606136a477550000_p_Instance _206336a477550000_p_Instance _b06436a477550000_p_Instance _806736a477550000_p_Instance _406936a477550000_p_Instance _d06a36a477550000_p_Instance _a06d36a477550000_p_Instance _606f36a477550000_p_Instance _f07036a477550000_p_Instance _c07336a477550000_p_Instance _807536a477550000_p_Instance _107736a477550000_p_Instance _e07936a477550000_p_Instance _a07b36a477550000_p_Instance _307d36a477550000_p_Instance _008036a477550000_p_Instance _c08136a477550000_p_Instance _508336a477550000_p_Instance _f08636a477550000_p_Instance _608936a477550000_p_Instance _d08b36a477550000_p_Instance _d08e36a477550000_p_Instance _909036a477550000_p_Instance _209236a477550000_p_Instance _f09436a477550000_p_Instance _b09636a477550000_p_Instance _409836a477550000_p_Instance _109b36a477550000_p_Instance _d09c36a477550000_p_Instance _609e36a477550000_p_Instance _30a136a477550000_p_Instance _f0a236a477550000_p_Instance _80a436a477550000_p_Instance _50a736a477550000_p_Instance _10a936a477550000_p_Instance _a0aa36a477550000_p_Instance _70ad36a477550000_p_Instance _30af36a477550000_p_Instance _c0b036a477550000_p_Instance _90b336a477550000_p_Instance _50b536a477550000_p_Instance _e0b636a477550000_p_Instance _b0b936a477550000_p_Instance _70bb36a477550000_p_Instance _00bd36a477550000_p_Instance _d0bf36a477550000_p_Instance _90c136a477550000_p_Instance _20c336a477550000_p_Instance _f0c536a477550000_p_Instance _b0c736a477550000_p_Instance _40c936a477550000_p_Instance _10cc36a477550000_p_Instance _d0cd36a477550000_p_Instance _60cf36a477550000_p_Instance _30d236a477550000_p_Instance _f0d336a477550000_p_Instance _80d536a477550000_p_Instance _50d836a477550000_p_Instance _10da36a477550000_p_Instance _a0db36a477550000_p_Instance _70de36a477550000_p_Instance _30e036a477550000_p_Instance _c0e136a477550000_p_Instance _90e436a477550000_p_Instance _50e636a477550000_p_Instance _e0e736a477550000_p_Instance _00ea36a477550000_p_Instance _00ec36a477550000_p_Instance _d0ed36a477550000_p_Instance _a0ef36a477550000_p_Instance _70f136a477550000_p_Instance _b0f236a477550000_p_Instance _f0f336a477550000_p_Instance _30f536a477550000_p_Instance _70f636a477550000_p_Instance _b0f736a477550000_p_Instance _f0f836a477550000_p_Instance _30fa36a477550000_p_Instance _70fb36a477550000_p_Instance _10ff36a477550000_p_Instance _800137a477550000_p_Instance _f00337a477550000_p_Instance _c00737a477550000_p_Instance _300a37a477550000_p_Instance _a00c37a477550000_p_Instance _a00f37a477550000_p_Instance _601137a477550000_p_Instance _f01237a477550000_p_Instance _c01537a477550000_p_Instance _801737a477550000_p_Instance _101937a477550000_p_Instance _e01b37a477550000_p_Instance _a01d37a477550000_p_Instance _301f37a477550000_p_Instance _002237a477550000_p_Instance _c02337a477550000_p_Instance _502537a477550000_p_Instance _202837a477550000_p_Instance _e02937a477550000_p_Instance _702b37a477550000_p_Instance _102f37a477550000_p_Instance _803137a477550000_p_Instance _f03337a477550000_p_Instance _f03637a477550000_p_Instance _b03837a477550000_p_Instance _403a37a477550000_p_Instance _103d37a477550000_p_Instance _d03e37a477550000_p_Instance _604037a477550000_p_Instance _304337a477550000_p_Instance _f04437a477550000_p_Instance _804637a477550000_p_Instance _504937a477550000_p_Instance _104b37a477550000_p_Instance _a04c37a477550000_p_Instance _704f37a477550000_p_Instance _305137a477550000_p_Instance _c05237a477550000_p_Instance _905537a477550000_p_Instance _505737a477550000_p_Instance _e05837a477550000_p_Instance _b05b37a477550000_p_Instance _705d37a477550000_p_Instance _005f37a477550000_p_Instance _d06137a477550000_p_Instance _906337a477550000_p_Instance _206537a477550000_p_Instance _c06837a477550000_p_Instance _306b37a477550000_p_Instance _a06d37a477550000_p_Instance _a07037a477550000_p_Instance _607237a477550000_p_Instance _f07337a477550000_p_Instance _c07637a477550000_p_Instance _807837a477550000_p_Instance _107a37a477550000_p_Instance _e07c37a477550000_p_Instance _a07e37a477550000_p_Instance _308037a477550000_p_Instance _008337a477550000_p_Instance _c08437a477550000_p_Instance _508637a477550000_p_Instance _208937a477550000_p_Instance _e08a37a477550000_p_Instance _708c37a477550000_p_Instance _408f37a477550000_p_Instance _009137a477550000_p_Instance _909237a477550000_p_Instance _609537a477550000_p_Instance _209737a477550000_p_Instance _b09837a477550000_p_Instance _809b37a477550000_p_Instance _409d37a477550000_p_Instance _d09e37a477550000_p_Instance _a0a137a477550000_p_Instance _60a337a477550000_p_Instance _f0a437a477550000_p_Instance _c0a737a477550000_p_Instance _80a937a477550000_p_Instance _10ab37a477550000_p_Instance _e0ad37a477550000_p_Instance _a0af37a477550000_p_Instance _30b137a477550000_p_Instance _00b437a477550000_p_Instance _c0b537a477550000_p_Instance _50b737a477550000_p_Instance _20ba37a477550000_p_Instance _e0bb37a477550000_p_Instance _70bd37a477550000_p_Instance _40c037a477550000_p_Instance _00c237a477550000_p_Instance _90c337a477550000_p_Instance _60c637a477550000_p_Instance _20c837a477550000_p_Instance _b0c937a477550000_p_Instance _d0cb37a477550000_p_Instance _d0cd37a477550000_p_Instance _a0cf37a477550000_p_Instance _70d137a477550000_p_Instance _40d337a477550000_p_Instance _80d437a477550000_p_Instance _c0d537a477550000_p_Instance _00d737a477550000_p_Instance _40d837a477550000_p_Instance _80d937a477550000_p_Instance _c0da37a477550000_p_Instance _00dc37a477550000_p_Instance _40dd37a477550000_p_Instance _e0e037a477550000_p_Instance _50e337a477550000_p_Instance _c0e537a477550000_p_Instance _90e937a477550000_p_Instance _00ec37a477550000_p_Instance _70ee37a477550000_p_Instance _70f137a477550000_p_Instance _30f337a477550000_p_Instance _c0f437a477550000_p_Instance _90f737a477550000_p_Instance _50f937a477550000_p_Instance _e0fa37a477550000_p_Instance _b0fd37a477550000_p_Instance _70ff37a477550000_p_Instance _000138a477550000_p_Instance _d00338a477550000_p_Instance _900538a477550000_p_Instance _200738a477550000_p_Instance _f00938a477550000_p_Instance _b00b38a477550000_p_Instance _400d38a477550000_p_Instance _e01038a477550000_p_Instance _501338a477550000_p_Instance _c01538a477550000_p_Instance _c01838a477550000_p_Instance _801a38a477550000_p_Instance _101c38a477550000_p_Instance _e01e38a477550000_p_Instance _a02038a477550000_p_Instance _302238a477550000_p_Instance _002538a477550000_p_Instance _c02638a477550000_p_Instance _502838a477550000_p_Instance _202b38a477550000_p_Instance _e02c38a477550000_p_Instance _702e38a477550000_p_Instance _403138a477550000_p_Instance _003338a477550000_p_Instance _903438a477550000_p_Instance _603738a477550000_p_Instance _203938a477550000_p_Instance _b03a38a477550000_p_Instance _803d38a477550000_p_Instance _403f38a477550000_p_Instance _d04038a477550000_p_Instance _a04338a477550000_p_Instance _604538a477550000_p_Instance _f04638a477550000_p_Instance _904a38a477550000_p_Instance _004d38a477550000_p_Instance _704f38a477550000_p_Instance _705238a477550000_p_Instance _305438a477550000_p_Instance _c05538a477550000_p_Instance _905838a477550000_p_Instance _505a38a477550000_p_Instance _e05b38a477550000_p_Instance _b05e38a477550000_p_Instance _706038a477550000_p_Instance _006238a477550000_p_Instance _d06438a477550000_p_Instance _906638a477550000_p_Instance _206838a477550000_p_Instance _f06a38a477550000_p_Instance _b06c38a477550000_p_Instance _406e38a477550000_p_Instance _107138a477550000_p_Instance _d07238a477550000_p_Instance _607438a477550000_p_Instance _307738a477550000_p_Instance _f07838a477550000_p_Instance _807a38a477550000_p_Instance _507d38a477550000_p_Instance _107f38a477550000_p_Instance _a08038a477550000_p_Instance _708338a477550000_p_Instance _308538a477550000_p_Instance _c08638a477550000_p_Instance _908938a477550000_p_Instance _508b38a477550000_p_Instance _e08c38a477550000_p_Instance _b08f38a477550000_p_Instance _709138a477550000_p_Instance _009338a477550000_p_Instance _d09538a477550000_p_Instance _909738a477550000_p_Instance _209938a477550000_p_Instance _f09b38a477550000_p_Instance _b09d38a477550000_p_Instance _409f38a477550000_p_Instance _10a238a477550000_p_Instance _d0a338a477550000_p_Instance _60a538a477550000_p_Instance _30a838a477550000_p_Instance _f0a938a477550000_p_Instance _80ab38a477550000_p_Instance _a0ad38a477550000_p_Instance _a0af38a477550000_p_Instance _70b138a477550000_p_Instance _40b338a477550000_p_Instance _10b538a477550000_p_Instance _50b638a477550000_p_Instance _90b738a477550000_p_Instance _d0b838a477550000_p_Instance _10ba38a477550000_p_Instance _50bb38a477550000_p_Instance _90bc38a477550000_p_Instance _d0bd38a477550000_p_Instance _10bf38a477550000_p_Instance _b0c238a477550000_p_Instance _20c538a477550000_p_Instance _90c738a477550000_p_Instance _60cb38a477550000_p_Instance _d0cd38a477550000_p_Instance _40d038a477550000_p_Instance _40d338a477550000_p_Instance _00d538a477550000_p_Instance _90d638a477550000_p_Instance _60d938a477550000_p_Instance _20db38a477550000_p_Instance _b0dc38a477550000_p_Instance _80df38a477550000_p_Instance _40e138a477550000_p_Instance _d0e238a477550000_p_Instance _a0e538a477550000_p_Instance _60e738a477550000_p_Instance _f0e838a477550000_p_Instance _c0eb38a477550000_p_Instance _80ed38a477550000_p_Instance _10ef38a477550000_p_Instance _b0f238a477550000_p_Instance _20f538a477550000_p_Instance _90f738a477550000_p_Instance _90fa38a477550000_p_Instance _50fc38a477550000_p_Instance _e0fd38a477550000_p_Instance _b00039a477550000_p_Instance _700239a477550000_p_Instance _000439a477550000_p_Instance _d00639a477550000_p_Instance _900839a477550000_p_Instance _200a39a477550000_p_Instance _f00c39a477550000_p_Instance _b00e39a477550000_p_Instance _401039a477550000_p_Instance _101339a477550000_p_Instance _d01439a477550000_p_Instance _601639a477550000_p_Instance _301939a477550000_p_Instance _f01a39a477550000_p_Instance _801c39a477550000_p_Instance _501f39a477550000_p_Instance _102139a477550000_p_Instance _a02239a477550000_p_Instance _702539a477550000_p_Instance _302739a477550000_p_Instance _c02839a477550000_p_Instance _602c39a477550000_p_Instance _d02e39a477550000_p_Instance _403139a477550000_p_Instance _403439a477550000_p_Instance _003639a477550000_p_Instance _903739a477550000_p_Instance _603a39a477550000_p_Instance _203c39a477550000_p_Instance _b03d39a477550000_p_Instance _804039a477550000_p_Instance _404239a477550000_p_Instance _d04339a477550000_p_Instance _a04639a477550000_p_Instance _604839a477550000_p_Instance _f04939a477550000_p_Instance _c04c39a477550000_p_Instance _804e39a477550000_p_Instance _105039a477550000_p_Instance _e05239a477550000_p_Instance _a05439a477550000_p_Instance _305639a477550000_p_Instance _005939a477550000_p_Instance _c05a39a477550000_p_Instance _505c39a477550000_p_Instance _205f39a477550000_p_Instance _e06039a477550000_p_Instance _706239a477550000_p_Instance _406539a477550000_p_Instance _006739a477550000_p_Instance _906839a477550000_p_Instance _606b39a477550000_p_Instance _206d39a477550000_p_Instance _b06e39a477550000_p_Instance _807139a477550000_p_Instance _407339a477550000_p_Instance _d07439a477550000_p_Instance _a07739a477550000_p_Instance _607939a477550000_p_Instance _f07a39a477550000_p_Instance _c07d39a477550000_p_Instance _807f39a477550000_p_Instance _108139a477550000_p_Instance _e08339a477550000_p_Instance _a08539a477550000_p_Instance _308739a477550000_p_Instance _008a39a477550000_p_Instance _c08b39a477550000_p_Instance _508d39a477550000_p_Instance _708f39a477550000_p_Instance _709139a477550000_p_Instance _409339a477550000_p_Instance _109539a477550000_p_Instance _e09639a477550000_p_Instance _209839a477550000_p_Instance _609939a477550000_p_Instance _a09a39a477550000_p_Instance _e09b39a477550000_p_Instance _209d39a477550000_p_Instance _609e39a477550000_p_Instance _a09f39a477550000_p_Instance _e0a039a477550000_p_Instance _80a439a477550000_p_Instance _f0a639a477550000_p_Instance _60a939a477550000_p_Instance _30ad39a477550000_p_Instance _a0af39a477550000_p_Instance _10b239a477550000_p_Instance _10b539a477550000_p_Instance _d0b639a477550000_p_Instance _60b839a477550000_p_Instance _30bb39a477550000_p_Instance _f0bc39a477550000_p_Instance _80be39a477550000_p_Instance _50c139a477550000_p_Instance _10c339a477550000_p_Instance _a0c439a477550000_p_Instance _70c739a477550000_p_Instance _30c939a477550000_p_Instance _c0ca39a477550000_p_Instance _90cd39a477550000_p_Instance _50cf39a477550000_p_Instance _e0d039a477550000_p_Instance _80d439a477550000_p_Instance _f0d639a477550000_p_Instance _60d939a477550000_p_Instance _60dc39a477550000_p_Instance _20de39a477550000_p_Instance _b0df39a477550000_p_Instance _80e239a477550000_p_Instance _40e439a477550000_p_Instance _d0e539a477550000_p_Instance _a0e839a477550000_p_Instance _60ea39a477550000_p_Instance _f0eb39a477550000_p_Instance _c0ee39a477550000_p_Instance _80f039a477550000_p_Instance _10f239a477550000_p_Instance _e0f439a477550000_p_Instance _a0f639a477550000_p_Instance _30f839a477550000_p_Instance _00fb39a477550000_p_Instance _c0fc39a477550000_p_Instance _50fe39a477550000_p_Instance _20013aa477550000_p_Instance _e0023aa477550000_p_Instance _70043aa477550000_p_Instance _40073aa477550000_p_Instance _00093aa477550000_p_Instance _900a3aa477550000_p_Instance _300e3aa477550000_p_Instance _a0103aa477550000_p_Instance _10133aa477550000_p_Instance _10163aa477550000_p_Instance _d0173aa477550000_p_Instance _60193aa477550000_p_Instance _301c3aa477550000_p_Instance _f01d3aa477550000_p_Instance _801f3aa477550000_p_Instance _50223aa477550000_p_Instance _10243aa477550000_p_Instance _a0253aa477550000_p_Instance _70283aa477550000_p_Instance _302a3aa477550000_p_Instance _c02b3aa477550000_p_Instance _902e3aa477550000_p_Instance _50303aa477550000_p_Instance _e0313aa477550000_p_Instance _b0343aa477550000_p_Instance _70363aa477550000_p_Instance _00383aa477550000_p_Instance _d03a3aa477550000_p_Instance _903c3aa477550000_p_Instance _203e3aa477550000_p_Instance _f0403aa477550000_p_Instance _b0423aa477550000_p_Instance _40443aa477550000_p_Instance _10473aa477550000_p_Instance _d0483aa477550000_p_Instance _604a3aa477550000_p_Instance _304d3aa477550000_p_Instance _f04e3aa477550000_p_Instance _80503aa477550000_p_Instance _50533aa477550000_p_Instance _10553aa477550000_p_Instance _a0563aa477550000_p_Instance _70593aa477550000_p_Instance _305b3aa477550000_p_Instance _c05c3aa477550000_p_Instance _905f3aa477550000_p_Instance _50613aa477550000_p_Instance _e0623aa477550000_p_Instance _b0653aa477550000_p_Instance _70673aa477550000_p_Instance _00693aa477550000_p_Instance _d06b3aa477550000_p_Instance _906d3aa477550000_p_Instance _206f3aa477550000_p_Instance _40713aa477550000_p_Instance _40733aa477550000_p_Instance _10753aa477550000_p_Instance _e0763aa477550000_p_Instance _b0783aa477550000_p_Instance _f0793aa477550000_p_Instance _307b3aa477550000_p_Instance _707c3aa477550000_p_Instance _b07d3aa477550000_p_Instance _f07e3aa477550000_p_Instance _30803aa477550000_p_Instance _70813aa477550000_p_Instance _b0823aa477550000_p_Instance _50863aa477550000_p_Instance _c0883aa477550000_p_Instance _308b3aa477550000_p_Instance _008f3aa477550000_p_Instance _70913aa477550000_p_Instance _e0933aa477550000_p_Instance _e0963aa477550000_p_Instance _a0983aa477550000_p_Instance _309a3aa477550000_p_Instance _009d3aa477550000_p_Instance _c09e3aa477550000_p_Instance _50a03aa477550000_p_Instance _20a33aa477550000_p_Instance _e0a43aa477550000_p_Instance _70a63aa477550000_p_Instance _40a93aa477550000_p_Instance _00ab3aa477550000_p_Instance _90ac3aa477550000_p_Instance _60af3aa477550000_p_Instance _20b13aa477550000_p_Instance _b0b23aa477550000_p_Instance _50b63aa477550000_p_Instance _c0b83aa477550000_p_Instance _30bb3aa477550000_p_Instance _30be3aa477550000_p_Instance _f0bf3aa477550000_p_Instance _80c13aa477550000_p_Instance _50c43aa477550000_p_Instance _10c63aa477550000_p_Instance _a0c73aa477550000_p_Instance _70ca3aa477550000_p_Instance _30cc3aa477550000_p_Instance _c0cd3aa477550000_p_Instance _90d03aa477550000_p_Instance _50d23aa477550000_p_Instance _e0d33aa477550000_p_Instance _b0d63aa477550000_p_Instance _70d83aa477550000_p_Instance _00da3aa477550000_p_Instance _d0dc3aa477550000_p_Instance _90de3aa477550000_p_Instance _20e03aa477550000_p_Instance _f0e23aa477550000_p_Instance _b0e43aa477550000_p_Instance _40e63aa477550000_p_Instance _10e93aa477550000_p_Instance _d0ea3aa477550000_p_Instance _60ec3aa477550000_p_Instance _00f03aa477550000_p_Instance _70f23aa477550000_p_Instance _e0f43aa477550000_p_Instance _e0f73aa477550000_p_Instance _a0f93aa477550000_p_Instance _30fb3aa477550000_p_Instance _00fe3aa477550000_p_Instance _c0ff3aa477550000_p_Instance _50013ba477550000_p_Instance _20043ba477550000_p_Instance _e0053ba477550000_p_Instance _70073ba477550000_p_Instance _400a3ba477550000_p_Instance _000c3ba477550000_p_Instance _900d3ba477550000_p_Instance _60103ba477550000_p_Instance _20123ba477550000_p_Instance _b0133ba477550000_p_Instance _80163ba477550000_p_Instance _40183ba477550000_p_Instance _d0193ba477550000_p_Instance _a01c3ba477550000_p_Instance _601e3ba477550000_p_Instance _f01f3ba477550000_p_Instance _c0223ba477550000_p_Instance _80243ba477550000_p_Instance _10263ba477550000_p_Instance _e0283ba477550000_p_Instance _a02a3ba477550000_p_Instance _302c3ba477550000_p_Instance _002f3ba477550000_p_Instance _c0303ba477550000_p_Instance _50323ba477550000_p_Instance _20353ba477550000_p_Instance _e0363ba477550000_p_Instance _70383ba477550000_p_Instance _403b3ba477550000_p_Instance _003d3ba477550000_p_Instance _903e3ba477550000_p_Instance _60413ba477550000_p_Instance _20433ba477550000_p_Instance _b0443ba477550000_p_Instance _80473ba477550000_p_Instance _40493ba477550000_p_Instance _d04a3ba477550000_p_Instance _a04d3ba477550000_p_Instance _604f3ba477550000_p_Instance _f0503ba477550000_p_Instance _10533ba477550000_p_Instance _10553ba477550000_p_Instance _e0563ba477550000_p_Instance _b0583ba477550000_p_Instance _805a3ba477550000_p_Instance _c05b3ba477550000_p_Instance _005d3ba477550000_p_Instance _405e3ba477550000_p_Instance _805f3ba477550000_p_Instance _c0603ba477550000_p_Instance _00623ba477550000_p_Instance _40633ba477550000_p_Instance
% _10be1da477550000_p_Instance _60621ea477550000_p_Instance _00651ea477550000_p_Instance _a0671ea477550000_p_Instance _406a1ea477550000_p_Instance _506c1ea477550000_p_Instance _606e1ea477550000_p_Instance _70701ea477550000_p_Instance _20741ea477550000_p_Instance _00771ea477550000_p_Instance _e0791ea477550000_p_Instance _c07c1ea477550000_p_Instance _a07f1ea477550000_p_Instance _80821ea477550000_p_Instance _60851ea477550000_p_Instance _40881ea477550000_p_Instance _b0891ea477550000_p_Instance _908b1ea477550000_p_Instance _a08d1ea477550000_p_Instance _b08f1ea477550000_p_Instance _60931ea477550000_p_Instance _40961ea477550000_p_Instance _20991ea477550000_p_Instance _009c1ea477550000_p_Instance _e09e1ea477550000_p_Instance _c0a11ea477550000_p_Instance _a0a41ea477550000_p_Instance _80a71ea477550000_p_Instance _f0a81ea477550000_p_Instance _d0aa1ea477550000_p_Instance _e0ac1ea477550000_p_Instance _f0ae1ea477550000_p_Instance _a0b21ea477550000_p_Instance _80b51ea477550000_p_Instance _60b81ea477550000_p_Instance _40bb1ea477550000_p_Instance _20be1ea477550000_p_Instance _00c11ea477550000_p_Instance _e0c31ea477550000_p_Instance _c0c61ea477550000_p_Instance _30c81ea477550000_p_Instance _10ca1ea477550000_p_Instance _20cc1ea477550000_p_Instance _30ce1ea477550000_p_Instance _e0d11ea477550000_p_Instance _c0d41ea477550000_p_Instance _a0d71ea477550000_p_Instance _80da1ea477550000_p_Instance _60dd1ea477550000_p_Instance _40e01ea477550000_p_Instance _20e31ea477550000_p_Instance _00e61ea477550000_p_Instance _70e71ea477550000_p_Instance _b0e81ea477550000_p_Instance _f0eb1ea477550000_p_Instance _90ee1ea477550000_p_Instance _30f11ea477550000_p_Instance _d0f31ea477550000_p_Instance _e0f51ea477550000_p_Instance _f0f71ea477550000_p_Instance _00fa1ea477550000_p_Instance _b0fd1ea477550000_p_Instance _90001fa477550000_p_Instance _70031fa477550000_p_Instance _50061fa477550000_p_Instance _30091fa477550000_p_Instance _100c1fa477550000_p_Instance _f00e1fa477550000_p_Instance _d0111fa477550000_p_Instance _40131fa477550000_p_Instance _20151fa477550000_p_Instance _30171fa477550000_p_Instance _40191fa477550000_p_Instance _f01c1fa477550000_p_Instance _d01f1fa477550000_p_Instance _b0221fa477550000_p_Instance _90251fa477550000_p_Instance _70281fa477550000_p_Instance _502b1fa477550000_p_Instance _302e1fa477550000_p_Instance _10311fa477550000_p_Instance _80321fa477550000_p_Instance _60341fa477550000_p_Instance _70361fa477550000_p_Instance _80381fa477550000_p_Instance _303c1fa477550000_p_Instance _103f1fa477550000_p_Instance _f0411fa477550000_p_Instance _d0441fa477550000_p_Instance _b0471fa477550000_p_Instance _904a1fa477550000_p_Instance _704d1fa477550000_p_Instance _50501fa477550000_p_Instance _c0511fa477550000_p_Instance _a0531fa477550000_p_Instance _b0551fa477550000_p_Instance _c0571fa477550000_p_Instance _705b1fa477550000_p_Instance _505e1fa477550000_p_Instance _30611fa477550000_p_Instance _10641fa477550000_p_Instance _f0661fa477550000_p_Instance _d0691fa477550000_p_Instance _b06c1fa477550000_p_Instance _906f1fa477550000_p_Instance _00711fa477550000_p_Instance _40721fa477550000_p_Instance _80751fa477550000_p_Instance _20781fa477550000_p_Instance _c07a1fa477550000_p_Instance _607d1fa477550000_p_Instance _707f1fa477550000_p_Instance _80811fa477550000_p_Instance _90831fa477550000_p_Instance _40871fa477550000_p_Instance _208a1fa477550000_p_Instance _008d1fa477550000_p_Instance _e08f1fa477550000_p_Instance _c0921fa477550000_p_Instance _a0951fa477550000_p_Instance _80981fa477550000_p_Instance _609b1fa477550000_p_Instance _d09c1fa477550000_p_Instance _b09e1fa477550000_p_Instance _c0a01fa477550000_p_Instance _d0a21fa477550000_p_Instance _80a61fa477550000_p_Instance _60a91fa477550000_p_Instance _40ac1fa477550000_p_Instance _20af1fa477550000_p_Instance _00b21fa477550000_p_Instance _e0b41fa477550000_p_Instance _c0b71fa477550000_p_Instance _a0ba1fa477550000_p_Instance _10bc1fa477550000_p_Instance _f0bd1fa477550000_p_Instance _00c01fa477550000_p_Instance _10c21fa477550000_p_Instance _c0c51fa477550000_p_Instance _a0c81fa477550000_p_Instance _80cb1fa477550000_p_Instance _60ce1fa477550000_p_Instance _40d11fa477550000_p_Instance _20d41fa477550000_p_Instance _00d71fa477550000_p_Instance _e0d91fa477550000_p_Instance _50db1fa477550000_p_Instance _30dd1fa477550000_p_Instance _40df1fa477550000_p_Instance _50e11fa477550000_p_Instance _00e51fa477550000_p_Instance _e0e71fa477550000_p_Instance _c0ea1fa477550000_p_Instance _a0ed1fa477550000_p_Instance _80f01fa477550000_p_Instance _60f31fa477550000_p_Instance _40f61fa477550000_p_Instance _20f91fa477550000_p_Instance _90fa1fa477550000_p_Instance _d0fb1fa477550000_p_Instance _80ff1fa477550000_p_Instance _f00120a477550000_p_Instance _600420a477550000_p_Instance _400820a477550000_p_Instance _b00a20a477550000_p_Instance _200d20a477550000_p_Instance _201020a477550000_p_Instance _e01120a477550000_p_Instance _701320a477550000_p_Instance _401620a477550000_p_Instance _001820a477550000_p_Instance _901920a477550000_p_Instance _601c20a477550000_p_Instance _201e20a477550000_p_Instance _b01f20a477550000_p_Instance _802220a477550000_p_Instance _402420a477550000_p_Instance _d02520a477550000_p_Instance _a02820a477550000_p_Instance _602a20a477550000_p_Instance _f02b20a477550000_p_Instance _a02f20a477550000_p_Instance _103220a477550000_p_Instance _803420a477550000_p_Instance _803720a477550000_p_Instance _403920a477550000_p_Instance _d03a20a477550000_p_Instance _a03d20a477550000_p_Instance _603f20a477550000_p_Instance _f04020a477550000_p_Instance _c04320a477550000_p_Instance _804520a477550000_p_Instance _104720a477550000_p_Instance _e04920a477550000_p_Instance _a04b20a477550000_p_Instance _304d20a477550000_p_Instance _005020a477550000_p_Instance _c05120a477550000_p_Instance _505320a477550000_p_Instance _205620a477550000_p_Instance _e05720a477550000_p_Instance _705920a477550000_p_Instance _405c20a477550000_p_Instance _005e20a477550000_p_Instance _905f20a477550000_p_Instance _606220a477550000_p_Instance _206420a477550000_p_Instance _b06520a477550000_p_Instance _606920a477550000_p_Instance _d06b20a477550000_p_Instance _406e20a477550000_p_Instance _407120a477550000_p_Instance _007320a477550000_p_Instance _907420a477550000_p_Instance _607720a477550000_p_Instance _207920a477550000_p_Instance _b07a20a477550000_p_Instance _807d20a477550000_p_Instance _407f20a477550000_p_Instance _d08020a477550000_p_Instance _a08320a477550000_p_Instance _608520a477550000_p_Instance _f08620a477550000_p_Instance _c08920a477550000_p_Instance _808b20a477550000_p_Instance _108d20a477550000_p_Instance _e08f20a477550000_p_Instance _a09120a477550000_p_Instance _309320a477550000_p_Instance _009620a477550000_p_Instance _c09720a477550000_p_Instance _509920a477550000_p_Instance _209c20a477550000_p_Instance _e09d20a477550000_p_Instance _709f20a477550000_p_Instance _40a220a477550000_p_Instance _00a420a477550000_p_Instance _90a520a477550000_p_Instance _60a820a477550000_p_Instance _20aa20a477550000_p_Instance _b0ab20a477550000_p_Instance _80ae20a477550000_p_Instance _40b020a477550000_p_Instance _d0b120a477550000_p_Instance _a0b420a477550000_p_Instance _60b620a477550000_p_Instance _f0b720a477550000_p_Instance _c0ba20a477550000_p_Instance _80bc20a477550000_p_Instance _10be20a477550000_p_Instance _e0c020a477550000_p_Instance _a0c220a477550000_p_Instance _30c420a477550000_p_Instance _00c720a477550000_p_Instance _c0c820a477550000_p_Instance _50ca20a477550000_p_Instance _70cc20a477550000_p_Instance _70ce20a477550000_p_Instance _40d020a477550000_p_Instance _10d220a477550000_p_Instance _e0d320a477550000_p_Instance _30d520a477550000_p_Instance _80d620a477550000_p_Instance _d0d720a477550000_p_Instance _20d920a477550000_p_Instance _70da20a477550000_p_Instance _c0db20a477550000_p_Instance _10dd20a477550000_p_Instance _60de20a477550000_p_Instance _10e220a477550000_p_Instance _80e420a477550000_p_Instance _f0e620a477550000_p_Instance _d0ea20a477550000_p_Instance _40ed20a477550000_p_Instance _b0ef20a477550000_p_Instance _b0f220a477550000_p_Instance _70f420a477550000_p_Instance _00f620a477550000_p_Instance _d0f820a477550000_p_Instance _90fa20a477550000_p_Instance _20fc20a477550000_p_Instance _f0fe20a477550000_p_Instance _b00021a477550000_p_Instance _400221a477550000_p_Instance _100521a477550000_p_Instance _d00621a477550000_p_Instance _600821a477550000_p_Instance _300b21a477550000_p_Instance _f00c21a477550000_p_Instance _800e21a477550000_p_Instance _301221a477550000_p_Instance _a01421a477550000_p_Instance _101721a477550000_p_Instance _101a21a477550000_p_Instance _d01b21a477550000_p_Instance _601d21a477550000_p_Instance _302021a477550000_p_Instance _f02121a477550000_p_Instance _802321a477550000_p_Instance _502621a477550000_p_Instance _102821a477550000_p_Instance _a02921a477550000_p_Instance _702c21a477550000_p_Instance _302e21a477550000_p_Instance _c02f21a477550000_p_Instance _903221a477550000_p_Instance _503421a477550000_p_Instance _e03521a477550000_p_Instance _b03821a477550000_p_Instance _703a21a477550000_p_Instance _003c21a477550000_p_Instance _d03e21a477550000_p_Instance _904021a477550000_p_Instance _204221a477550000_p_Instance _f04421a477550000_p_Instance _b04621a477550000_p_Instance _404821a477550000_p_Instance _f04b21a477550000_p_Instance _604e21a477550000_p_Instance _d05021a477550000_p_Instance _d05321a477550000_p_Instance _905521a477550000_p_Instance _205721a477550000_p_Instance _f05921a477550000_p_Instance _b05b21a477550000_p_Instance _405d21a477550000_p_Instance _106021a477550000_p_Instance _d06121a477550000_p_Instance _606321a477550000_p_Instance _306621a477550000_p_Instance _f06721a477550000_p_Instance _806921a477550000_p_Instance _506c21a477550000_p_Instance _106e21a477550000_p_Instance _a06f21a477550000_p_Instance _707221a477550000_p_Instance _307421a477550000_p_Instance _c07521a477550000_p_Instance _907821a477550000_p_Instance _507a21a477550000_p_Instance _e07b21a477550000_p_Instance _b07e21a477550000_p_Instance _708021a477550000_p_Instance _008221a477550000_p_Instance _d08421a477550000_p_Instance _908621a477550000_p_Instance _208821a477550000_p_Instance _f08a21a477550000_p_Instance _b08c21a477550000_p_Instance _408e21a477550000_p_Instance _109121a477550000_p_Instance _d09221a477550000_p_Instance _609421a477550000_p_Instance _309721a477550000_p_Instance _f09821a477550000_p_Instance _809a21a477550000_p_Instance _509d21a477550000_p_Instance _109f21a477550000_p_Instance _a0a021a477550000_p_Instance _70a321a477550000_p_Instance _30a521a477550000_p_Instance _c0a621a477550000_p_Instance _90a921a477550000_p_Instance _50ab21a477550000_p_Instance _e0ac21a477550000_p_Instance _00af21a477550000_p_Instance _00b121a477550000_p_Instance _d0b221a477550000_p_Instance _a0b421a477550000_p_Instance _70b621a477550000_p_Instance _c0b721a477550000_p_Instance _10b921a477550000_p_Instance _60ba21a477550000_p_Instance _b0bb21a477550000_p_Instance _00bd21a477550000_p_Instance _50be21a477550000_p_Instance _a0bf21a477550000_p_Instance _f0c021a477550000_p_Instance _a0c421a477550000_p_Instance _10c721a477550000_p_Instance _80c921a477550000_p_Instance _60cd21a477550000_p_Instance _d0cf21a477550000_p_Instance _40d221a477550000_p_Instance _40d521a477550000_p_Instance _00d721a477550000_p_Instance _90d821a477550000_p_Instance _60db21a477550000_p_Instance _20dd21a477550000_p_Instance _b0de21a477550000_p_Instance _80e121a477550000_p_Instance _40e321a477550000_p_Instance _d0e421a477550000_p_Instance _a0e721a477550000_p_Instance _60e921a477550000_p_Instance _f0ea21a477550000_p_Instance _c0ed21a477550000_p_Instance _80ef21a477550000_p_Instance _10f121a477550000_p_Instance _c0f421a477550000_p_Instance _30f721a477550000_p_Instance _a0f921a477550000_p_Instance _a0fc21a477550000_p_Instance _60fe21a477550000_p_Instance _f0ff21a477550000_p_Instance _c00222a477550000_p_Instance _800422a477550000_p_Instance _100622a477550000_p_Instance _e00822a477550000_p_Instance _a00a22a477550000_p_Instance _300c22a477550000_p_Instance _000f22a477550000_p_Instance _c01022a477550000_p_Instance _501222a477550000_p_Instance _201522a477550000_p_Instance _e01622a477550000_p_Instance _701822a477550000_p_Instance _401b22a477550000_p_Instance _001d22a477550000_p_Instance _901e22a477550000_p_Instance _602122a477550000_p_Instance _202322a477550000_p_Instance _b02422a477550000_p_Instance _802722a477550000_p_Instance _402922a477550000_p_Instance _d02a22a477550000_p_Instance _802e22a477550000_p_Instance _f03022a477550000_p_Instance _603322a477550000_p_Instance _603622a477550000_p_Instance _203822a477550000_p_Instance _b03922a477550000_p_Instance _803c22a477550000_p_Instance _403e22a477550000_p_Instance _d03f22a477550000_p_Instance _a04222a477550000_p_Instance _604422a477550000_p_Instance _f04522a477550000_p_Instance _c04822a477550000_p_Instance _804a22a477550000_p_Instance _104c22a477550000_p_Instance _e04e22a477550000_p_Instance _a05022a477550000_p_Instance _305222a477550000_p_Instance _005522a477550000_p_Instance _c05622a477550000_p_Instance _505822a477550000_p_Instance _205b22a477550000_p_Instance _e05c22a477550000_p_Instance _705e22a477550000_p_Instance _406122a477550000_p_Instance _006322a477550000_p_Instance _906422a477550000_p_Instance _606722a477550000_p_Instance _206922a477550000_p_Instance _b06a22a477550000_p_Instance _806d22a477550000_p_Instance _406f22a477550000_p_Instance _d07022a477550000_p_Instance _a07322a477550000_p_Instance _607522a477550000_p_Instance _f07622a477550000_p_Instance _c07922a477550000_p_Instance _807b22a477550000_p_Instance _107d22a477550000_p_Instance _e07f22a477550000_p_Instance _a08122a477550000_p_Instance _308322a477550000_p_Instance _008622a477550000_p_Instance _c08722a477550000_p_Instance _508922a477550000_p_Instance _208c22a477550000_p_Instance _e08d22a477550000_p_Instance _708f22a477550000_p_Instance _909122a477550000_p_Instance _909322a477550000_p_Instance _609522a477550000_p_Instance _309722a477550000_p_Instance _009922a477550000_p_Instance _509a22a477550000_p_Instance _a09b22a477550000_p_Instance _f09c22a477550000_p_Instance _409e22a477550000_p_Instance _909f22a477550000_p_Instance _e0a022a477550000_p_Instance _30a222a477550000_p_Instance _80a322a477550000_p_Instance _30a722a477550000_p_Instance _a0a922a477550000_p_Instance _10ac22a477550000_p_Instance _f0af22a477550000_p_Instance _60b222a477550000_p_Instance _d0b422a477550000_p_Instance _d0b722a477550000_p_Instance _90b922a477550000_p_Instance _20bb22a477550000_p_Instance _f0bd22a477550000_p_Instance _b0bf22a477550000_p_Instance _40c122a477550000_p_Instance _10c422a477550000_p_Instance _d0c522a477550000_p_Instance _60c722a477550000_p_Instance _30ca22a477550000_p_Instance _f0cb22a477550000_p_Instance _80cd22a477550000_p_Instance _50d022a477550000_p_Instance _10d222a477550000_p_Instance _a0d322a477550000_p_Instance _50d722a477550000_p_Instance _c0d922a477550000_p_Instance _30dc22a477550000_p_Instance _30df22a477550000_p_Instance _f0e022a477550000_p_Instance _80e222a477550000_p_Instance _50e522a477550000_p_Instance _10e722a477550000_p_Instance _a0e822a477550000_p_Instance _70eb22a477550000_p_Instance _30ed22a477550000_p_Instance _c0ee22a477550000_p_Instance _90f122a477550000_p_Instance _50f322a477550000_p_Instance _e0f422a477550000_p_Instance _b0f722a477550000_p_Instance _70f922a477550000_p_Instance _00fb22a477550000_p_Instance _d0fd22a477550000_p_Instance _90ff22a477550000_p_Instance _200123a477550000_p_Instance _f00323a477550000_p_Instance _b00523a477550000_p_Instance _400723a477550000_p_Instance _100a23a477550000_p_Instance _d00b23a477550000_p_Instance _600d23a477550000_p_Instance _101123a477550000_p_Instance _801323a477550000_p_Instance _f01523a477550000_p_Instance _f01823a477550000_p_Instance _b01a23a477550000_p_Instance _401c23a477550000_p_Instance _101f23a477550000_p_Instance _d02023a477550000_p_Instance _602223a477550000_p_Instance _302523a477550000_p_Instance _f02623a477550000_p_Instance _802823a477550000_p_Instance _502b23a477550000_p_Instance _102d23a477550000_p_Instance _a02e23a477550000_p_Instance _703123a477550000_p_Instance _303323a477550000_p_Instance _c03423a477550000_p_Instance _903723a477550000_p_Instance _503923a477550000_p_Instance _e03a23a477550000_p_Instance _b03d23a477550000_p_Instance _703f23a477550000_p_Instance _004123a477550000_p_Instance _d04323a477550000_p_Instance _904523a477550000_p_Instance _204723a477550000_p_Instance _f04923a477550000_p_Instance _b04b23a477550000_p_Instance _404d23a477550000_p_Instance _105023a477550000_p_Instance _d05123a477550000_p_Instance _605323a477550000_p_Instance _305623a477550000_p_Instance _f05723a477550000_p_Instance _805923a477550000_p_Instance _505c23a477550000_p_Instance _105e23a477550000_p_Instance _a05f23a477550000_p_Instance _706223a477550000_p_Instance _306423a477550000_p_Instance _c06523a477550000_p_Instance _906823a477550000_p_Instance _506a23a477550000_p_Instance _e06b23a477550000_p_Instance _b06e23a477550000_p_Instance _707023a477550000_p_Instance _007223a477550000_p_Instance _207423a477550000_p_Instance _207623a477550000_p_Instance _f07723a477550000_p_Instance _c07923a477550000_p_Instance _907b23a477550000_p_Instance _e07c23a477550000_p_Instance _307e23a477550000_p_Instance _807f23a477550000_p_Instance _d08023a477550000_p_Instance _208223a477550000_p_Instance _708323a477550000_p_Instance _c08423a477550000_p_Instance _108623a477550000_p_Instance _c08923a477550000_p_Instance _308c23a477550000_p_Instance _a08e23a477550000_p_Instance _809223a477550000_p_Instance _f09423a477550000_p_Instance _609723a477550000_p_Instance _609a23a477550000_p_Instance _209c23a477550000_p_Instance _b09d23a477550000_p_Instance _80a023a477550000_p_Instance _40a223a477550000_p_Instance _d0a323a477550000_p_Instance _a0a623a477550000_p_Instance _60a823a477550000_p_Instance _f0a923a477550000_p_Instance _c0ac23a477550000_p_Instance _80ae23a477550000_p_Instance _10b023a477550000_p_Instance _e0b223a477550000_p_Instance _a0b423a477550000_p_Instance _30b623a477550000_p_Instance _e0b923a477550000_p_Instance _50bc23a477550000_p_Instance _c0be23a477550000_p_Instance _c0c123a477550000_p_Instance _80c323a477550000_p_Instance _10c523a477550000_p_Instance _e0c723a477550000_p_Instance _a0c923a477550000_p_Instance _30cb23a477550000_p_Instance _00ce23a477550000_p_Instance _c0cf23a477550000_p_Instance _50d123a477550000_p_Instance _20d423a477550000_p_Instance _e0d523a477550000_p_Instance _70d723a477550000_p_Instance _40da23a477550000_p_Instance _00dc23a477550000_p_Instance _90dd23a477550000_p_Instance _60e023a477550000_p_Instance _20e223a477550000_p_Instance _b0e323a477550000_p_Instance _80e623a477550000_p_Instance _40e823a477550000_p_Instance _d0e923a477550000_p_Instance _a0ec23a477550000_p_Instance _60ee23a477550000_p_Instance _f0ef23a477550000_p_Instance _a0f323a477550000_p_Instance _10f623a477550000_p_Instance _80f823a477550000_p_Instance _80fb23a477550000_p_Instance _40fd23a477550000_p_Instance _d0fe23a477550000_p_Instance _a00124a477550000_p_Instance _600324a477550000_p_Instance _f00424a477550000_p_Instance _c00724a477550000_p_Instance _800924a477550000_p_Instance _100b24a477550000_p_Instance _e00d24a477550000_p_Instance _a00f24a477550000_p_Instance _301124a477550000_p_Instance _001424a477550000_p_Instance _c01524a477550000_p_Instance _501724a477550000_p_Instance _201a24a477550000_p_Instance _e01b24a477550000_p_Instance _701d24a477550000_p_Instance _402024a477550000_p_Instance _002224a477550000_p_Instance _902324a477550000_p_Instance _602624a477550000_p_Instance _202824a477550000_p_Instance _b02924a477550000_p_Instance _802c24a477550000_p_Instance _402e24a477550000_p_Instance _d02f24a477550000_p_Instance _a03224a477550000_p_Instance _603424a477550000_p_Instance _f03524a477550000_p_Instance _c03824a477550000_p_Instance _803a24a477550000_p_Instance _103c24a477550000_p_Instance _e03e24a477550000_p_Instance _a04024a477550000_p_Instance _304224a477550000_p_Instance _004524a477550000_p_Instance _c04624a477550000_p_Instance _504824a477550000_p_Instance _204b24a477550000_p_Instance _e04c24a477550000_p_Instance _704e24a477550000_p_Instance _405124a477550000_p_Instance _005324a477550000_p_Instance _905424a477550000_p_Instance _b05624a477550000_p_Instance _b05824a477550000_p_Instance _805a24a477550000_p_Instance _505c24a477550000_p_Instance _205e24a477550000_p_Instance _705f24a477550000_p_Instance _c06024a477550000_p_Instance _106224a477550000_p_Instance _606324a477550000_p_Instance _b06424a477550000_p_Instance _006624a477550000_p_Instance _506724a477550000_p_Instance _a06824a477550000_p_Instance _506c24a477550000_p_Instance _c06e24a477550000_p_Instance _307124a477550000_p_Instance _107524a477550000_p_Instance _807724a477550000_p_Instance _f07924a477550000_p_Instance _f07c24a477550000_p_Instance _b07e24a477550000_p_Instance _408024a477550000_p_Instance _108324a477550000_p_Instance _d08424a477550000_p_Instance _608624a477550000_p_Instance _308924a477550000_p_Instance _f08a24a477550000_p_Instance _808c24a477550000_p_Instance _508f24a477550000_p_Instance _109124a477550000_p_Instance _a09224a477550000_p_Instance _709524a477550000_p_Instance _309724a477550000_p_Instance _c09824a477550000_p_Instance _709c24a477550000_p_Instance _e09e24a477550000_p_Instance _50a124a477550000_p_Instance _50a424a477550000_p_Instance _10a624a477550000_p_Instance _a0a724a477550000_p_Instance _70aa24a477550000_p_Instance _30ac24a477550000_p_Instance _c0ad24a477550000_p_Instance _90b024a477550000_p_Instance _50b224a477550000_p_Instance _e0b324a477550000_p_Instance _b0b624a477550000_p_Instance _70b824a477550000_p_Instance _00ba24a477550000_p_Instance _d0bc24a477550000_p_Instance _90be24a477550000_p_Instance _20c024a477550000_p_Instance _f0c224a477550000_p_Instance _b0c424a477550000_p_Instance _40c624a477550000_p_Instance _10c924a477550000_p_Instance _d0ca24a477550000_p_Instance _60cc24a477550000_p_Instance _30cf24a477550000_p_Instance _f0d024a477550000_p_Instance _80d224a477550000_p_Instance _30d624a477550000_p_Instance _a0d824a477550000_p_Instance _10db24a477550000_p_Instance _10de24a477550000_p_Instance _d0df24a477550000_p_Instance _60e124a477550000_p_Instance _30e424a477550000_p_Instance _f0e524a477550000_p_Instance _80e724a477550000_p_Instance _50ea24a477550000_p_Instance _10ec24a477550000_p_Instance _a0ed24a477550000_p_Instance _70f024a477550000_p_Instance _30f224a477550000_p_Instance _c0f324a477550000_p_Instance _90f624a477550000_p_Instance _50f824a477550000_p_Instance _e0f924a477550000_p_Instance _b0fc24a477550000_p_Instance _70fe24a477550000_p_Instance _000025a477550000_p_Instance _d00225a477550000_p_Instance _900425a477550000_p_Instance _200625a477550000_p_Instance _f00825a477550000_p_Instance _b00a25a477550000_p_Instance _400c25a477550000_p_Instance _100f25a477550000_p_Instance _d01025a477550000_p_Instance _601225a477550000_p_Instance _301525a477550000_p_Instance _f01625a477550000_p_Instance _801825a477550000_p_Instance _501b25a477550000_p_Instance _101d25a477550000_p_Instance _a01e25a477550000_p_Instance _702125a477550000_p_Instance _302325a477550000_p_Instance _c02425a477550000_p_Instance _902725a477550000_p_Instance _502925a477550000_p_Instance _e02a25a477550000_p_Instance _b02d25a477550000_p_Instance _702f25a477550000_p_Instance _003125a477550000_p_Instance _d03325a477550000_p_Instance _903525a477550000_p_Instance _203725a477550000_p_Instance _403925a477550000_p_Instance _403b25a477550000_p_Instance _103d25a477550000_p_Instance _e03e25a477550000_p_Instance _b04025a477550000_p_Instance _004225a477550000_p_Instance _504325a477550000_p_Instance _a04425a477550000_p_Instance _f04525a477550000_p_Instance _404725a477550000_p_Instance _904825a477550000_p_Instance _e04925a477550000_p_Instance _304b25a477550000_p_Instance _e04e25a477550000_p_Instance _505125a477550000_p_Instance _c05325a477550000_p_Instance _a05725a477550000_p_Instance _105a25a477550000_p_Instance _805c25a477550000_p_Instance _805f25a477550000_p_Instance _406125a477550000_p_Instance _d06225a477550000_p_Instance _a06525a477550000_p_Instance _606725a477550000_p_Instance _f06825a477550000_p_Instance _c06b25a477550000_p_Instance _806d25a477550000_p_Instance _106f25a477550000_p_Instance _e07125a477550000_p_Instance _a07325a477550000_p_Instance _307525a477550000_p_Instance _007825a477550000_p_Instance _c07925a477550000_p_Instance _507b25a477550000_p_Instance _007f25a477550000_p_Instance _708125a477550000_p_Instance _e08325a477550000_p_Instance _e08625a477550000_p_Instance _a08825a477550000_p_Instance _308a25a477550000_p_Instance _008d25a477550000_p_Instance _c08e25a477550000_p_Instance _509025a477550000_p_Instance _209325a477550000_p_Instance _e09425a477550000_p_Instance _709625a477550000_p_Instance _409925a477550000_p_Instance _009b25a477550000_p_Instance _909c25a477550000_p_Instance _609f25a477550000_p_Instance _20a125a477550000_p_Instance _b0a225a477550000_p_Instance _80a525a477550000_p_Instance _40a725a477550000_p_Instance _d0a825a477550000_p_Instance _a0ab25a477550000_p_Instance _60ad25a477550000_p_Instance _f0ae25a477550000_p_Instance _c0b125a477550000_p_Instance _80b325a477550000_p_Instance _10b525a477550000_p_Instance _c0b825a477550000_p_Instance _30bb25a477550000_p_Instance _a0bd25a477550000_p_Instance _a0c025a477550000_p_Instance _60c225a477550000_p_Instance _f0c325a477550000_p_Instance _c0c625a477550000_p_Instance _80c825a477550000_p_Instance _10ca25a477550000_p_Instance _e0cc25a477550000_p_Instance _a0ce25a477550000_p_Instance _30d025a477550000_p_Instance _00d325a477550000_p_Instance _c0d425a477550000_p_Instance _50d625a477550000_p_Instance _20d925a477550000_p_Instance _e0da25a477550000_p_Instance _70dc25a477550000_p_Instance _40df25a477550000_p_Instance _00e125a477550000_p_Instance _90e225a477550000_p_Instance _60e525a477550000_p_Instance _20e725a477550000_p_Instance _b0e825a477550000_p_Instance _80eb25a477550000_p_Instance _40ed25a477550000_p_Instance _d0ee25a477550000_p_Instance _a0f125a477550000_p_Instance _60f325a477550000_p_Instance _f0f425a477550000_p_Instance _c0f725a477550000_p_Instance _80f925a477550000_p_Instance _10fb25a477550000_p_Instance _e0fd25a477550000_p_Instance _a0ff25a477550000_p_Instance _300126a477550000_p_Instance _000426a477550000_p_Instance _c00526a477550000_p_Instance _500726a477550000_p_Instance _200a26a477550000_p_Instance _e00b26a477550000_p_Instance _700d26a477550000_p_Instance _401026a477550000_p_Instance _001226a477550000_p_Instance _901326a477550000_p_Instance _601626a477550000_p_Instance _201826a477550000_p_Instance _b01926a477550000_p_Instance _d01b26a477550000_p_Instance _d01d26a477550000_p_Instance _a01f26a477550000_p_Instance _702126a477550000_p_Instance _402326a477550000_p_Instance _902426a477550000_p_Instance _e02526a477550000_p_Instance _302726a477550000_p_Instance _802826a477550000_p_Instance _d02926a477550000_p_Instance _202b26a477550000_p_Instance _702c26a477550000_p_Instance _c02d26a477550000_p_Instance _703126a477550000_p_Instance _e03326a477550000_p_Instance _503626a477550000_p_Instance _303a26a477550000_p_Instance _a03c26a477550000_p_Instance _103f26a477550000_p_Instance _104226a477550000_p_Instance _d04326a477550000_p_Instance _604526a477550000_p_Instance _304826a477550000_p_Instance _f04926a477550000_p_Instance _804b26a477550000_p_Instance _504e26a477550000_p_Instance _105026a477550000_p_Instance _a05126a477550000_p_Instance _705426a477550000_p_Instance _305626a477550000_p_Instance _c05726a477550000_p_Instance _905a26a477550000_p_Instance _505c26a477550000_p_Instance _e05d26a477550000_p_Instance _906126a477550000_p_Instance _006426a477550000_p_Instance _706626a477550000_p_Instance _706926a477550000_p_Instance _306b26a477550000_p_Instance _c06c26a477550000_p_Instance _906f26a477550000_p_Instance _507126a477550000_p_Instance _e07226a477550000_p_Instance _b07526a477550000_p_Instance _707726a477550000_p_Instance _007926a477550000_p_Instance _d07b26a477550000_p_Instance _907d26a477550000_p_Instance _207f26a477550000_p_Instance _f08126a477550000_p_Instance _b08326a477550000_p_Instance _408526a477550000_p_Instance _108826a477550000_p_Instance _d08926a477550000_p_Instance _608b26a477550000_p_Instance _308e26a477550000_p_Instance _f08f26a477550000_p_Instance _809126a477550000_p_Instance _509426a477550000_p_Instance _109626a477550000_p_Instance _a09726a477550000_p_Instance _509b26a477550000_p_Instance _c09d26a477550000_p_Instance _30a026a477550000_p_Instance _30a326a477550000_p_Instance _f0a426a477550000_p_Instance _80a626a477550000_p_Instance _50a926a477550000_p_Instance _10ab26a477550000_p_Instance _a0ac26a477550000_p_Instance _70af26a477550000_p_Instance _30b126a477550000_p_Instance _c0b226a477550000_p_Instance _90b526a477550000_p_Instance _50b726a477550000_p_Instance _e0b826a477550000_p_Instance _b0bb26a477550000_p_Instance _70bd26a477550000_p_Instance _00bf26a477550000_p_Instance _d0c126a477550000_p_Instance _90c326a477550000_p_Instance _20c526a477550000_p_Instance _f0c726a477550000_p_Instance _b0c926a477550000_p_Instance _40cb26a477550000_p_Instance _10ce26a477550000_p_Instance _d0cf26a477550000_p_Instance _60d126a477550000_p_Instance _30d426a477550000_p_Instance _f0d526a477550000_p_Instance _80d726a477550000_p_Instance _50da26a477550000_p_Instance _10dc26a477550000_p_Instance _a0dd26a477550000_p_Instance _70e026a477550000_p_Instance _30e226a477550000_p_Instance _c0e326a477550000_p_Instance _90e626a477550000_p_Instance _50e826a477550000_p_Instance _e0e926a477550000_p_Instance _b0ec26a477550000_p_Instance _70ee26a477550000_p_Instance _00f026a477550000_p_Instance _d0f226a477550000_p_Instance _90f426a477550000_p_Instance _20f626a477550000_p_Instance _f0f826a477550000_p_Instance _b0fa26a477550000_p_Instance _40fc26a477550000_p_Instance _60fe26a477550000_p_Instance _600027a477550000_p_Instance _300227a477550000_p_Instance _000427a477550000_p_Instance _d00527a477550000_p_Instance _200727a477550000_p_Instance _700827a477550000_p_Instance _c00927a477550000_p_Instance _100b27a477550000_p_Instance _600c27a477550000_p_Instance _b00d27a477550000_p_Instance _000f27a477550000_p_Instance _501027a477550000_p_Instance _001427a477550000_p_Instance _701627a477550000_p_Instance _e01827a477550000_p_Instance _c01c27a477550000_p_Instance _301f27a477550000_p_Instance _a02127a477550000_p_Instance _a02427a477550000_p_Instance _602627a477550000_p_Instance _f02727a477550000_p_Instance _c02a27a477550000_p_Instance _802c27a477550000_p_Instance _102e27a477550000_p_Instance _e03027a477550000_p_Instance _a03227a477550000_p_Instance _303427a477550000_p_Instance _003727a477550000_p_Instance _c03827a477550000_p_Instance _503a27a477550000_p_Instance _203d27a477550000_p_Instance _e03e27a477550000_p_Instance _704027a477550000_p_Instance _204427a477550000_p_Instance _904627a477550000_p_Instance _004927a477550000_p_Instance _004c27a477550000_p_Instance _c04d27a477550000_p_Instance _504f27a477550000_p_Instance _205227a477550000_p_Instance _e05327a477550000_p_Instance _705527a477550000_p_Instance _405827a477550000_p_Instance _005a27a477550000_p_Instance _905b27a477550000_p_Instance _605e27a477550000_p_Instance _206027a477550000_p_Instance _b06127a477550000_p_Instance _806427a477550000_p_Instance _406627a477550000_p_Instance _d06727a477550000_p_Instance _a06a27a477550000_p_Instance _606c27a477550000_p_Instance _f06d27a477550000_p_Instance _c07027a477550000_p_Instance _807227a477550000_p_Instance _107427a477550000_p_Instance _e07627a477550000_p_Instance _a07827a477550000_p_Instance _307a27a477550000_p_Instance _e07d27a477550000_p_Instance _508027a477550000_p_Instance _c08227a477550000_p_Instance _c08527a477550000_p_Instance _808727a477550000_p_Instance _108927a477550000_p_Instance _e08b27a477550000_p_Instance _a08d27a477550000_p_Instance _308f27a477550000_p_Instance _009227a477550000_p_Instance _c09327a477550000_p_Instance _509527a477550000_p_Instance _209827a477550000_p_Instance _e09927a477550000_p_Instance _709b27a477550000_p_Instance _409e27a477550000_p_Instance _00a027a477550000_p_Instance _90a127a477550000_p_Instance _60a427a477550000_p_Instance _20a627a477550000_p_Instance _b0a727a477550000_p_Instance _80aa27a477550000_p_Instance _40ac27a477550000_p_Instance _d0ad27a477550000_p_Instance _a0b027a477550000_p_Instance _60b227a477550000_p_Instance _f0b327a477550000_p_Instance _c0b627a477550000_p_Instance _80b827a477550000_p_Instance _10ba27a477550000_p_Instance _e0bc27a477550000_p_Instance _a0be27a477550000_p_Instance _30c027a477550000_p_Instance _00c327a477550000_p_Instance _c0c427a477550000_p_Instance _50c627a477550000_p_Instance _20c927a477550000_p_Instance _e0ca27a477550000_p_Instance _70cc27a477550000_p_Instance _40cf27a477550000_p_Instance _00d127a477550000_p_Instance _90d227a477550000_p_Instance _60d527a477550000_p_Instance _20d727a477550000_p_Instance _b0d827a477550000_p_Instance _80db27a477550000_p_Instance _40dd27a477550000_p_Instance _d0de27a477550000_p_Instance _f0e027a477550000_p_Instance _f0e227a477550000_p_Instance _c0e427a477550000_p_Instance _90e627a477550000_p_Instance _60e827a477550000_p_Instance _b0e927a477550000_p_Instance _00eb27a477550000_p_Instance _50ec27a477550000_p_Instance _a0ed27a477550000_p_Instance _f0ee27a477550000_p_Instance _40f027a477550000_p_Instance _90f127a477550000_p_Instance _e0f227a477550000_p_Instance _90f627a477550000_p_Instance _00f927a477550000_p_Instance _70fb27a477550000_p_Instance _50ff27a477550000_p_Instance _c00128a477550000_p_Instance _300428a477550000_p_Instance _300728a477550000_p_Instance _f00828a477550000_p_Instance _800a28a477550000_p_Instance _500d28a477550000_p_Instance _100f28a477550000_p_Instance _a01028a477550000_p_Instance _701328a477550000_p_Instance _301528a477550000_p_Instance _c01628a477550000_p_Instance _901928a477550000_p_Instance _501b28a477550000_p_Instance _e01c28a477550000_p_Instance _b01f28a477550000_p_Instance _702128a477550000_p_Instance _002328a477550000_p_Instance _b02628a477550000_p_Instance _202928a477550000_p_Instance _902b28a477550000_p_Instance _902e28a477550000_p_Instance _503028a477550000_p_Instance _e03128a477550000_p_Instance _b03428a477550000_p_Instance _703628a477550000_p_Instance _003828a477550000_p_Instance _d03a28a477550000_p_Instance _903c28a477550000_p_Instance _203e28a477550000_p_Instance _f04028a477550000_p_Instance _b04228a477550000_p_Instance _404428a477550000_p_Instance _104728a477550000_p_Instance _d04828a477550000_p_Instance _604a28a477550000_p_Instance _304d28a477550000_p_Instance _f04e28a477550000_p_Instance _805028a477550000_p_Instance _505328a477550000_p_Instance _105528a477550000_p_Instance _a05628a477550000_p_Instance _705928a477550000_p_Instance _305b28a477550000_p_Instance _c05c28a477550000_p_Instance _706028a477550000_p_Instance _e06228a477550000_p_Instance _506528a477550000_p_Instance _506828a477550000_p_Instance _106a28a477550000_p_Instance _a06b28a477550000_p_Instance _706e28a477550000_p_Instance _307028a477550000_p_Instance _c07128a477550000_p_Instance _907428a477550000_p_Instance _507628a477550000_p_Instance _e07728a477550000_p_Instance _b07a28a477550000_p_Instance _707c28a477550000_p_Instance _007e28a477550000_p_Instance _d08028a477550000_p_Instance _908228a477550000_p_Instance _208428a477550000_p_Instance _f08628a477550000_p_Instance _b08828a477550000_p_Instance _408a28a477550000_p_Instance _108d28a477550000_p_Instance _d08e28a477550000_p_Instance _609028a477550000_p_Instance _309328a477550000_p_Instance _f09428a477550000_p_Instance _809628a477550000_p_Instance _509928a477550000_p_Instance _109b28a477550000_p_Instance _a09c28a477550000_p_Instance _709f28a477550000_p_Instance _30a128a477550000_p_Instance _c0a228a477550000_p_Instance _90a528a477550000_p_Instance _50a728a477550000_p_Instance _e0a828a477550000_p_Instance _b0ab28a477550000_p_Instance _70ad28a477550000_p_Instance _00af28a477550000_p_Instance _d0b128a477550000_p_Instance _90b328a477550000_p_Instance _20b528a477550000_p_Instance _f0b728a477550000_p_Instance _b0b928a477550000_p_Instance _40bb28a477550000_p_Instance _10be28a477550000_p_Instance _d0bf28a477550000_p_Instance _60c128a477550000_p_Instance _80c328a477550000_p_Instance _80c528a477550000_p_Instance _50c728a477550000_p_Instance _20c928a477550000_p_Instance _f0ca28a477550000_p_Instance _40cc28a477550000_p_Instance _90cd28a477550000_p_Instance _e0ce28a477550000_p_Instance _30d028a477550000_p_Instance _80d128a477550000_p_Instance _d0d228a477550000_p_Instance _20d428a477550000_p_Instance _70d528a477550000_p_Instance _10d928a477550000_p_Instance _80db28a477550000_p_Instance _f0dd28a477550000_p_Instance _c0e128a477550000_p_Instance _30e428a477550000_p_Instance _a0e628a477550000_p_Instance _a0e928a477550000_p_Instance _60eb28a477550000_p_Instance _f0ec28a477550000_p_Instance _c0ef28a477550000_p_Instance _80f128a477550000_p_Instance _10f328a477550000_p_Instance _e0f528a477550000_p_Instance _a0f728a477550000_p_Instance _30f928a477550000_p_Instance _00fc28a477550000_p_Instance _c0fd28a477550000_p_Instance _50ff28a477550000_p_Instance _200229a477550000_p_Instance _e00329a477550000_p_Instance _700529a477550000_p_Instance _100929a477550000_p_Instance _800b29a477550000_p_Instance _f00d29a477550000_p_Instance _f01029a477550000_p_Instance _b01229a477550000_p_Instance _401429a477550000_p_Instance _101729a477550000_p_Instance _d01829a477550000_p_Instance _601a29a477550000_p_Instance _301d29a477550000_p_Instance _f01e29a477550000_p_Instance _802029a477550000_p_Instance _502329a477550000_p_Instance _102529a477550000_p_Instance _a02629a477550000_p_Instance _702929a477550000_p_Instance _302b29a477550000_p_Instance _c02c29a477550000_p_Instance _902f29a477550000_p_Instance _503129a477550000_p_Instance _e03229a477550000_p_Instance _b03529a477550000_p_Instance _703729a477550000_p_Instance _003929a477550000_p_Instance _d03b29a477550000_p_Instance _903d29a477550000_p_Instance _203f29a477550000_p_Instance _c04229a477550000_p_Instance _304529a477550000_p_Instance _a04729a477550000_p_Instance _a04a29a477550000_p_Instance _604c29a477550000_p_Instance _f04d29a477550000_p_Instance _c05029a477550000_p_Instance _805229a477550000_p_Instance _105429a477550000_p_Instance _e05629a477550000_p_Instance _a05829a477550000_p_Instance _305a29a477550000_p_Instance _005d29a477550000_p_Instance _c05e29a477550000_p_Instance _506029a477550000_p_Instance _206329a477550000_p_Instance _e06429a477550000_p_Instance _706629a477550000_p_Instance _406929a477550000_p_Instance _006b29a477550000_p_Instance _906c29a477550000_p_Instance _606f29a477550000_p_Instance _207129a477550000_p_Instance _b07229a477550000_p_Instance _807529a477550000_p_Instance _407729a477550000_p_Instance _d07829a477550000_p_Instance _a07b29a477550000_p_Instance _607d29a477550000_p_Instance _f07e29a477550000_p_Instance _c08129a477550000_p_Instance _808329a477550000_p_Instance _108529a477550000_p_Instance _e08729a477550000_p_Instance _a08929a477550000_p_Instance _308b29a477550000_p_Instance _008e29a477550000_p_Instance _c08f29a477550000_p_Instance _509129a477550000_p_Instance _209429a477550000_p_Instance _e09529a477550000_p_Instance _709729a477550000_p_Instance _409a29a477550000_p_Instance _009c29a477550000_p_Instance _909d29a477550000_p_Instance _60a029a477550000_p_Instance _20a229a477550000_p_Instance _b0a329a477550000_p_Instance _d0a529a477550000_p_Instance _d0a729a477550000_p_Instance _a0a929a477550000_p_Instance _70ab29a477550000_p_Instance _40ad29a477550000_p_Instance _80ae29a477550000_p_Instance _c0af29a477550000_p_Instance _00b129a477550000_p_Instance _40b229a477550000_p_Instance _80b329a477550000_p_Instance _c0b429a477550000_p_Instance _00b629a477550000_p_Instance _40b729a477550000_p_Instance _f0ba29a477550000_p_Instance _60bd29a477550000_p_Instance _d0bf29a477550000_p_Instance _b0c329a477550000_p_Instance _20c629a477550000_p_Instance _90c829a477550000_p_Instance _90cb29a477550000_p_Instance _50cd29a477550000_p_Instance _e0ce29a477550000_p_Instance _b0d129a477550000_p_Instance _70d329a477550000_p_Instance _00d529a477550000_p_Instance _d0d729a477550000_p_Instance _90d929a477550000_p_Instance _20db29a477550000_p_Instance _f0dd29a477550000_p_Instance _b0df29a477550000_p_Instance _40e129a477550000_p_Instance _10e429a477550000_p_Instance _d0e529a477550000_p_Instance _60e729a477550000_p_Instance _10eb29a477550000_p_Instance _80ed29a477550000_p_Instance _f0ef29a477550000_p_Instance _f0f229a477550000_p_Instance _b0f429a477550000_p_Instance _40f629a477550000_p_Instance _10f929a477550000_p_Instance _d0fa29a477550000_p_Instance _60fc29a477550000_p_Instance _30ff29a477550000_p_Instance _f0002aa477550000_p_Instance _80022aa477550000_p_Instance _50052aa477550000_p_Instance _10072aa477550000_p_Instance _a0082aa477550000_p_Instance _700b2aa477550000_p_Instance _300d2aa477550000_p_Instance _c00e2aa477550000_p_Instance _90112aa477550000_p_Instance _50132aa477550000_p_Instance _e0142aa477550000_p_Instance _b0172aa477550000_p_Instance _70192aa477550000_p_Instance _001b2aa477550000_p_Instance _d01d2aa477550000_p_Instance _901f2aa477550000_p_Instance _20212aa477550000_p_Instance _d0242aa477550000_p_Instance _40272aa477550000_p_Instance _b0292aa477550000_p_Instance _b02c2aa477550000_p_Instance _702e2aa477550000_p_Instance _00302aa477550000_p_Instance _d0322aa477550000_p_Instance _90342aa477550000_p_Instance _20362aa477550000_p_Instance _f0382aa477550000_p_Instance _b03a2aa477550000_p_Instance _403c2aa477550000_p_Instance _103f2aa477550000_p_Instance _d0402aa477550000_p_Instance _60422aa477550000_p_Instance _30452aa477550000_p_Instance _f0462aa477550000_p_Instance _80482aa477550000_p_Instance _504b2aa477550000_p_Instance _104d2aa477550000_p_Instance _a04e2aa477550000_p_Instance _70512aa477550000_p_Instance _30532aa477550000_p_Instance _c0542aa477550000_p_Instance _90572aa477550000_p_Instance _50592aa477550000_p_Instance _e05a2aa477550000_p_Instance _b05d2aa477550000_p_Instance _705f2aa477550000_p_Instance _00612aa477550000_p_Instance _d0632aa477550000_p_Instance _90652aa477550000_p_Instance _20672aa477550000_p_Instance _f0692aa477550000_p_Instance _b06b2aa477550000_p_Instance _406d2aa477550000_p_Instance _10702aa477550000_p_Instance _d0712aa477550000_p_Instance _60732aa477550000_p_Instance _30762aa477550000_p_Instance _f0772aa477550000_p_Instance _80792aa477550000_p_Instance _507c2aa477550000_p_Instance _107e2aa477550000_p_Instance _a07f2aa477550000_p_Instance _70822aa477550000_p_Instance _30842aa477550000_p_Instance _c0852aa477550000_p_Instance _e0872aa477550000_p_Instance _e0892aa477550000_p_Instance _b08b2aa477550000_p_Instance _808d2aa477550000_p_Instance _508f2aa477550000_p_Instance _a0902aa477550000_p_Instance _f0912aa477550000_p_Instance _40932aa477550000_p_Instance _90942aa477550000_p_Instance _e0952aa477550000_p_Instance _30972aa477550000_p_Instance _80982aa477550000_p_Instance _d0992aa477550000_p_Instance _809d2aa477550000_p_Instance _f09f2aa477550000_p_Instance _60a22aa477550000_p_Instance _40a62aa477550000_p_Instance _b0a82aa477550000_p_Instance _20ab2aa477550000_p_Instance _20ae2aa477550000_p_Instance _e0af2aa477550000_p_Instance _70b12aa477550000_p_Instance _40b42aa477550000_p_Instance _00b62aa477550000_p_Instance _90b72aa477550000_p_Instance _60ba2aa477550000_p_Instance _20bc2aa477550000_p_Instance _b0bd2aa477550000_p_Instance _80c02aa477550000_p_Instance _40c22aa477550000_p_Instance _d0c32aa477550000_p_Instance _a0c62aa477550000_p_Instance _60c82aa477550000_p_Instance _f0c92aa477550000_p_Instance _a0cd2aa477550000_p_Instance _10d02aa477550000_p_Instance _80d22aa477550000_p_Instance _80d52aa477550000_p_Instance _40d72aa477550000_p_Instance _d0d82aa477550000_p_Instance _a0db2aa477550000_p_Instance _60dd2aa477550000_p_Instance _f0de2aa477550000_p_Instance _c0e12aa477550000_p_Instance _80e32aa477550000_p_Instance _10e52aa477550000_p_Instance _e0e72aa477550000_p_Instance _a0e92aa477550000_p_Instance _30eb2aa477550000_p_Instance _00ee2aa477550000_p_Instance _c0ef2aa477550000_p_Instance _50f12aa477550000_p_Instance _20f42aa477550000_p_Instance _e0f52aa477550000_p_Instance _70f72aa477550000_p_Instance _40fa2aa477550000_p_Instance _00fc2aa477550000_p_Instance _90fd2aa477550000_p_Instance _60002ba477550000_p_Instance _20022ba477550000_p_Instance _b0032ba477550000_p_Instance _60072ba477550000_p_Instance _d0092ba477550000_p_Instance _400c2ba477550000_p_Instance _400f2ba477550000_p_Instance _00112ba477550000_p_Instance _90122ba477550000_p_Instance _60152ba477550000_p_Instance _20172ba477550000_p_Instance _b0182ba477550000_p_Instance _801b2ba477550000_p_Instance _401d2ba477550000_p_Instance _d01e2ba477550000_p_Instance _a0212ba477550000_p_Instance _60232ba477550000_p_Instance _f0242ba477550000_p_Instance _c0272ba477550000_p_Instance _80292ba477550000_p_Instance _102b2ba477550000_p_Instance _e02d2ba477550000_p_Instance _a02f2ba477550000_p_Instance _30312ba477550000_p_Instance _00342ba477550000_p_Instance _c0352ba477550000_p_Instance _50372ba477550000_p_Instance _203a2ba477550000_p_Instance _e03b2ba477550000_p_Instance _703d2ba477550000_p_Instance _40402ba477550000_p_Instance _00422ba477550000_p_Instance _90432ba477550000_p_Instance _60462ba477550000_p_Instance _20482ba477550000_p_Instance _b0492ba477550000_p_Instance _804c2ba477550000_p_Instance _404e2ba477550000_p_Instance _d04f2ba477550000_p_Instance _a0522ba477550000_p_Instance _60542ba477550000_p_Instance _f0552ba477550000_p_Instance _c0582ba477550000_p_Instance _805a2ba477550000_p_Instance _105c2ba477550000_p_Instance _e05e2ba477550000_p_Instance _a0602ba477550000_p_Instance _30622ba477550000_p_Instance _00652ba477550000_p_Instance _c0662ba477550000_p_Instance _50682ba477550000_p_Instance _706a2ba477550000_p_Instance _706c2ba477550000_p_Instance _406e2ba477550000_p_Instance _10702ba477550000_p_Instance _e0712ba477550000_p_Instance _30732ba477550000_p_Instance _80742ba477550000_p_Instance _d0752ba477550000_p_Instance _20772ba477550000_p_Instance _70782ba477550000_p_Instance _c0792ba477550000_p_Instance _107b2ba477550000_p_Instance _607c2ba477550000_p_Instance _10802ba477550000_p_Instance _80822ba477550000_p_Instance _f0842ba477550000_p_Instance _d0882ba477550000_p_Instance _408b2ba477550000_p_Instance _b08d2ba477550000_p_Instance _b0902ba477550000_p_Instance _70922ba477550000_p_Instance _00942ba477550000_p_Instance _d0962ba477550000_p_Instance _90982ba477550000_p_Instance _209a2ba477550000_p_Instance _f09c2ba477550000_p_Instance _b09e2ba477550000_p_Instance _40a02ba477550000_p_Instance _10a32ba477550000_p_Instance _d0a42ba477550000_p_Instance _60a62ba477550000_p_Instance _30a92ba477550000_p_Instance _f0aa2ba477550000_p_Instance _80ac2ba477550000_p_Instance _30b02ba477550000_p_Instance _a0b22ba477550000_p_Instance _10b52ba477550000_p_Instance _10b82ba477550000_p_Instance _d0b92ba477550000_p_Instance _60bb2ba477550000_p_Instance _30be2ba477550000_p_Instance _f0bf2ba477550000_p_Instance _80c12ba477550000_p_Instance _50c42ba477550000_p_Instance _10c62ba477550000_p_Instance _a0c72ba477550000_p_Instance _70ca2ba477550000_p_Instance _30cc2ba477550000_p_Instance _c0cd2ba477550000_p_Instance _90d02ba477550000_p_Instance _50d22ba477550000_p_Instance _e0d32ba477550000_p_Instance _b0d62ba477550000_p_Instance _70d82ba477550000_p_Instance _00da2ba477550000_p_Instance _d0dc2ba477550000_p_Instance _90de2ba477550000_p_Instance _20e02ba477550000_p_Instance _f0e22ba477550000_p_Instance _b0e42ba477550000_p_Instance _40e62ba477550000_p_Instance _f0e92ba477550000_p_Instance _60ec2ba477550000_p_Instance _d0ee2ba477550000_p_Instance _d0f12ba477550000_p_Instance _90f32ba477550000_p_Instance _20f52ba477550000_p_Instance _f0f72ba477550000_p_Instance _b0f92ba477550000_p_Instance _40fb2ba477550000_p_Instance _10fe2ba477550000_p_Instance _d0ff2ba477550000_p_Instance _60012ca477550000_p_Instance _30042ca477550000_p_Instance _f0052ca477550000_p_Instance _80072ca477550000_p_Instance _500a2ca477550000_p_Instance _100c2ca477550000_p_Instance _a00d2ca477550000_p_Instance _70102ca477550000_p_Instance _30122ca477550000_p_Instance _c0132ca477550000_p_Instance _90162ca477550000_p_Instance _50182ca477550000_p_Instance _e0192ca477550000_p_Instance _b01c2ca477550000_p_Instance _701e2ca477550000_p_Instance _00202ca477550000_p_Instance _d0222ca477550000_p_Instance _90242ca477550000_p_Instance _20262ca477550000_p_Instance _f0282ca477550000_p_Instance _b02a2ca477550000_p_Instance _402c2ca477550000_p_Instance _102f2ca477550000_p_Instance _d0302ca477550000_p_Instance _60322ca477550000_p_Instance _30352ca477550000_p_Instance _f0362ca477550000_p_Instance _80382ca477550000_p_Instance _503b2ca477550000_p_Instance _103d2ca477550000_p_Instance _a03e2ca477550000_p_Instance _70412ca477550000_p_Instance _30432ca477550000_p_Instance _c0442ca477550000_p_Instance _90472ca477550000_p_Instance _50492ca477550000_p_Instance _e04a2ca477550000_p_Instance _004d2ca477550000_p_Instance _004f2ca477550000_p_Instance _d0502ca477550000_p_Instance _a0522ca477550000_p_Instance _70542ca477550000_p_Instance _c0552ca477550000_p_Instance _10572ca477550000_p_Instance _60582ca477550000_p_Instance _b0592ca477550000_p_Instance _005b2ca477550000_p_Instance _505c2ca477550000_p_Instance _a05d2ca477550000_p_Instance _f05e2ca477550000_p_Instance _a0622ca477550000_p_Instance _10652ca477550000_p_Instance _80672ca477550000_p_Instance _606b2ca477550000_p_Instance _d06d2ca477550000_p_Instance _40702ca477550000_p_Instance _40732ca477550000_p_Instance _00752ca477550000_p_Instance _90762ca477550000_p_Instance _60792ca477550000_p_Instance _207b2ca477550000_p_Instance _b07c2ca477550000_p_Instance _807f2ca477550000_p_Instance _40812ca477550000_p_Instance _d0822ca477550000_p_Instance _a0852ca477550000_p_Instance _60872ca477550000_p_Instance _f0882ca477550000_p_Instance _c08b2ca477550000_p_Instance _808d2ca477550000_p_Instance _108f2ca477550000_p_Instance _c0922ca477550000_p_Instance _30952ca477550000_p_Instance _a0972ca477550000_p_Instance _a09a2ca477550000_p_Instance _609c2ca477550000_p_Instance _f09d2ca477550000_p_Instance _c0a02ca477550000_p_Instance _80a22ca477550000_p_Instance _10a42ca477550000_p_Instance _e0a62ca477550000_p_Instance _a0a82ca477550000_p_Instance _30aa2ca477550000_p_Instance _00ad2ca477550000_p_Instance _c0ae2ca477550000_p_Instance _50b02ca477550000_p_Instance _20b32ca477550000_p_Instance _e0b42ca477550000_p_Instance _70b62ca477550000_p_Instance _40b92ca477550000_p_Instance _00bb2ca477550000_p_Instance _90bc2ca477550000_p_Instance _60bf2ca477550000_p_Instance _20c12ca477550000_p_Instance _b0c22ca477550000_p_Instance _80c52ca477550000_p_Instance _40c72ca477550000_p_Instance _d0c82ca477550000_p_Instance _80cc2ca477550000_p_Instance _f0ce2ca477550000_p_Instance _60d12ca477550000_p_Instance _60d42ca477550000_p_Instance _20d62ca477550000_p_Instance _b0d72ca477550000_p_Instance _80da2ca477550000_p_Instance _40dc2ca477550000_p_Instance _d0dd2ca477550000_p_Instance _a0e02ca477550000_p_Instance _60e22ca477550000_p_Instance _f0e32ca477550000_p_Instance _c0e62ca477550000_p_Instance _80e82ca477550000_p_Instance _10ea2ca477550000_p_Instance _e0ec2ca477550000_p_Instance _a0ee2ca477550000_p_Instance _30f02ca477550000_p_Instance _00f32ca477550000_p_Instance _c0f42ca477550000_p_Instance _50f62ca477550000_p_Instance _20f92ca477550000_p_Instance _e0fa2ca477550000_p_Instance _70fc2ca477550000_p_Instance _40ff2ca477550000_p_Instance _00012da477550000_p_Instance _90022da477550000_p_Instance _60052da477550000_p_Instance _20072da477550000_p_Instance _b0082da477550000_p_Instance _800b2da477550000_p_Instance _400d2da477550000_p_Instance _d00e2da477550000_p_Instance _a0112da477550000_p_Instance _60132da477550000_p_Instance _f0142da477550000_p_Instance _c0172da477550000_p_Instance _80192da477550000_p_Instance _101b2da477550000_p_Instance _e01d2da477550000_p_Instance _a01f2da477550000_p_Instance _30212da477550000_p_Instance _00242da477550000_p_Instance _c0252da477550000_p_Instance _50272da477550000_p_Instance _202a2da477550000_p_Instance _e02b2da477550000_p_Instance _702d2da477550000_p_Instance _902f2da477550000_p_Instance _90312da477550000_p_Instance _60332da477550000_p_Instance _30352da477550000_p_Instance _00372da477550000_p_Instance _50382da477550000_p_Instance _a0392da477550000_p_Instance _f03a2da477550000_p_Instance _403c2da477550000_p_Instance _903d2da477550000_p_Instance _e03e2da477550000_p_Instance _30402da477550000_p_Instance _80412da477550000_p_Instance _30452da477550000_p_Instance _a0472da477550000_p_Instance _104a2da477550000_p_Instance _f04d2da477550000_p_Instance _60502da477550000_p_Instance _d0522da477550000_p_Instance _d0552da477550000_p_Instance _90572da477550000_p_Instance _20592da477550000_p_Instance _f05b2da477550000_p_Instance _b05d2da477550000_p_Instance _405f2da477550000_p_Instance _10622da477550000_p_Instance _d0632da477550000_p_Instance _60652da477550000_p_Instance _30682da477550000_p_Instance _f0692da477550000_p_Instance _806b2da477550000_p_Instance _506e2da477550000_p_Instance _10702da477550000_p_Instance _a0712da477550000_p_Instance _50752da477550000_p_Instance _c0772da477550000_p_Instance _307a2da477550000_p_Instance _307d2da477550000_p_Instance _f07e2da477550000_p_Instance _80802da477550000_p_Instance _50832da477550000_p_Instance _10852da477550000_p_Instance _a0862da477550000_p_Instance _70892da477550000_p_Instance _308b2da477550000_p_Instance _c08c2da477550000_p_Instance _908f2da477550000_p_Instance _50912da477550000_p_Instance _e0922da477550000_p_Instance _b0952da477550000_p_Instance _70972da477550000_p_Instance _00992da477550000_p_Instance _d09b2da477550000_p_Instance _909d2da477550000_p_Instance _209f2da477550000_p_Instance _f0a12da477550000_p_Instance _b0a32da477550000_p_Instance _40a52da477550000_p_Instance _10a82da477550000_p_Instance _d0a92da477550000_p_Instance _60ab2da477550000_p_Instance _10af2da477550000_p_Instance _80b12da477550000_p_Instance _f0b32da477550000_p_Instance _f0b62da477550000_p_Instance _b0b82da477550000_p_Instance _40ba2da477550000_p_Instance _10bd2da477550000_p_Instance _d0be2da477550000_p_Instance _60c02da477550000_p_Instance _30c32da477550000_p_Instance _f0c42da477550000_p_Instance _80c62da477550000_p_Instance _50c92da477550000_p_Instance _10cb2da477550000_p_Instance _a0cc2da477550000_p_Instance _70cf2da477550000_p_Instance _30d12da477550000_p_Instance _c0d22da477550000_p_Instance _90d52da477550000_p_Instance _50d72da477550000_p_Instance _e0d82da477550000_p_Instance _b0db2da477550000_p_Instance _70dd2da477550000_p_Instance _00df2da477550000_p_Instance _d0e12da477550000_p_Instance _90e32da477550000_p_Instance _20e52da477550000_p_Instance _f0e72da477550000_p_Instance _b0e92da477550000_p_Instance _40eb2da477550000_p_Instance _10ee2da477550000_p_Instance _d0ef2da477550000_p_Instance _60f12da477550000_p_Instance _30f42da477550000_p_Instance _f0f52da477550000_p_Instance _80f72da477550000_p_Instance _50fa2da477550000_p_Instance _10fc2da477550000_p_Instance _a0fd2da477550000_p_Instance _70002ea477550000_p_Instance _30022ea477550000_p_Instance _c0032ea477550000_p_Instance _90062ea477550000_p_Instance _50082ea477550000_p_Instance _e0092ea477550000_p_Instance _b00c2ea477550000_p_Instance _700e2ea477550000_p_Instance _00102ea477550000_p_Instance _20122ea477550000_p_Instance _20142ea477550000_p_Instance _f0152ea477550000_p_Instance _c0172ea477550000_p_Instance _90192ea477550000_p_Instance _e01a2ea477550000_p_Instance _301c2ea477550000_p_Instance _801d2ea477550000_p_Instance _d01e2ea477550000_p_Instance _20202ea477550000_p_Instance _70212ea477550000_p_Instance _c0222ea477550000_p_Instance _10242ea477550000_p_Instance _c0272ea477550000_p_Instance _302a2ea477550000_p_Instance _a02c2ea477550000_p_Instance _80302ea477550000_p_Instance _f0322ea477550000_p_Instance _60352ea477550000_p_Instance _60382ea477550000_p_Instance _203a2ea477550000_p_Instance _b03b2ea477550000_p_Instance _803e2ea477550000_p_Instance _40402ea477550000_p_Instance _d0412ea477550000_p_Instance _a0442ea477550000_p_Instance _60462ea477550000_p_Instance _f0472ea477550000_p_Instance _c04a2ea477550000_p_Instance _804c2ea477550000_p_Instance _104e2ea477550000_p_Instance _e0502ea477550000_p_Instance _a0522ea477550000_p_Instance _30542ea477550000_p_Instance _e0572ea477550000_p_Instance _505a2ea477550000_p_Instance _c05c2ea477550000_p_Instance _c05f2ea477550000_p_Instance _80612ea477550000_p_Instance _10632ea477550000_p_Instance _e0652ea477550000_p_Instance _a0672ea477550000_p_Instance _30692ea477550000_p_Instance _006c2ea477550000_p_Instance _c06d2ea477550000_p_Instance _506f2ea477550000_p_Instance _20722ea477550000_p_Instance _e0732ea477550000_p_Instance _70752ea477550000_p_Instance _40782ea477550000_p_Instance _007a2ea477550000_p_Instance _907b2ea477550000_p_Instance _607e2ea477550000_p_Instance _20802ea477550000_p_Instance _b0812ea477550000_p_Instance _80842ea477550000_p_Instance _40862ea477550000_p_Instance _d0872ea477550000_p_Instance _a08a2ea477550000_p_Instance _608c2ea477550000_p_Instance _f08d2ea477550000_p_Instance _a0912ea477550000_p_Instance _10942ea477550000_p_Instance _80962ea477550000_p_Instance _80992ea477550000_p_Instance _409b2ea477550000_p_Instance _d09c2ea477550000_p_Instance _a09f2ea477550000_p_Instance _60a12ea477550000_p_Instance _f0a22ea477550000_p_Instance _c0a52ea477550000_p_Instance _80a72ea477550000_p_Instance _10a92ea477550000_p_Instance _e0ab2ea477550000_p_Instance _a0ad2ea477550000_p_Instance _30af2ea477550000_p_Instance _00b22ea477550000_p_Instance _c0b32ea477550000_p_Instance _50b52ea477550000_p_Instance _20b82ea477550000_p_Instance _e0b92ea477550000_p_Instance _70bb2ea477550000_p_Instance _40be2ea477550000_p_Instance _00c02ea477550000_p_Instance _90c12ea477550000_p_Instance _60c42ea477550000_p_Instance _20c62ea477550000_p_Instance _b0c72ea477550000_p_Instance _80ca2ea477550000_p_Instance _40cc2ea477550000_p_Instance _d0cd2ea477550000_p_Instance _a0d02ea477550000_p_Instance _60d22ea477550000_p_Instance _f0d32ea477550000_p_Instance _c0d62ea477550000_p_Instance _80d82ea477550000_p_Instance _10da2ea477550000_p_Instance _e0dc2ea477550000_p_Instance _a0de2ea477550000_p_Instance _30e02ea477550000_p_Instance _00e32ea477550000_p_Instance _c0e42ea477550000_p_Instance _50e62ea477550000_p_Instance _20e92ea477550000_p_Instance _e0ea2ea477550000_p_Instance _70ec2ea477550000_p_Instance _40ef2ea477550000_p_Instance _00f12ea477550000_p_Instance _90f22ea477550000_p_Instance _b0f42ea477550000_p_Instance _b0f62ea477550000_p_Instance _80f82ea477550000_p_Instance _50fa2ea477550000_p_Instance _20fc2ea477550000_p_Instance _70fd2ea477550000_p_Instance _c0fe2ea477550000_p_Instance _10002fa477550000_p_Instance _60012fa477550000_p_Instance _b0022fa477550000_p_Instance _00042fa477550000_p_Instance _50052fa477550000_p_Instance _a0062fa477550000_p_Instance _500a2fa477550000_p_Instance _c00c2fa477550000_p_Instance _300f2fa477550000_p_Instance _10132fa477550000_p_Instance _80152fa477550000_p_Instance _f0172fa477550000_p_Instance _f01a2fa477550000_p_Instance _b01c2fa477550000_p_Instance _401e2fa477550000_p_Instance _10212fa477550000_p_Instance _d0222fa477550000_p_Instance _60242fa477550000_p_Instance _30272fa477550000_p_Instance _f0282fa477550000_p_Instance _802a2fa477550000_p_Instance _502d2fa477550000_p_Instance _102f2fa477550000_p_Instance _a0302fa477550000_p_Instance _70332fa477550000_p_Instance _30352fa477550000_p_Instance _c0362fa477550000_p_Instance _703a2fa477550000_p_Instance _e03c2fa477550000_p_Instance _503f2fa477550000_p_Instance _50422fa477550000_p_Instance _10442fa477550000_p_Instance _a0452fa477550000_p_Instance _70482fa477550000_p_Instance _304a2fa477550000_p_Instance _c04b2fa477550000_p_Instance _904e2fa477550000_p_Instance _50502fa477550000_p_Instance _e0512fa477550000_p_Instance _b0542fa477550000_p_Instance _70562fa477550000_p_Instance _00582fa477550000_p_Instance _d05a2fa477550000_p_Instance _905c2fa477550000_p_Instance _205e2fa477550000_p_Instance _f0602fa477550000_p_Instance _b0622fa477550000_p_Instance _40642fa477550000_p_Instance _10672fa477550000_p_Instance _d0682fa477550000_p_Instance _606a2fa477550000_p_Instance _306d2fa477550000_p_Instance _f06e2fa477550000_p_Instance _80702fa477550000_p_Instance _30742fa477550000_p_Instance _a0762fa477550000_p_Instance _10792fa477550000_p_Instance _107c2fa477550000_p_Instance _d07d2fa477550000_p_Instance _607f2fa477550000_p_Instance _30822fa477550000_p_Instance _f0832fa477550000_p_Instance _80852fa477550000_p_Instance _50882fa477550000_p_Instance _108a2fa477550000_p_Instance _a08b2fa477550000_p_Instance _708e2fa477550000_p_Instance _30902fa477550000_p_Instance _c0912fa477550000_p_Instance _90942fa477550000_p_Instance _50962fa477550000_p_Instance _e0972fa477550000_p_Instance _b09a2fa477550000_p_Instance _709c2fa477550000_p_Instance _009e2fa477550000_p_Instance _d0a02fa477550000_p_Instance _90a22fa477550000_p_Instance _20a42fa477550000_p_Instance _f0a62fa477550000_p_Instance _b0a82fa477550000_p_Instance _40aa2fa477550000_p_Instance _10ad2fa477550000_p_Instance _d0ae2fa477550000_p_Instance _60b02fa477550000_p_Instance _30b32fa477550000_p_Instance _f0b42fa477550000_p_Instance _80b62fa477550000_p_Instance _50b92fa477550000_p_Instance _10bb2fa477550000_p_Instance _a0bc2fa477550000_p_Instance _70bf2fa477550000_p_Instance _30c12fa477550000_p_Instance _c0c22fa477550000_p_Instance _90c52fa477550000_p_Instance _50c72fa477550000_p_Instance _e0c82fa477550000_p_Instance _b0cb2fa477550000_p_Instance _70cd2fa477550000_p_Instance _00cf2fa477550000_p_Instance _d0d12fa477550000_p_Instance _90d32fa477550000_p_Instance _20d52fa477550000_p_Instance _40d72fa477550000_p_Instance _40d92fa477550000_p_Instance _10db2fa477550000_p_Instance _e0dc2fa477550000_p_Instance _b0de2fa477550000_p_Instance _00e02fa477550000_p_Instance _50e12fa477550000_p_Instance _a0e22fa477550000_p_Instance _f0e32fa477550000_p_Instance _40e52fa477550000_p_Instance _90e62fa477550000_p_Instance _e0e72fa477550000_p_Instance _30e92fa477550000_p_Instance _e0ec2fa477550000_p_Instance _50ef2fa477550000_p_Instance _c0f12fa477550000_p_Instance _a0f52fa477550000_p_Instance _10f82fa477550000_p_Instance _80fa2fa477550000_p_Instance _80fd2fa477550000_p_Instance _40ff2fa477550000_p_Instance _d00030a477550000_p_Instance _a00330a477550000_p_Instance _600530a477550000_p_Instance _f00630a477550000_p_Instance _c00930a477550000_p_Instance _800b30a477550000_p_Instance _100d30a477550000_p_Instance _e00f30a477550000_p_Instance _a01130a477550000_p_Instance _301330a477550000_p_Instance _001630a477550000_p_Instance _c01730a477550000_p_Instance _501930a477550000_p_Instance _001d30a477550000_p_Instance _701f30a477550000_p_Instance _e02130a477550000_p_Instance _e02430a477550000_p_Instance _a02630a477550000_p_Instance _302830a477550000_p_Instance _002b30a477550000_p_Instance _c02c30a477550000_p_Instance _502e30a477550000_p_Instance _203130a477550000_p_Instance _e03230a477550000_p_Instance _703430a477550000_p_Instance _403730a477550000_p_Instance _003930a477550000_p_Instance _903a30a477550000_p_Instance _603d30a477550000_p_Instance _203f30a477550000_p_Instance _b04030a477550000_p_Instance _804330a477550000_p_Instance _404530a477550000_p_Instance _d04630a477550000_p_Instance _a04930a477550000_p_Instance _604b30a477550000_p_Instance _f04c30a477550000_p_Instance _c04f30a477550000_p_Instance _805130a477550000_p_Instance _105330a477550000_p_Instance _c05630a477550000_p_Instance _305930a477550000_p_Instance _a05b30a477550000_p_Instance _a05e30a477550000_p_Instance _606030a477550000_p_Instance _f06130a477550000_p_Instance _c06430a477550000_p_Instance _806630a477550000_p_Instance _106830a477550000_p_Instance _e06a30a477550000_p_Instance _a06c30a477550000_p_Instance _306e30a477550000_p_Instance _007130a477550000_p_Instance _c07230a477550000_p_Instance _507430a477550000_p_Instance _207730a477550000_p_Instance _e07830a477550000_p_Instance _707a30a477550000_p_Instance _407d30a477550000_p_Instance _007f30a477550000_p_Instance _908030a477550000_p_Instance _608330a477550000_p_Instance _208530a477550000_p_Instance _b08630a477550000_p_Instance _808930a477550000_p_Instance _408b30a477550000_p_Instance _d08c30a477550000_p_Instance _a08f30a477550000_p_Instance _609130a477550000_p_Instance _f09230a477550000_p_Instance _c09530a477550000_p_Instance _809730a477550000_p_Instance _109930a477550000_p_Instance _e09b30a477550000_p_Instance _a09d30a477550000_p_Instance _309f30a477550000_p_Instance _00a230a477550000_p_Instance _c0a330a477550000_p_Instance _50a530a477550000_p_Instance _20a830a477550000_p_Instance _e0a930a477550000_p_Instance _70ab30a477550000_p_Instance _40ae30a477550000_p_Instance _00b030a477550000_p_Instance _90b130a477550000_p_Instance _60b430a477550000_p_Instance _20b630a477550000_p_Instance _b0b730a477550000_p_Instance _d0b930a477550000_p_Instance _d0bb30a477550000_p_Instance _a0bd30a477550000_p_Instance _70bf30a477550000_p_Instance _40c130a477550000_p_Instance _90c230a477550000_p_Instance _e0c330a477550000_p_Instance _30c530a477550000_p_Instance _80c630a477550000_p_Instance _d0c730a477550000_p_Instance _20c930a477550000_p_Instance _70ca30a477550000_p_Instance _c0cb30a477550000_p_Instance _70cf30a477550000_p_Instance _e0d130a477550000_p_Instance _50d430a477550000_p_Instance _30d830a477550000_p_Instance _a0da30a477550000_p_Instance _10dd30a477550000_p_Instance _10e030a477550000_p_Instance _d0e130a477550000_p_Instance _60e330a477550000_p_Instance _30e630a477550000_p_Instance _f0e730a477550000_p_Instance _80e930a477550000_p_Instance _50ec30a477550000_p_Instance _10ee30a477550000_p_Instance _a0ef30a477550000_p_Instance _70f230a477550000_p_Instance _30f430a477550000_p_Instance _c0f530a477550000_p_Instance _90f830a477550000_p_Instance _50fa30a477550000_p_Instance _e0fb30a477550000_p_Instance _90ff30a477550000_p_Instance _000231a477550000_p_Instance _700431a477550000_p_Instance _700731a477550000_p_Instance _300931a477550000_p_Instance _c00a31a477550000_p_Instance _900d31a477550000_p_Instance _500f31a477550000_p_Instance _e01031a477550000_p_Instance _b01331a477550000_p_Instance _701531a477550000_p_Instance _001731a477550000_p_Instance _d01931a477550000_p_Instance _901b31a477550000_p_Instance _201d31a477550000_p_Instance _f01f31a477550000_p_Instance _b02131a477550000_p_Instance _402331a477550000_p_Instance _102631a477550000_p_Instance _d02731a477550000_p_Instance _602931a477550000_p_Instance _302c31a477550000_p_Instance _f02d31a477550000_p_Instance _802f31a477550000_p_Instance _503231a477550000_p_Instance _103431a477550000_p_Instance _a03531a477550000_p_Instance _503931a477550000_p_Instance _c03b31a477550000_p_Instance _303e31a477550000_p_Instance _304131a477550000_p_Instance _f04231a477550000_p_Instance _804431a477550000_p_Instance _504731a477550000_p_Instance _104931a477550000_p_Instance _a04a31a477550000_p_Instance _704d31a477550000_p_Instance _304f31a477550000_p_Instance _c05031a477550000_p_Instance _905331a477550000_p_Instance _505531a477550000_p_Instance _e05631a477550000_p_Instance _b05931a477550000_p_Instance _705b31a477550000_p_Instance _005d31a477550000_p_Instance _d05f31a477550000_p_Instance _906131a477550000_p_Instance _206331a477550000_p_Instance _f06531a477550000_p_Instance _b06731a477550000_p_Instance _406931a477550000_p_Instance _106c31a477550000_p_Instance _d06d31a477550000_p_Instance _606f31a477550000_p_Instance _307231a477550000_p_Instance _f07331a477550000_p_Instance _807531a477550000_p_Instance _507831a477550000_p_Instance _107a31a477550000_p_Instance _a07b31a477550000_p_Instance _707e31a477550000_p_Instance _308031a477550000_p_Instance _c08131a477550000_p_Instance _908431a477550000_p_Instance _508631a477550000_p_Instance _e08731a477550000_p_Instance _b08a31a477550000_p_Instance _708c31a477550000_p_Instance _008e31a477550000_p_Instance _d09031a477550000_p_Instance _909231a477550000_p_Instance _209431a477550000_p_Instance _f09631a477550000_p_Instance _b09831a477550000_p_Instance _409a31a477550000_p_Instance _609c31a477550000_p_Instance _609e31a477550000_p_Instance _30a031a477550000_p_Instance _00a231a477550000_p_Instance _d0a331a477550000_p_Instance _20a531a477550000_p_Instance _70a631a477550000_p_Instance _c0a731a477550000_p_Instance _10a931a477550000_p_Instance _60aa31a477550000_p_Instance _b0ab31a477550000_p_Instance _00ad31a477550000_p_Instance _50ae31a477550000_p_Instance _00b231a477550000_p_Instance _70b431a477550000_p_Instance _e0b631a477550000_p_Instance _c0ba31a477550000_p_Instance _30bd31a477550000_p_Instance _a0bf31a477550000_p_Instance _a0c231a477550000_p_Instance _60c431a477550000_p_Instance _f0c531a477550000_p_Instance _c0c831a477550000_p_Instance _80ca31a477550000_p_Instance _10cc31a477550000_p_Instance _e0ce31a477550000_p_Instance _a0d031a477550000_p_Instance _30d231a477550000_p_Instance _00d531a477550000_p_Instance _c0d631a477550000_p_Instance _50d831a477550000_p_Instance _20db31a477550000_p_Instance _e0dc31a477550000_p_Instance _70de31a477550000_p_Instance _20e231a477550000_p_Instance _90e431a477550000_p_Instance _00e731a477550000_p_Instance _00ea31a477550000_p_Instance _c0eb31a477550000_p_Instance _50ed31a477550000_p_Instance _20f031a477550000_p_Instance _e0f131a477550000_p_Instance _70f331a477550000_p_Instance _40f631a477550000_p_Instance _00f831a477550000_p_Instance _90f931a477550000_p_Instance _60fc31a477550000_p_Instance _20fe31a477550000_p_Instance _b0ff31a477550000_p_Instance _800232a477550000_p_Instance _400432a477550000_p_Instance _d00532a477550000_p_Instance _a00832a477550000_p_Instance _600a32a477550000_p_Instance _f00b32a477550000_p_Instance _c00e32a477550000_p_Instance _801032a477550000_p_Instance _101232a477550000_p_Instance _e01432a477550000_p_Instance _a01632a477550000_p_Instance _301832a477550000_p_Instance _e01b32a477550000_p_Instance _501e32a477550000_p_Instance _c02032a477550000_p_Instance _c02332a477550000_p_Instance _802532a477550000_p_Instance _102732a477550000_p_Instance _e02932a477550000_p_Instance _a02b32a477550000_p_Instance _302d32a477550000_p_Instance _003032a477550000_p_Instance _c03132a477550000_p_Instance _503332a477550000_p_Instance _203632a477550000_p_Instance _e03732a477550000_p_Instance _703932a477550000_p_Instance _403c32a477550000_p_Instance _003e32a477550000_p_Instance _903f32a477550000_p_Instance _604232a477550000_p_Instance _204432a477550000_p_Instance _b04532a477550000_p_Instance _804832a477550000_p_Instance _404a32a477550000_p_Instance _d04b32a477550000_p_Instance _a04e32a477550000_p_Instance _605032a477550000_p_Instance _f05132a477550000_p_Instance _c05432a477550000_p_Instance _805632a477550000_p_Instance _105832a477550000_p_Instance _e05a32a477550000_p_Instance _a05c32a477550000_p_Instance _305e32a477550000_p_Instance _006132a477550000_p_Instance _c06232a477550000_p_Instance _506432a477550000_p_Instance _206732a477550000_p_Instance _e06832a477550000_p_Instance _706a32a477550000_p_Instance _406d32a477550000_p_Instance _006f32a477550000_p_Instance _907032a477550000_p_Instance _607332a477550000_p_Instance _207532a477550000_p_Instance _b07632a477550000_p_Instance _807932a477550000_p_Instance _407b32a477550000_p_Instance _d07c32a477550000_p_Instance _f07e32a477550000_p_Instance _f08032a477550000_p_Instance _c08232a477550000_p_Instance _908432a477550000_p_Instance _608632a477550000_p_Instance _b08732a477550000_p_Instance _008932a477550000_p_Instance _508a32a477550000_p_Instance _a08b32a477550000_p_Instance _f08c32a477550000_p_Instance _408e32a477550000_p_Instance _908f32a477550000_p_Instance _e09032a477550000_p_Instance _809432a477550000_p_Instance _f09632a477550000_p_Instance _609932a477550000_p_Instance _309d32a477550000_p_Instance _a09f32a477550000_p_Instance _10a232a477550000_p_Instance _10a532a477550000_p_Instance _d0a632a477550000_p_Instance _60a832a477550000_p_Instance _30ab32a477550000_p_Instance _f0ac32a477550000_p_Instance _80ae32a477550000_p_Instance _50b132a477550000_p_Instance _10b332a477550000_p_Instance _a0b432a477550000_p_Instance _70b732a477550000_p_Instance _30b932a477550000_p_Instance _c0ba32a477550000_p_Instance _90bd32a477550000_p_Instance _50bf32a477550000_p_Instance _e0c032a477550000_p_Instance _80c432a477550000_p_Instance _f0c632a477550000_p_Instance _60c932a477550000_p_Instance _60cc32a477550000_p_Instance _20ce32a477550000_p_Instance _b0cf32a477550000_p_Instance _80d232a477550000_p_Instance _40d432a477550000_p_Instance _d0d532a477550000_p_Instance _a0d832a477550000_p_Instance _60da32a477550000_p_Instance _f0db32a477550000_p_Instance _c0de32a477550000_p_Instance _80e032a477550000_p_Instance _10e232a477550000_p_Instance _e0e432a477550000_p_Instance _a0e632a477550000_p_Instance _30e832a477550000_p_Instance _00eb32a477550000_p_Instance _c0ec32a477550000_p_Instance _50ee32a477550000_p_Instance _20f132a477550000_p_Instance _e0f232a477550000_p_Instance _70f432a477550000_p_Instance _40f732a477550000_p_Instance _00f932a477550000_p_Instance _90fa32a477550000_p_Instance _30fe32a477550000_p_Instance _a00033a477550000_p_Instance _100333a477550000_p_Instance _100633a477550000_p_Instance _d00733a477550000_p_Instance _600933a477550000_p_Instance _300c33a477550000_p_Instance _f00d33a477550000_p_Instance _800f33a477550000_p_Instance _501233a477550000_p_Instance _101433a477550000_p_Instance _a01533a477550000_p_Instance _701833a477550000_p_Instance _301a33a477550000_p_Instance _c01b33a477550000_p_Instance _901e33a477550000_p_Instance _502033a477550000_p_Instance _e02133a477550000_p_Instance _b02433a477550000_p_Instance _702633a477550000_p_Instance _002833a477550000_p_Instance _d02a33a477550000_p_Instance _902c33a477550000_p_Instance _202e33a477550000_p_Instance _f03033a477550000_p_Instance _b03233a477550000_p_Instance _403433a477550000_p_Instance _103733a477550000_p_Instance _d03833a477550000_p_Instance _603a33a477550000_p_Instance _303d33a477550000_p_Instance _f03e33a477550000_p_Instance _804033a477550000_p_Instance _504333a477550000_p_Instance _104533a477550000_p_Instance _a04633a477550000_p_Instance _704933a477550000_p_Instance _304b33a477550000_p_Instance _c04c33a477550000_p_Instance _904f33a477550000_p_Instance _505133a477550000_p_Instance _e05233a477550000_p_Instance _b05533a477550000_p_Instance _705733a477550000_p_Instance _005933a477550000_p_Instance _d05b33a477550000_p_Instance _905d33a477550000_p_Instance _205f33a477550000_p_Instance _406133a477550000_p_Instance _406333a477550000_p_Instance _106533a477550000_p_Instance _e06633a477550000_p_Instance _b06833a477550000_p_Instance _f06933a477550000_p_Instance _306b33a477550000_p_Instance _706c33a477550000_p_Instance _b06d33a477550000_p_Instance _f06e33a477550000_p_Instance _307033a477550000_p_Instance _707133a477550000_p_Instance _b07233a477550000_p_Instance _607633a477550000_p_Instance _d07833a477550000_p_Instance _407b33a477550000_p_Instance _207f33a477550000_p_Instance _908133a477550000_p_Instance _008433a477550000_p_Instance _008733a477550000_p_Instance _c08833a477550000_p_Instance _508a33a477550000_p_Instance _208d33a477550000_p_Instance _e08e33a477550000_p_Instance _709033a477550000_p_Instance _409333a477550000_p_Instance _009533a477550000_p_Instance _909633a477550000_p_Instance _609933a477550000_p_Instance _209b33a477550000_p_Instance _b09c33a477550000_p_Instance _809f33a477550000_p_Instance _40a133a477550000_p_Instance _d0a233a477550000_p_Instance _80a633a477550000_p_Instance _f0a833a477550000_p_Instance _60ab33a477550000_p_Instance _60ae33a477550000_p_Instance _20b033a477550000_p_Instance _b0b133a477550000_p_Instance _80b433a477550000_p_Instance _40b633a477550000_p_Instance _d0b733a477550000_p_Instance _a0ba33a477550000_p_Instance _60bc33a477550000_p_Instance _f0bd33a477550000_p_Instance _c0c033a477550000_p_Instance _80c233a477550000_p_Instance _10c433a477550000_p_Instance _e0c633a477550000_p_Instance _a0c833a477550000_p_Instance _30ca33a477550000_p_Instance _00cd33a477550000_p_Instance _c0ce33a477550000_p_Instance _50d033a477550000_p_Instance _20d333a477550000_p_Instance _e0d433a477550000_p_Instance _70d633a477550000_p_Instance _40d933a477550000_p_Instance _00db33a477550000_p_Instance _90dc33a477550000_p_Instance _40e033a477550000_p_Instance _b0e233a477550000_p_Instance _20e533a477550000_p_Instance _20e833a477550000_p_Instance _e0e933a477550000_p_Instance _70eb33a477550000_p_Instance _40ee33a477550000_p_Instance _00f033a477550000_p_Instance _90f133a477550000_p_Instance _60f433a477550000_p_Instance _20f633a477550000_p_Instance _b0f733a477550000_p_Instance _80fa33a477550000_p_Instance _40fc33a477550000_p_Instance _d0fd33a477550000_p_Instance _a00034a477550000_p_Instance _600234a477550000_p_Instance _f00334a477550000_p_Instance _c00634a477550000_p_Instance _800834a477550000_p_Instance _100a34a477550000_p_Instance _e00c34a477550000_p_Instance _a00e34a477550000_p_Instance _301034a477550000_p_Instance _001334a477550000_p_Instance _c01434a477550000_p_Instance _501634a477550000_p_Instance _201934a477550000_p_Instance _e01a34a477550000_p_Instance _701c34a477550000_p_Instance _401f34a477550000_p_Instance _002134a477550000_p_Instance _902234a477550000_p_Instance _602534a477550000_p_Instance _202734a477550000_p_Instance _b02834a477550000_p_Instance _802b34a477550000_p_Instance _402d34a477550000_p_Instance _d02e34a477550000_p_Instance _a03134a477550000_p_Instance _603334a477550000_p_Instance _f03434a477550000_p_Instance _c03734a477550000_p_Instance _803934a477550000_p_Instance _103b34a477550000_p_Instance _e03d34a477550000_p_Instance _a03f34a477550000_p_Instance _304134a477550000_p_Instance _504334a477550000_p_Instance _504534a477550000_p_Instance _204734a477550000_p_Instance _f04834a477550000_p_Instance _c04a34a477550000_p_Instance _104c34a477550000_p_Instance _604d34a477550000_p_Instance _b04e34a477550000_p_Instance _005034a477550000_p_Instance _505134a477550000_p_Instance _a05234a477550000_p_Instance _f05334a477550000_p_Instance _405534a477550000_p_Instance _f05834a477550000_p_Instance _605b34a477550000_p_Instance _d05d34a477550000_p_Instance _b06134a477550000_p_Instance _206434a477550000_p_Instance _906634a477550000_p_Instance _906934a477550000_p_Instance _506b34a477550000_p_Instance _e06c34a477550000_p_Instance _b06f34a477550000_p_Instance _707134a477550000_p_Instance _007334a477550000_p_Instance _d07534a477550000_p_Instance _907734a477550000_p_Instance _207934a477550000_p_Instance _f07b34a477550000_p_Instance _b07d34a477550000_p_Instance _407f34a477550000_p_Instance _108234a477550000_p_Instance _d08334a477550000_p_Instance _608534a477550000_p_Instance _108934a477550000_p_Instance _808b34a477550000_p_Instance _f08d34a477550000_p_Instance _f09034a477550000_p_Instance _b09234a477550000_p_Instance _409434a477550000_p_Instance _109734a477550000_p_Instance _d09834a477550000_p_Instance _609a34a477550000_p_Instance _309d34a477550000_p_Instance _f09e34a477550000_p_Instance _80a034a477550000_p_Instance _50a334a477550000_p_Instance _10a534a477550000_p_Instance _a0a634a477550000_p_Instance _70a934a477550000_p_Instance _30ab34a477550000_p_Instance _c0ac34a477550000_p_Instance _90af34a477550000_p_Instance _50b134a477550000_p_Instance _e0b234a477550000_p_Instance _b0b534a477550000_p_Instance _70b734a477550000_p_Instance _00b934a477550000_p_Instance _d0bb34a477550000_p_Instance _90bd34a477550000_p_Instance _20bf34a477550000_p_Instance _d0c234a477550000_p_Instance _40c534a477550000_p_Instance _b0c734a477550000_p_Instance _b0ca34a477550000_p_Instance _70cc34a477550000_p_Instance _00ce34a477550000_p_Instance _d0d034a477550000_p_Instance _90d234a477550000_p_Instance _20d434a477550000_p_Instance _f0d634a477550000_p_Instance _b0d834a477550000_p_Instance _40da34a477550000_p_Instance _10dd34a477550000_p_Instance _d0de34a477550000_p_Instance _60e034a477550000_p_Instance _30e334a477550000_p_Instance _f0e434a477550000_p_Instance _80e634a477550000_p_Instance _50e934a477550000_p_Instance _10eb34a477550000_p_Instance _a0ec34a477550000_p_Instance _70ef34a477550000_p_Instance _30f134a477550000_p_Instance _c0f234a477550000_p_Instance _90f534a477550000_p_Instance _50f734a477550000_p_Instance _e0f834a477550000_p_Instance _b0fb34a477550000_p_Instance _70fd34a477550000_p_Instance _00ff34a477550000_p_Instance _d00135a477550000_p_Instance _900335a477550000_p_Instance _200535a477550000_p_Instance _f00735a477550000_p_Instance _b00935a477550000_p_Instance _400b35a477550000_p_Instance _100e35a477550000_p_Instance _d00f35a477550000_p_Instance _601135a477550000_p_Instance _301435a477550000_p_Instance _f01535a477550000_p_Instance _801735a477550000_p_Instance _501a35a477550000_p_Instance _101c35a477550000_p_Instance _a01d35a477550000_p_Instance _702035a477550000_p_Instance _302235a477550000_p_Instance _c02335a477550000_p_Instance _e02535a477550000_p_Instance _e02735a477550000_p_Instance _b02935a477550000_p_Instance _802b35a477550000_p_Instance _502d35a477550000_p_Instance _a02e35a477550000_p_Instance _f02f35a477550000_p_Instance _403135a477550000_p_Instance _903235a477550000_p_Instance _e03335a477550000_p_Instance _303535a477550000_p_Instance _803635a477550000_p_Instance _d03735a477550000_p_Instance _703b35a477550000_p_Instance _e03d35a477550000_p_Instance _504035a477550000_p_Instance _204435a477550000_p_Instance _904635a477550000_p_Instance _004935a477550000_p_Instance _004c35a477550000_p_Instance _c04d35a477550000_p_Instance _504f35a477550000_p_Instance _205235a477550000_p_Instance _e05335a477550000_p_Instance _705535a477550000_p_Instance _405835a477550000_p_Instance _005a35a477550000_p_Instance _905b35a477550000_p_Instance _605e35a477550000_p_Instance _206035a477550000_p_Instance _b06135a477550000_p_Instance _806435a477550000_p_Instance _406635a477550000_p_Instance _d06735a477550000_p_Instance _706b35a477550000_p_Instance _e06d35a477550000_p_Instance _507035a477550000_p_Instance _507335a477550000_p_Instance _107535a477550000_p_Instance _a07635a477550000_p_Instance _707935a477550000_p_Instance _307b35a477550000_p_Instance _c07c35a477550000_p_Instance _907f35a477550000_p_Instance _508135a477550000_p_Instance _e08235a477550000_p_Instance _b08535a477550000_p_Instance _708735a477550000_p_Instance _008935a477550000_p_Instance _d08b35a477550000_p_Instance _908d35a477550000_p_Instance _208f35a477550000_p_Instance _f09135a477550000_p_Instance _b09335a477550000_p_Instance _409535a477550000_p_Instance _109835a477550000_p_Instance _d09935a477550000_p_Instance _609b35a477550000_p_Instance _309e35a477550000_p_Instance _f09f35a477550000_p_Instance _80a135a477550000_p_Instance _20a535a477550000_p_Instance _90a735a477550000_p_Instance _00aa35a477550000_p_Instance _00ad35a477550000_p_Instance _c0ae35a477550000_p_Instance _50b035a477550000_p_Instance _20b335a477550000_p_Instance _e0b435a477550000_p_Instance _70b635a477550000_p_Instance _40b935a477550000_p_Instance _00bb35a477550000_p_Instance _90bc35a477550000_p_Instance _60bf35a477550000_p_Instance _20c135a477550000_p_Instance _b0c235a477550000_p_Instance _80c535a477550000_p_Instance _40c735a477550000_p_Instance _d0c835a477550000_p_Instance _a0cb35a477550000_p_Instance _60cd35a477550000_p_Instance _f0ce35a477550000_p_Instance _c0d135a477550000_p_Instance _80d335a477550000_p_Instance _10d535a477550000_p_Instance _e0d735a477550000_p_Instance _a0d935a477550000_p_Instance _30db35a477550000_p_Instance _00de35a477550000_p_Instance _c0df35a477550000_p_Instance _50e135a477550000_p_Instance _20e435a477550000_p_Instance _e0e535a477550000_p_Instance _70e735a477550000_p_Instance _40ea35a477550000_p_Instance _00ec35a477550000_p_Instance _90ed35a477550000_p_Instance _60f035a477550000_p_Instance _20f235a477550000_p_Instance _b0f335a477550000_p_Instance _80f635a477550000_p_Instance _40f835a477550000_p_Instance _d0f935a477550000_p_Instance _a0fc35a477550000_p_Instance _60fe35a477550000_p_Instance _f0ff35a477550000_p_Instance _c00236a477550000_p_Instance _800436a477550000_p_Instance _100636a477550000_p_Instance _300836a477550000_p_Instance _300a36a477550000_p_Instance _000c36a477550000_p_Instance _d00d36a477550000_p_Instance _a00f36a477550000_p_Instance _e01036a477550000_p_Instance _201236a477550000_p_Instance _601336a477550000_p_Instance _a01436a477550000_p_Instance _e01536a477550000_p_Instance _201736a477550000_p_Instance _601836a477550000_p_Instance _a01936a477550000_p_Instance _401d36a477550000_p_Instance _b01f36a477550000_p_Instance _202236a477550000_p_Instance _f02536a477550000_p_Instance _602836a477550000_p_Instance _d02a36a477550000_p_Instance _d02d36a477550000_p_Instance _902f36a477550000_p_Instance _203136a477550000_p_Instance _f03336a477550000_p_Instance _b03536a477550000_p_Instance _403736a477550000_p_Instance _103a36a477550000_p_Instance _d03b36a477550000_p_Instance _603d36a477550000_p_Instance _304036a477550000_p_Instance _f04136a477550000_p_Instance _804336a477550000_p_Instance _504636a477550000_p_Instance _104836a477550000_p_Instance _a04936a477550000_p_Instance _404d36a477550000_p_Instance _b04f36a477550000_p_Instance _205236a477550000_p_Instance _205536a477550000_p_Instance _e05636a477550000_p_Instance _705836a477550000_p_Instance _405b36a477550000_p_Instance _005d36a477550000_p_Instance _905e36a477550000_p_Instance _606136a477550000_p_Instance _206336a477550000_p_Instance _b06436a477550000_p_Instance _806736a477550000_p_Instance _406936a477550000_p_Instance _d06a36a477550000_p_Instance _a06d36a477550000_p_Instance _606f36a477550000_p_Instance _f07036a477550000_p_Instance _c07336a477550000_p_Instance _807536a477550000_p_Instance _107736a477550000_p_Instance _e07936a477550000_p_Instance _a07b36a477550000_p_Instance _307d36a477550000_p_Instance _008036a477550000_p_Instance _c08136a477550000_p_Instance _508336a477550000_p_Instance _f08636a477550000_p_Instance _608936a477550000_p_Instance _d08b36a477550000_p_Instance _d08e36a477550000_p_Instance _909036a477550000_p_Instance _209236a477550000_p_Instance _f09436a477550000_p_Instance _b09636a477550000_p_Instance _409836a477550000_p_Instance _109b36a477550000_p_Instance _d09c36a477550000_p_Instance _609e36a477550000_p_Instance _30a136a477550000_p_Instance _f0a236a477550000_p_Instance _80a436a477550000_p_Instance _50a736a477550000_p_Instance _10a936a477550000_p_Instance _a0aa36a477550000_p_Instance _70ad36a477550000_p_Instance _30af36a477550000_p_Instance _c0b036a477550000_p_Instance _90b336a477550000_p_Instance _50b536a477550000_p_Instance _e0b636a477550000_p_Instance _b0b936a477550000_p_Instance _70bb36a477550000_p_Instance _00bd36a477550000_p_Instance _d0bf36a477550000_p_Instance _90c136a477550000_p_Instance _20c336a477550000_p_Instance _f0c536a477550000_p_Instance _b0c736a477550000_p_Instance _40c936a477550000_p_Instance _10cc36a477550000_p_Instance _d0cd36a477550000_p_Instance _60cf36a477550000_p_Instance _30d236a477550000_p_Instance _f0d336a477550000_p_Instance _80d536a477550000_p_Instance _50d836a477550000_p_Instance _10da36a477550000_p_Instance _a0db36a477550000_p_Instance _70de36a477550000_p_Instance _30e036a477550000_p_Instance _c0e136a477550000_p_Instance _90e436a477550000_p_Instance _50e636a477550000_p_Instance _e0e736a477550000_p_Instance _00ea36a477550000_p_Instance _00ec36a477550000_p_Instance _d0ed36a477550000_p_Instance _a0ef36a477550000_p_Instance _70f136a477550000_p_Instance _b0f236a477550000_p_Instance _f0f336a477550000_p_Instance _30f536a477550000_p_Instance _70f636a477550000_p_Instance _b0f736a477550000_p_Instance _f0f836a477550000_p_Instance _30fa36a477550000_p_Instance _70fb36a477550000_p_Instance _10ff36a477550000_p_Instance _800137a477550000_p_Instance _f00337a477550000_p_Instance _c00737a477550000_p_Instance _300a37a477550000_p_Instance _a00c37a477550000_p_Instance _a00f37a477550000_p_Instance _601137a477550000_p_Instance _f01237a477550000_p_Instance _c01537a477550000_p_Instance _801737a477550000_p_Instance _101937a477550000_p_Instance _e01b37a477550000_p_Instance _a01d37a477550000_p_Instance _301f37a477550000_p_Instance _002237a477550000_p_Instance _c02337a477550000_p_Instance _502537a477550000_p_Instance _202837a477550000_p_Instance _e02937a477550000_p_Instance _702b37a477550000_p_Instance _102f37a477550000_p_Instance _803137a477550000_p_Instance _f03337a477550000_p_Instance _f03637a477550000_p_Instance _b03837a477550000_p_Instance _403a37a477550000_p_Instance _103d37a477550000_p_Instance _d03e37a477550000_p_Instance _604037a477550000_p_Instance _304337a477550000_p_Instance _f04437a477550000_p_Instance _804637a477550000_p_Instance _504937a477550000_p_Instance _104b37a477550000_p_Instance _a04c37a477550000_p_Instance _704f37a477550000_p_Instance _305137a477550000_p_Instance _c05237a477550000_p_Instance _905537a477550000_p_Instance _505737a477550000_p_Instance _e05837a477550000_p_Instance _b05b37a477550000_p_Instance _705d37a477550000_p_Instance _005f37a477550000_p_Instance _d06137a477550000_p_Instance _906337a477550000_p_Instance _206537a477550000_p_Instance _c06837a477550000_p_Instance _306b37a477550000_p_Instance _a06d37a477550000_p_Instance _a07037a477550000_p_Instance _607237a477550000_p_Instance _f07337a477550000_p_Instance _c07637a477550000_p_Instance _807837a477550000_p_Instance _107a37a477550000_p_Instance _e07c37a477550000_p_Instance _a07e37a477550000_p_Instance _308037a477550000_p_Instance _008337a477550000_p_Instance _c08437a477550000_p_Instance _508637a477550000_p_Instance _208937a477550000_p_Instance _e08a37a477550000_p_Instance _708c37a477550000_p_Instance _408f37a477550000_p_Instance _009137a477550000_p_Instance _909237a477550000_p_Instance _609537a477550000_p_Instance _209737a477550000_p_Instance _b09837a477550000_p_Instance _809b37a477550000_p_Instance _409d37a477550000_p_Instance _d09e37a477550000_p_Instance _a0a137a477550000_p_Instance _60a337a477550000_p_Instance _f0a437a477550000_p_Instance _c0a737a477550000_p_Instance _80a937a477550000_p_Instance _10ab37a477550000_p_Instance _e0ad37a477550000_p_Instance _a0af37a477550000_p_Instance _30b137a477550000_p_Instance _00b437a477550000_p_Instance _c0b537a477550000_p_Instance _50b737a477550000_p_Instance _20ba37a477550000_p_Instance _e0bb37a477550000_p_Instance _70bd37a477550000_p_Instance _40c037a477550000_p_Instance _00c237a477550000_p_Instance _90c337a477550000_p_Instance _60c637a477550000_p_Instance _20c837a477550000_p_Instance _b0c937a477550000_p_Instance _d0cb37a477550000_p_Instance _d0cd37a477550000_p_Instance _a0cf37a477550000_p_Instance _70d137a477550000_p_Instance _40d337a477550000_p_Instance _80d437a477550000_p_Instance _c0d537a477550000_p_Instance _00d737a477550000_p_Instance _40d837a477550000_p_Instance _80d937a477550000_p_Instance _c0da37a477550000_p_Instance _00dc37a477550000_p_Instance _40dd37a477550000_p_Instance _e0e037a477550000_p_Instance _50e337a477550000_p_Instance _c0e537a477550000_p_Instance _90e937a477550000_p_Instance _00ec37a477550000_p_Instance _70ee37a477550000_p_Instance _70f137a477550000_p_Instance _30f337a477550000_p_Instance _c0f437a477550000_p_Instance _90f737a477550000_p_Instance _50f937a477550000_p_Instance _e0fa37a477550000_p_Instance _b0fd37a477550000_p_Instance _70ff37a477550000_p_Instance _000138a477550000_p_Instance _d00338a477550000_p_Instance _900538a477550000_p_Instance _200738a477550000_p_Instance _f00938a477550000_p_Instance _b00b38a477550000_p_Instance _400d38a477550000_p_Instance _e01038a477550000_p_Instance _501338a477550000_p_Instance _c01538a477550000_p_Instance _c01838a477550000_p_Instance _801a38a477550000_p_Instance _101c38a477550000_p_Instance _e01e38a477550000_p_Instance _a02038a477550000_p_Instance _302238a477550000_p_Instance _002538a477550000_p_Instance _c02638a477550000_p_Instance _502838a477550000_p_Instance _202b38a477550000_p_Instance _e02c38a477550000_p_Instance _702e38a477550000_p_Instance _403138a477550000_p_Instance _003338a477550000_p_Instance _903438a477550000_p_Instance _603738a477550000_p_Instance _203938a477550000_p_Instance _b03a38a477550000_p_Instance _803d38a477550000_p_Instance _403f38a477550000_p_Instance _d04038a477550000_p_Instance _a04338a477550000_p_Instance _604538a477550000_p_Instance _f04638a477550000_p_Instance _904a38a477550000_p_Instance _004d38a477550000_p_Instance _704f38a477550000_p_Instance _705238a477550000_p_Instance _305438a477550000_p_Instance _c05538a477550000_p_Instance _905838a477550000_p_Instance _505a38a477550000_p_Instance _e05b38a477550000_p_Instance _b05e38a477550000_p_Instance _706038a477550000_p_Instance _006238a477550000_p_Instance _d06438a477550000_p_Instance _906638a477550000_p_Instance _206838a477550000_p_Instance _f06a38a477550000_p_Instance _b06c38a477550000_p_Instance _406e38a477550000_p_Instance _107138a477550000_p_Instance _d07238a477550000_p_Instance _607438a477550000_p_Instance _307738a477550000_p_Instance _f07838a477550000_p_Instance _807a38a477550000_p_Instance _507d38a477550000_p_Instance _107f38a477550000_p_Instance _a08038a477550000_p_Instance _708338a477550000_p_Instance _308538a477550000_p_Instance _c08638a477550000_p_Instance _908938a477550000_p_Instance _508b38a477550000_p_Instance _e08c38a477550000_p_Instance _b08f38a477550000_p_Instance _709138a477550000_p_Instance _009338a477550000_p_Instance _d09538a477550000_p_Instance _909738a477550000_p_Instance _209938a477550000_p_Instance _f09b38a477550000_p_Instance _b09d38a477550000_p_Instance _409f38a477550000_p_Instance _10a238a477550000_p_Instance _d0a338a477550000_p_Instance _60a538a477550000_p_Instance _30a838a477550000_p_Instance _f0a938a477550000_p_Instance _80ab38a477550000_p_Instance _a0ad38a477550000_p_Instance _a0af38a477550000_p_Instance _70b138a477550000_p_Instance _40b338a477550000_p_Instance _10b538a477550000_p_Instance _50b638a477550000_p_Instance _90b738a477550000_p_Instance _d0b838a477550000_p_Instance _10ba38a477550000_p_Instance _50bb38a477550000_p_Instance _90bc38a477550000_p_Instance _d0bd38a477550000_p_Instance _10bf38a477550000_p_Instance _b0c238a477550000_p_Instance _20c538a477550000_p_Instance _90c738a477550000_p_Instance _60cb38a477550000_p_Instance _d0cd38a477550000_p_Instance _40d038a477550000_p_Instance _40d338a477550000_p_Instance _00d538a477550000_p_Instance _90d638a477550000_p_Instance _60d938a477550000_p_Instance _20db38a477550000_p_Instance _b0dc38a477550000_p_Instance _80df38a477550000_p_Instance _40e138a477550000_p_Instance _d0e238a477550000_p_Instance _a0e538a477550000_p_Instance _60e738a477550000_p_Instance _f0e838a477550000_p_Instance _c0eb38a477550000_p_Instance _80ed38a477550000_p_Instance _10ef38a477550000_p_Instance _b0f238a477550000_p_Instance _20f538a477550000_p_Instance _90f738a477550000_p_Instance _90fa38a477550000_p_Instance _50fc38a477550000_p_Instance _e0fd38a477550000_p_Instance _b00039a477550000_p_Instance _700239a477550000_p_Instance _000439a477550000_p_Instance _d00639a477550000_p_Instance _900839a477550000_p_Instance _200a39a477550000_p_Instance _f00c39a477550000_p_Instance _b00e39a477550000_p_Instance _401039a477550000_p_Instance _101339a477550000_p_Instance _d01439a477550000_p_Instance _601639a477550000_p_Instance _301939a477550000_p_Instance _f01a39a477550000_p_Instance _801c39a477550000_p_Instance _501f39a477550000_p_Instance _102139a477550000_p_Instance _a02239a477550000_p_Instance _702539a477550000_p_Instance _302739a477550000_p_Instance _c02839a477550000_p_Instance _602c39a477550000_p_Instance _d02e39a477550000_p_Instance _403139a477550000_p_Instance _403439a477550000_p_Instance _003639a477550000_p_Instance _903739a477550000_p_Instance _603a39a477550000_p_Instance _203c39a477550000_p_Instance _b03d39a477550000_p_Instance _804039a477550000_p_Instance _404239a477550000_p_Instance _d04339a477550000_p_Instance _a04639a477550000_p_Instance _604839a477550000_p_Instance _f04939a477550000_p_Instance _c04c39a477550000_p_Instance _804e39a477550000_p_Instance _105039a477550000_p_Instance _e05239a477550000_p_Instance _a05439a477550000_p_Instance _305639a477550000_p_Instance _005939a477550000_p_Instance _c05a39a477550000_p_Instance _505c39a477550000_p_Instance _205f39a477550000_p_Instance _e06039a477550000_p_Instance _706239a477550000_p_Instance _406539a477550000_p_Instance _006739a477550000_p_Instance _906839a477550000_p_Instance _606b39a477550000_p_Instance _206d39a477550000_p_Instance _b06e39a477550000_p_Instance _807139a477550000_p_Instance _407339a477550000_p_Instance _d07439a477550000_p_Instance _a07739a477550000_p_Instance _607939a477550000_p_Instance _f07a39a477550000_p_Instance _c07d39a477550000_p_Instance _807f39a477550000_p_Instance _108139a477550000_p_Instance _e08339a477550000_p_Instance _a08539a477550000_p_Instance _308739a477550000_p_Instance _008a39a477550000_p_Instance _c08b39a477550000_p_Instance _508d39a477550000_p_Instance _708f39a477550000_p_Instance _709139a477550000_p_Instance _409339a477550000_p_Instance _109539a477550000_p_Instance _e09639a477550000_p_Instance _209839a477550000_p_Instance _609939a477550000_p_Instance _a09a39a477550000_p_Instance _e09b39a477550000_p_Instance _209d39a477550000_p_Instance _609e39a477550000_p_Instance _a09f39a477550000_p_Instance _e0a039a477550000_p_Instance _80a439a477550000_p_Instance _f0a639a477550000_p_Instance _60a939a477550000_p_Instance _30ad39a477550000_p_Instance _a0af39a477550000_p_Instance _10b239a477550000_p_Instance _10b539a477550000_p_Instance _d0b639a477550000_p_Instance _60b839a477550000_p_Instance _30bb39a477550000_p_Instance _f0bc39a477550000_p_Instance _80be39a477550000_p_Instance _50c139a477550000_p_Instance _10c339a477550000_p_Instance _a0c439a477550000_p_Instance _70c739a477550000_p_Instance _30c939a477550000_p_Instance _c0ca39a477550000_p_Instance _90cd39a477550000_p_Instance _50cf39a477550000_p_Instance _e0d039a477550000_p_Instance _80d439a477550000_p_Instance _f0d639a477550000_p_Instance _60d939a477550000_p_Instance _60dc39a477550000_p_Instance _20de39a477550000_p_Instance _b0df39a477550000_p_Instance _80e239a477550000_p_Instance _40e439a477550000_p_Instance _d0e539a477550000_p_Instance _a0e839a477550000_p_Instance _60ea39a477550000_p_Instance _f0eb39a477550000_p_Instance _c0ee39a477550000_p_Instance _80f039a477550000_p_Instance _10f239a477550000_p_Instance _e0f439a477550000_p_Instance _a0f639a477550000_p_Instance _30f839a477550000_p_Instance _00fb39a477550000_p_Instance _c0fc39a477550000_p_Instance _50fe39a477550000_p_Instance _20013aa477550000_p_Instance _e0023aa477550000_p_Instance _70043aa477550000_p_Instance _40073aa477550000_p_Instance _00093aa477550000_p_Instance _900a3aa477550000_p_Instance _300e3aa477550000_p_Instance _a0103aa477550000_p_Instance _10133aa477550000_p_Instance _10163aa477550000_p_Instance _d0173aa477550000_p_Instance _60193aa477550000_p_Instance _301c3aa477550000_p_Instance _f01d3aa477550000_p_Instance _801f3aa477550000_p_Instance _50223aa477550000_p_Instance _10243aa477550000_p_Instance _a0253aa477550000_p_Instance _70283aa477550000_p_Instance _302a3aa477550000_p_Instance _c02b3aa477550000_p_Instance _902e3aa477550000_p_Instance _50303aa477550000_p_Instance _e0313aa477550000_p_Instance _b0343aa477550000_p_Instance _70363aa477550000_p_Instance _00383aa477550000_p_Instance _d03a3aa477550000_p_Instance _903c3aa477550000_p_Instance _203e3aa477550000_p_Instance _f0403aa477550000_p_Instance _b0423aa477550000_p_Instance _40443aa477550000_p_Instance _10473aa477550000_p_Instance _d0483aa477550000_p_Instance _604a3aa477550000_p_Instance _304d3aa477550000_p_Instance _f04e3aa477550000_p_Instance _80503aa477550000_p_Instance _50533aa477550000_p_Instance _10553aa477550000_p_Instance _a0563aa477550000_p_Instance _70593aa477550000_p_Instance _305b3aa477550000_p_Instance _c05c3aa477550000_p_Instance _905f3aa477550000_p_Instance _50613aa477550000_p_Instance _e0623aa477550000_p_Instance _b0653aa477550000_p_Instance _70673aa477550000_p_Instance _00693aa477550000_p_Instance _d06b3aa477550000_p_Instance _906d3aa477550000_p_Instance _206f3aa477550000_p_Instance _40713aa477550000_p_Instance _40733aa477550000_p_Instance _10753aa477550000_p_Instance _e0763aa477550000_p_Instance _b0783aa477550000_p_Instance _f0793aa477550000_p_Instance _307b3aa477550000_p_Instance _707c3aa477550000_p_Instance _b07d3aa477550000_p_Instance _f07e3aa477550000_p_Instance _30803aa477550000_p_Instance _70813aa477550000_p_Instance _b0823aa477550000_p_Instance _50863aa477550000_p_Instance _c0883aa477550000_p_Instance _308b3aa477550000_p_Instance _008f3aa477550000_p_Instance _70913aa477550000_p_Instance _e0933aa477550000_p_Instance _e0963aa477550000_p_Instance _a0983aa477550000_p_Instance _309a3aa477550000_p_Instance _009d3aa477550000_p_Instance _c09e3aa477550000_p_Instance _50a03aa477550000_p_Instance _20a33aa477550000_p_Instance _e0a43aa477550000_p_Instance _70a63aa477550000_p_Instance _40a93aa477550000_p_Instance _00ab3aa477550000_p_Instance _90ac3aa477550000_p_Instance _60af3aa477550000_p_Instance _20b13aa477550000_p_Instance _b0b23aa477550000_p_Instance _50b63aa477550000_p_Instance _c0b83aa477550000_p_Instance _30bb3aa477550000_p_Instance _30be3aa477550000_p_Instance _f0bf3aa477550000_p_Instance _80c13aa477550000_p_Instance _50c43aa477550000_p_Instance _10c63aa477550000_p_Instance _a0c73aa477550000_p_Instance _70ca3aa477550000_p_Instance _30cc3aa477550000_p_Instance _c0cd3aa477550000_p_Instance _90d03aa477550000_p_Instance _50d23aa477550000_p_Instance _e0d33aa477550000_p_Instance _b0d63aa477550000_p_Instance _70d83aa477550000_p_Instance _00da3aa477550000_p_Instance _d0dc3aa477550000_p_Instance _90de3aa477550000_p_Instance _20e03aa477550000_p_Instance _f0e23aa477550000_p_Instance _b0e43aa477550000_p_Instance _40e63aa477550000_p_Instance _10e93aa477550000_p_Instance _d0ea3aa477550000_p_Instance _60ec3aa477550000_p_Instance _00f03aa477550000_p_Instance _70f23aa477550000_p_Instance _e0f43aa477550000_p_Instance _e0f73aa477550000_p_Instance _a0f93aa477550000_p_Instance _30fb3aa477550000_p_Instance _00fe3aa477550000_p_Instance _c0ff3aa477550000_p_Instance _50013ba477550000_p_Instance _20043ba477550000_p_Instance _e0053ba477550000_p_Instance _70073ba477550000_p_Instance _400a3ba477550000_p_Instance _000c3ba477550000_p_Instance _900d3ba477550000_p_Instance _60103ba477550000_p_Instance _20123ba477550000_p_Instance _b0133ba477550000_p_Instance _80163ba477550000_p_Instance _40183ba477550000_p_Instance _d0193ba477550000_p_Instance _a01c3ba477550000_p_Instance _601e3ba477550000_p_Instance _f01f3ba477550000_p_Instance _c0223ba477550000_p_Instance _80243ba477550000_p_Instance _10263ba477550000_p_Instance _e0283ba477550000_p_Instance _a02a3ba477550000_p_Instance _302c3ba477550000_p_Instance _002f3ba477550000_p_Instance _c0303ba477550000_p_Instance _50323ba477550000_p_Instance _20353ba477550000_p_Instance _e0363ba477550000_p_Instance _70383ba477550000_p_Instance _403b3ba477550000_p_Instance _003d3ba477550000_p_Instance _903e3ba477550000_p_Instance _60413ba477550000_p_Instance _20433ba477550000_p_Instance _b0443ba477550000_p_Instance _80473ba477550000_p_Instance _40493ba477550000_p_Instance _d04a3ba477550000_p_Instance _a04d3ba477550000_p_Instance _604f3ba477550000_p_Instance _f0503ba477550000_p_Instance _10533ba477550000_p_Instance _10553ba477550000_p_Instance _e0563ba477550000_p_Instance _b0583ba477550000_p_Instance _805a3ba477550000_p_Instance _c05b3ba477550000_p_Instance _005d3ba477550000_p_Instance _405e3ba477550000_p_Instance _805f3ba477550000_p_Instance _c0603ba477550000_p_Instance _00623ba477550000_p_Instance _40633ba477550000_p_Instance _80643ba477550000_p_Instance _c0653ba477550000_p_Instance _40663ba477550000_p_Instance _a0673ba477550000_p_Instance _e0683ba477550000_p_Instance _206a3ba477550000_p_Instance _a06a3ba477550000_p_Instance _006c3ba477550000_p_Instance _406d3ba477550000_p_Instance _c06d3ba477550000_p_Instance _206f3ba477550000_p_Instance _60703ba477550000_p_Instance _e0703ba477550000_p_Instance _40723ba477550000_p_Instance _c0723ba477550000_p_Instance _20743ba477550000_p_Instance _60753ba477550000_p_Instance _e0753ba477550000_p_Instance _40773ba477550000_p_Instance _80783ba477550000_p_Instance _00793ba477550000_p_Instance _a0793ba477550000_p_Instance _007b3ba477550000_p_Instance _407c3ba477550000_p_Instance _807d3ba477550000_p_Instance _207f3ba477550000_p_Instance _60803ba477550000_p_Instance _a0813ba477550000_p_Instance _50823ba477550000_p_Instance _b0833ba477550000_p_Instance _30843ba477550000_p_Instance _d0843ba477550000_p_Instance _90863ba477550000_p_Instance _d0873ba477550000_p_Instance _a0893ba477550000_p_Instance _e08a3ba477550000_p_Instance _208c3ba477550000_p_Instance _d08c3ba477550000_p_Instance _708d3ba477550000_p_Instance _d08e3ba477550000_p_Instance _70903ba477550000_p_Instance _b0913ba477550000_p_Instance _f0923ba477550000_p_Instance _30943ba477550000_p_Instance _70953ba477550000_p_Instance _b0963ba477550000_p_Instance _60973ba477550000_p_Instance _20993ba477550000_p_Instance _d0993ba477550000_p_Instance _709a3ba477550000_p_Instance _309c3ba477550000_p_Instance _709d3ba477550000_p_Instance _b09e3ba477550000_p_Instance _f09f3ba477550000_p_Instance _30a13ba477550000_p_Instance _e0a13ba477550000_p_Instance _80a23ba477550000_p_Instance _e0a33ba477550000_p_Instance _80a53ba477550000_p_Instance _c0a63ba477550000_p_Instance _90a83ba477550000_p_Instance _d0a93ba477550000_p_Instance _10ab3ba477550000_p_Instance _50ac3ba477550000_p_Instance _90ad3ba477550000_p_Instance _d0ae3ba477550000_p_Instance _80af3ba477550000_p_Instance _40b13ba477550000_p_Instance _80b23ba477550000_p_Instance _50b43ba477550000_p_Instance _90b53ba477550000_p_Instance _40b63ba477550000_p_Instance _a0b73ba477550000_p_Instance _40b93ba477550000_p_Instance _80ba3ba477550000_p_Instance _50bc3ba477550000_p_Instance _90bd3ba477550000_p_Instance _d0be3ba477550000_p_Instance _10c03ba477550000_p_Instance _50c13ba477550000_p_Instance _20c33ba477550000_p_Instance _60c43ba477550000_p_Instance _30c63ba477550000_p_Instance _70c73ba477550000_p_Instance _b0c83ba477550000_p_Instance _f0c93ba477550000_p_Instance _a0ca3ba477550000_p_Instance _00cc3ba477550000_p_Instance _40cd3ba477550000_p_Instance _80ce3ba477550000_p_Instance _c0cf3ba477550000_p_Instance _00d13ba477550000_p_Instance _a0d23ba477550000_p_Instance _e0d33ba477550000_p_Instance _b0d53ba477550000_p_Instance _f0d63ba477550000_p_Instance _c0d83ba477550000_p_Instance _00da3ba477550000_p_Instance _40db3ba477550000_p_Instance _80dc3ba477550000_p_Instance _c0dd3ba477550000_p_Instance _90df3ba477550000_p_Instance _d0e03ba477550000_p_Instance _80e13ba477550000_p_Instance _e0e23ba477550000_p_Instance _80e43ba477550000_p_Instance _c0e53ba477550000_p_Instance _90e73ba477550000_p_Instance _d0e83ba477550000_p_Instance _10ea3ba477550000_p_Instance _50eb3ba477550000_p_Instance _90ec3ba477550000_p_Instance _40ed3ba477550000_p_Instance _a0ee3ba477550000_p_Instance _e0ef3ba477550000_p_Instance _80f13ba477550000_p_Instance _c0f23ba477550000_p_Instance _00f43ba477550000_p_Instance _b0f43ba477550000_p_Instance _10f63ba477550000_p_Instance _50f73ba477550000_p_Instance _90f83ba477550000_p_Instance _10f93ba477550000_p_Instance _b0f93ba477550000_p_Instance _10fb3ba477550000_p_Instance _50fc3ba477550000_p_Instance _90fd3ba477550000_p_Instance _30ff3ba477550000_p_Instance _70003ca477550000_p_Instance _20013ca477550000_p_Instance _80023ca477550000_p_Instance _c0033ca477550000_p_Instance _40043ca477550000_p_Instance _e0043ca477550000_p_Instance _a0063ca477550000_p_Instance _e0073ca477550000_p_Instance _b0093ca477550000_p_Instance _f00a3ca477550000_p_Instance _300c3ca477550000_p_Instance _e00c3ca477550000_p_Instance _400e3ca477550000_p_Instance _c00e3ca477550000_p_Instance _80103ca477550000_p_Instance _c0113ca477550000_p_Instance _00133ca477550000_p_Instance _40143ca477550000_p_Instance _80153ca477550000_p_Instance _c0163ca477550000_p_Instance _70173ca477550000_p_Instance _10183ca477550000_p_Instance _b0183ca477550000_p_Instance _50193ca477550000_p_Instance _b01a3ca477550000_p_Instance _f01b3ca477550000_p_Instance _301d3ca477550000_p_Instance _d01e3ca477550000_p_Instance _10203ca477550000_p_Instance _c0203ca477550000_p_Instance _60213ca477550000_p_Instance _c0223ca477550000_p_Instance _60243ca477550000_p_Instance _a0253ca477550000_p_Instance _70273ca477550000_p_Instance _b0283ca477550000_p_Instance _f0293ca477550000_p_Instance _302b3ca477550000_p_Instance _702c3ca477550000_p_Instance _b02d3ca477550000_p_Instance _602e3ca477550000_p_Instance _20303ca477550000_p_Instance _60313ca477550000_p_Instance _30333ca477550000_p_Instance _70343ca477550000_p_Instance _20353ca477550000_p_Instance _80363ca477550000_p_Instance _20383ca477550000_p_Instance _60393ca477550000_p_Instance _303b3ca477550000_p_Instance _703c3ca477550000_p_Instance _b03d3ca477550000_p_Instance _f03e3ca477550000_p_Instance _30403ca477550000_p_Instance _00423ca477550000_p_Instance _40433ca477550000_p_Instance _10453ca477550000_p_Instance _50463ca477550000_p_Instance _90473ca477550000_p_Instance _d0483ca477550000_p_Instance _80493ca477550000_p_Instance _e04a3ca477550000_p_Instance _204c3ca477550000_p_Instance _604d3ca477550000_p_Instance _a04e3ca477550000_p_Instance _e04f3ca477550000_p_Instance _80513ca477550000_p_Instance _c0523ca477550000_p_Instance _90543ca477550000_p_Instance _d0553ca477550000_p_Instance _a0573ca477550000_p_Instance _e0583ca477550000_p_Instance _205a3ca477550000_p_Instance _605b3ca477550000_p_Instance _a05c3ca477550000_p_Instance _705e3ca477550000_p_Instance _b05f3ca477550000_p_Instance _60603ca477550000_p_Instance _c0613ca477550000_p_Instance _60633ca477550000_p_Instance _a0643ca477550000_p_Instance _70663ca477550000_p_Instance _b0673ca477550000_p_Instance _f0683ca477550000_p_Instance _306a3ca477550000_p_Instance _706b3ca477550000_p_Instance _206c3ca477550000_p_Instance _c06c3ca477550000_p_Instance _206e3ca477550000_p_Instance _606f3ca477550000_p_Instance _00713ca477550000_p_Instance _40723ca477550000_p_Instance _80733ca477550000_p_Instance _c0743ca477550000_p_Instance _00763ca477550000_p_Instance _b0763ca477550000_p_Instance _50773ca477550000_p_Instance _b0783ca477550000_p_Instance _f0793ca477550000_p_Instance _307b3ca477550000_p_Instance _d07c3ca477550000_p_Instance _107e3ca477550000_p_Instance _507f3ca477550000_p_Instance _90803ca477550000_p_Instance _d0813ca477550000_p_Instance _80823ca477550000_p_Instance _20833ca477550000_p_Instance _e0843ca477550000_p_Instance _20863ca477550000_p_Instance _f0873ca477550000_p_Instance _30893ca477550000_p_Instance _708a3ca477550000_p_Instance _208b3ca477550000_p_Instance _c08b3ca477550000_p_Instance _808d3ca477550000_p_Instance _308e3ca477550000_p_Instance _908f3ca477550000_p_Instance _d0903ca477550000_p_Instance _10923ca477550000_p_Instance _50933ca477550000_p_Instance _90943ca477550000_p_Instance _10953ca477550000_p_Instance _b0953ca477550000_p_Instance _50963ca477550000_p_Instance _10983ca477550000_p_Instance _50993ca477550000_p_Instance _909a3ca477550000_p_Instance _d09b3ca477550000_p_Instance _109d3ca477550000_p_Instance _509e3ca477550000_p_Instance _009f3ca477550000_p_Instance _60a03ca477550000_p_Instance _00a23ca477550000_p_Instance _40a33ca477550000_p_Instance _10a53ca477550000_p_Instance _50a63ca477550000_p_Instance _90a73ca477550000_p_Instance _d0a83ca477550000_p_Instance _10aa3ca477550000_p_Instance _50ab3ca477550000_p_Instance _20ad3ca477550000_p_Instance _60ae3ca477550000_p_Instance _a0af3ca477550000_p_Instance _70b13ca477550000_p_Instance _b0b23ca477550000_p_Instance _60b33ca477550000_p_Instance _c0b43ca477550000_p_Instance _60b63ca477550000_p_Instance _a0b73ca477550000_p_Instance _70b93ca477550000_p_Instance _b0ba3ca477550000_p_Instance _f0bb3ca477550000_p_Instance _c0bd3ca477550000_p_Instance _00bf3ca477550000_p_Instance _d0c03ca477550000_p_Instance _10c23ca477550000_p_Instance _e0c33ca477550000_p_Instance _20c53ca477550000_p_Instance _60c63ca477550000_p_Instance _a0c73ca477550000_p_Instance _50c83ca477550000_p_Instance _b0c93ca477550000_p_Instance _f0ca3ca477550000_p_Instance _30cc3ca477550000_p_Instance _70cd3ca477550000_p_Instance _b0ce3ca477550000_p_Instance _50d03ca477550000_p_Instance _90d13ca477550000_p_Instance _60d33ca477550000_p_Instance _a0d43ca477550000_p_Instance _70d63ca477550000_p_Instance _b0d73ca477550000_p_Instance _f0d83ca477550000_p_Instance _30da3ca477550000_p_Instance _70db3ca477550000_p_Instance _40dd3ca477550000_p_Instance _80de3ca477550000_p_Instance _30df3ca477550000_p_Instance _90e03ca477550000_p_Instance _30e23ca477550000_p_Instance _70e33ca477550000_p_Instance _40e53ca477550000_p_Instance _80e63ca477550000_p_Instance _c0e73ca477550000_p_Instance _00e93ca477550000_p_Instance _40ea3ca477550000_p_Instance _f0ea3ca477550000_p_Instance _90eb3ca477550000_p_Instance _30ec3ca477550000_p_Instance _f0ed3ca477550000_p_Instance _30ef3ca477550000_p_Instance _e0ef3ca477550000_p_Instance _40f13ca477550000_p_Instance _c0f13ca477550000_p_Instance _60f23ca477550000_p_Instance _00f33ca477550000_p_Instance _60f43ca477550000_p_Instance _a0f53ca477550000_p_Instance _e0f63ca477550000_p_Instance _80f83ca477550000_p_Instance _c0f93ca477550000_p_Instance _00fb3ca477550000_p_Instance _40fc3ca477550000_p_Instance _f0fc3ca477550000_p_Instance _90fd3ca477550000_p_Instance _30fe3ca477550000_p_Instance _f0ff3ca477550000_p_Instance _30013da477550000_p_Instance _00033da477550000_p_Instance _40043da477550000_p_Instance _80053da477550000_p_Instance _30063da477550000_p_Instance _d0063da477550000_p_Instance _90083da477550000_p_Instance _d0093da477550000_p_Instance _100b3da477550000_p_Instance _500c3da477550000_p_Instance _900d3da477550000_p_Instance _d00e3da477550000_p_Instance _10103da477550000_p_Instance _c0103da477550000_p_Instance _20123da477550000_p_Instance _60133da477550000_p_Instance _00153da477550000_p_Instance _40163da477550000_p_Instance _80173da477550000_p_Instance _c0183da477550000_p_Instance _001a3da477550000_p_Instance _401b3da477550000_p_Instance _801c3da477550000_p_Instance _501e3da477550000_p_Instance _901f3da477550000_p_Instance _60213da477550000_p_Instance _a0223da477550000_p_Instance _e0233da477550000_p_Instance _20253da477550000_p_Instance _60263da477550000_p_Instance _a0273da477550000_p_Instance _70293da477550000_p_Instance _b02a3da477550000_p_Instance _f02b3da477550000_p_Instance _c02d3da477550000_p_Instance _002f3da477550000_p_Instance _b02f3da477550000_p_Instance _10313da477550000_p_Instance _b0323da477550000_p_Instance _f0333da477550000_p_Instance _c0353da477550000_p_Instance _00373da477550000_p_Instance _40383da477550000_p_Instance _103a3da477550000_p_Instance _503b3da477550000_p_Instance _203d3da477550000_p_Instance _603e3da477550000_p_Instance _30403da477550000_p_Instance _70413da477550000_p_Instance _b0423da477550000_p_Instance _f0433da477550000_p_Instance _a0443da477550000_p_Instance _00463da477550000_p_Instance _40473da477550000_p_Instance _80483da477550000_p_Instance _c0493da477550000_p_Instance _004b3da477550000_p_Instance _a04c3da477550000_p_Instance _e04d3da477550000_p_Instance _b04f3da477550000_p_Instance _f0503da477550000_p_Instance _c0523da477550000_p_Instance _00543da477550000_p_Instance _40553da477550000_p_Instance _80563da477550000_p_Instance _c0573da477550000_p_Instance _90593da477550000_p_Instance _d05a3da477550000_p_Instance _805b3da477550000_p_Instance _e05c3da477550000_p_Instance _805e3da477550000_p_Instance _c05f3da477550000_p_Instance _90613da477550000_p_Instance _d0623da477550000_p_Instance _10643da477550000_p_Instance _50653da477550000_p_Instance _90663da477550000_p_Instance _40673da477550000_p_Instance _e0673da477550000_p_Instance _a0693da477550000_p_Instance _e06a3da477550000_p_Instance _906b3da477550000_p_Instance _f06c3da477550000_p_Instance _706d3da477550000_p_Instance _d06e3da477550000_p_Instance _10703da477550000_p_Instance _90703da477550000_p_Instance _30713da477550000_p_Instance _90723da477550000_p_Instance _d0733da477550000_p_Instance _10753da477550000_p_Instance _b0763da477550000_p_Instance _f0773da477550000_p_Instance _30793da477550000_p_Instance _e0793da477550000_p_Instance _407b3da477550000_p_Instance _c07b3da477550000_p_Instance _607c3da477550000_p_Instance _207e3da477550000_p_Instance _607f3da477550000_p_Instance _30813da477550000_p_Instance _70823da477550000_p_Instance _b0833da477550000_p_Instance _60843da477550000_p_Instance _00853da477550000_p_Instance _60863da477550000_p_Instance _00883da477550000_p_Instance _40893da477550000_p_Instance _808a3da477550000_p_Instance _c08b3da477550000_p_Instance _008d3da477550000_p_Instance _408e3da477550000_p_Instance _f08e3da477550000_p_Instance _b0903da477550000_p_Instance _60913da477550000_p_Instance _00923da477550000_p_Instance _c0933da477550000_p_Instance _00953da477550000_p_Instance _40963da477550000_p_Instance _80973da477550000_p_Instance _c0983da477550000_p_Instance _70993da477550000_p_Instance _109a3da477550000_p_Instance _709b3da477550000_p_Instance _109d3da477550000_p_Instance _509e3da477550000_p_Instance _20a03da477550000_p_Instance _60a13da477550000_p_Instance _a0a23da477550000_p_Instance _e0a33da477550000_p_Instance _20a53da477550000_p_Instance _60a63da477550000_p_Instance _10a73da477550000_p_Instance _d0a83da477550000_p_Instance _10aa3da477550000_p_Instance _e0ab3da477550000_p_Instance _20ad3da477550000_p_Instance _d0ad3da477550000_p_Instance _30af3da477550000_p_Instance _d0b03da477550000_p_Instance _10b23da477550000_p_Instance _e0b33da477550000_p_Instance _20b53da477550000_p_Instance _60b63da477550000_p_Instance _a0b73da477550000_p_Instance _e0b83da477550000_p_Instance _b0ba3da477550000_p_Instance _f0bb3da477550000_p_Instance _c0bd3da477550000_p_Instance _00bf3da477550000_p_Instance _40c03da477550000_p_Instance _80c13da477550000_p_Instance _30c23da477550000_p_Instance _90c33da477550000_p_Instance _d0c43da477550000_p_Instance _10c63da477550000_p_Instance _50c73da477550000_p_Instance _90c83da477550000_p_Instance _30ca3da477550000_p_Instance _70cb3da477550000_p_Instance _40cd3da477550000_p_Instance _80ce3da477550000_p_Instance _50d03da477550000_p_Instance _90d13da477550000_p_Instance _d0d23da477550000_p_Instance _10d43da477550000_p_Instance _50d53da477550000_p_Instance _20d73da477550000_p_Instance _60d83da477550000_p_Instance _10d93da477550000_p_Instance _70da3da477550000_p_Instance _10dc3da477550000_p_Instance _50dd3da477550000_p_Instance _20df3da477550000_p_Instance _60e03da477550000_p_Instance _a0e13da477550000_p_Instance _e0e23da477550000_p_Instance _20e43da477550000_p_Instance _d0e43da477550000_p_Instance _30e63da477550000_p_Instance _70e73da477550000_p_Instance _10e93da477550000_p_Instance _50ea3da477550000_p_Instance _90eb3da477550000_p_Instance _40ec3da477550000_p_Instance _e0ec3da477550000_p_Instance _40ee3da477550000_p_Instance _e0ef3da477550000_p_Instance _20f13da477550000_p_Instance _f0f23da477550000_p_Instance _30f43da477550000_p_Instance _70f53da477550000_p_Instance _b0f63da477550000_p_Instance _f0f73da477550000_p_Instance _30f93da477550000_p_Instance _00fb3da477550000_p_Instance _d0fc3da477550000_p_Instance _10fe3da477550000_p_Instance _e0ff3da477550000_p_Instance _20013ea477550000_p_Instance _d0013ea477550000_p_Instance _30033ea477550000_p_Instance _d0043ea477550000_p_Instance _10063ea477550000_p_Instance _e0073ea477550000_p_Instance _20093ea477550000_p_Instance _600a3ea477550000_p_Instance _a00b3ea477550000_p_Instance _e00c3ea477550000_p_Instance _b00e3ea477550000_p_Instance _f00f3ea477550000_p_Instance _c0113ea477550000_p_Instance _00133ea477550000_p_Instance _40143ea477550000_p_Instance _80153ea477550000_p_Instance _30163ea477550000_p_Instance _90173ea477550000_p_Instance _d0183ea477550000_p_Instance _101a3ea477550000_p_Instance _501b3ea477550000_p_Instance _901c3ea477550000_p_Instance _301e3ea477550000_p_Instance _701f3ea477550000_p_Instance _40213ea477550000_p_Instance _80223ea477550000_p_Instance _50243ea477550000_p_Instance _90253ea477550000_p_Instance _d0263ea477550000_p_Instance _10283ea477550000_p_Instance _50293ea477550000_p_Instance _202b3ea477550000_p_Instance _602c3ea477550000_p_Instance _102d3ea477550000_p_Instance _702e3ea477550000_p_Instance _10303ea477550000_p_Instance _50313ea477550000_p_Instance _20333ea477550000_p_Instance _60343ea477550000_p_Instance _a0353ea477550000_p_Instance _e0363ea477550000_p_Instance _20383ea477550000_p_Instance _d0383ea477550000_p_Instance _70393ea477550000_p_Instance _d03a3ea477550000_p_Instance _703c3ea477550000_p_Instance _b03d3ea477550000_p_Instance _f03e3ea477550000_p_Instance _a03f3ea477550000_p_Instance _00413ea477550000_p_Instance _40423ea477550000_p_Instance _80433ea477550000_p_Instance _00443ea477550000_p_Instance _a0443ea477550000_p_Instance _00463ea477550000_p_Instance _40473ea477550000_p_Instance _80483ea477550000_p_Instance _204a3ea477550000_p_Instance _604b3ea477550000_p_Instance _104c3ea477550000_p_Instance _704d3ea477550000_p_Instance _b04e3ea477550000_p_Instance _304f3ea477550000_p_Instance _d04f3ea477550000_p_Instance _90513ea477550000_p_Instance _d0523ea477550000_p_Instance _a0543ea477550000_p_Instance _e0553ea477550000_p_Instance _20573ea477550000_p_Instance _d0573ea477550000_p_Instance _30593ea477550000_p_Instance _b0593ea477550000_p_Instance _705b3ea477550000_p_Instance _b05c3ea477550000_p_Instance _f05d3ea477550000_p_Instance _305f3ea477550000_p_Instance _70603ea477550000_p_Instance _b0613ea477550000_p_Instance _60623ea477550000_p_Instance _00633ea477550000_p_Instance _a0633ea477550000_p_Instance _00653ea477550000_p_Instance _40663ea477550000_p_Instance _80673ea477550000_p_Instance _20693ea477550000_p_Instance _606a3ea477550000_p_Instance _106b3ea477550000_p_Instance _b06b3ea477550000_p_Instance _106d3ea477550000_p_Instance _b06e3ea477550000_p_Instance _f06f3ea477550000_p_Instance _c0713ea477550000_p_Instance _00733ea477550000_p_Instance _40743ea477550000_p_Instance _80753ea477550000_p_Instance _c0763ea477550000_p_Instance _00783ea477550000_p_Instance _b0783ea477550000_p_Instance _707a3ea477550000_p_Instance _b07b3ea477550000_p_Instance _807d3ea477550000_p_Instance _c07e3ea477550000_p_Instance _707f3ea477550000_p_Instance _d0803ea477550000_p_Instance _70823ea477550000_p_Instance _b0833ea477550000_p_Instance _80853ea477550000_p_Instance _c0863ea477550000_p_Instance _00883ea477550000_p_Instance _40893ea477550000_p_Instance _808a3ea477550000_p_Instance _508c3ea477550000_p_Instance _908d3ea477550000_p_Instance _608f3ea477550000_p_Instance _a0903ea477550000_p_Instance _e0913ea477550000_p_Instance _20933ea477550000_p_Instance _d0933ea477550000_p_Instance _30953ea477550000_p_Instance _70963ea477550000_p_Instance _b0973ea477550000_p_Instance _f0983ea477550000_p_Instance _309a3ea477550000_p_Instance _d09b3ea477550000_p_Instance _109d3ea477550000_p_Instance _e09e3ea477550000_p_Instance _20a03ea477550000_p_Instance _f0a13ea477550000_p_Instance _30a33ea477550000_p_Instance _70a43ea477550000_p_Instance _b0a53ea477550000_p_Instance _f0a63ea477550000_p_Instance _c0a83ea477550000_p_Instance _00aa3ea477550000_p_Instance _b0aa3ea477550000_p_Instance _10ac3ea477550000_p_Instance _b0ad3ea477550000_p_Instance _f0ae3ea477550000_p_Instance _c0b03ea477550000_p_Instance _00b23ea477550000_p_Instance _40b33ea477550000_p_Instance _80b43ea477550000_p_Instance _c0b53ea477550000_p_Instance _70b63ea477550000_p_Instance _10b73ea477550000_p_Instance _70b83ea477550000_p_Instance _10ba3ea477550000_p_Instance _50bb3ea477550000_p_Instance _90bc3ea477550000_p_Instance _40bd3ea477550000_p_Instance _a0be3ea477550000_p_Instance _e0bf3ea477550000_p_Instance _20c13ea477550000_p_Instance _a0c13ea477550000_p_Instance _40c23ea477550000_p_Instance _a0c33ea477550000_p_Instance _e0c43ea477550000_p_Instance _20c63ea477550000_p_Instance _c0c73ea477550000_p_Instance _00c93ea477550000_p_Instance _b0c93ea477550000_p_Instance _10cb3ea477550000_p_Instance _50cc3ea477550000_p_Instance _d0cc3ea477550000_p_Instance _70cd3ea477550000_p_Instance _30cf3ea477550000_p_Instance _70d03ea477550000_p_Instance _40d23ea477550000_p_Instance _80d33ea477550000_p_Instance _c0d43ea477550000_p_Instance _70d53ea477550000_p_Instance _d0d63ea477550000_p_Instance _50d73ea477550000_p_Instance _10d93ea477550000_p_Instance _50da3ea477550000_p_Instance _90db3ea477550000_p_Instance _d0dc3ea477550000_p_Instance _10de3ea477550000_p_Instance _50df3ea477550000_p_Instance _00e03ea477550000_p_Instance _c0e13ea477550000_p_Instance _70e23ea477550000_p_Instance _10e33ea477550000_p_Instance _70e43ea477550000_p_Instance _b0e53ea477550000_p_Instance _f0e63ea477550000_p_Instance _90e83ea477550000_p_Instance _d0e93ea477550000_p_Instance _80ea3ea477550000_p_Instance _20eb3ea477550000_p_Instance _80ec3ea477550000_p_Instance _20ee3ea477550000_p_Instance _60ef3ea477550000_p_Instance _30f13ea477550000_p_Instance _70f23ea477550000_p_Instance _b0f33ea477550000_p_Instance _f0f43ea477550000_p_Instance _30f63ea477550000_p_Instance _70f73ea477550000_p_Instance _20f83ea477550000_p_Instance _e0f93ea477550000_p_Instance _20fb3ea477550000_p_Instance _f0fc3ea477550000_p_Instance _30fe3ea477550000_p_Instance _e0fe3ea477550000_p_Instance _40003fa477550000_p_Instance _e0013fa477550000_p_Instance _20033fa477550000_p_Instance _f0043fa477550000_p_Instance _30063fa477550000_p_Instance _70073fa477550000_p_Instance _b0083fa477550000_p_Instance _f0093fa477550000_p_Instance _c00b3fa477550000_p_Instance _000d3fa477550000_p_Instance _d00e3fa477550000_p_Instance _10103fa477550000_p_Instance _50113fa477550000_p_Instance _90123fa477550000_p_Instance _40133fa477550000_p_Instance _a0143fa477550000_p_Instance _e0153fa477550000_p_Instance _20173fa477550000_p_Instance _60183fa477550000_p_Instance _a0193fa477550000_p_Instance _401b3fa477550000_p_Instance _801c3fa477550000_p_Instance _501e3fa477550000_p_Instance _901f3fa477550000_p_Instance _60213fa477550000_p_Instance _a0223fa477550000_p_Instance _e0233fa477550000_p_Instance _20253fa477550000_p_Instance _60263fa477550000_p_Instance _30283fa477550000_p_Instance _70293fa477550000_p_Instance _202a3fa477550000_p_Instance _802b3fa477550000_p_Instance _202d3fa477550000_p_Instance _602e3fa477550000_p_Instance _30303fa477550000_p_Instance _70313fa477550000_p_Instance _b0323fa477550000_p_Instance _f0333fa477550000_p_Instance _30353fa477550000_p_Instance _e0353fa477550000_p_Instance _a0373fa477550000_p_Instance _e0383fa477550000_p_Instance _b03a3fa477550000_p_Instance _f03b3fa477550000_p_Instance _303d3fa477550000_p_Instance _e03d3fa477550000_p_Instance _403f3fa477550000_p_Instance _80403fa477550000_p_Instance _00413fa477550000_p_Instance _a0413fa477550000_p_Instance _00433fa477550000_p_Instance _40443fa477550000_p_Instance _80453fa477550000_p_Instance _20473fa477550000_p_Instance _60483fa477550000_p_Instance _a0493fa477550000_p_Instance _e04a3fa477550000_p_Instance _204c3fa477550000_p_Instance _d04c3fa477550000_p_Instance _704d3fa477550000_p_Instance _304f3fa477550000_p_Instance _70503fa477550000_p_Instance _40523fa477550000_p_Instance _80533fa477550000_p_Instance _c0543fa477550000_p_Instance _70553fa477550000_p_Instance _10563fa477550000_p_Instance _70573fa477550000_p_Instance _10593fa477550000_p_Instance _505a3fa477550000_p_Instance _905b3fa477550000_p_Instance _d05c3fa477550000_p_Instance _105e3fa477550000_p_Instance _505f3fa477550000_p_Instance _00603fa477550000_p_Instance _a0603fa477550000_p_Instance _40613fa477550000_p_Instance _00633fa477550000_p_Instance _40643fa477550000_p_Instance _80653fa477550000_p_Instance _c0663fa477550000_p_Instance _00683fa477550000_p_Instance _b0683fa477550000_p_Instance _50693fa477550000_p_Instance _b06a3fa477550000_p_Instance _506c3fa477550000_p_Instance _906d3fa477550000_p_Instance _606f3fa477550000_p_Instance _a0703fa477550000_p_Instance _e0713fa477550000_p_Instance _20733fa477550000_p_Instance _60743fa477550000_p_Instance _a0753fa477550000_p_Instance _70773fa477550000_p_Instance _40793fa477550000_p_Instance _807a3fa477550000_p_Instance _507c3fa477550000_p_Instance _907d3fa477550000_p_Instance _407e3fa477550000_p_Instance _a07f3fa477550000_p_Instance _40813fa477550000_p_Instance _80823fa477550000_p_Instance _50843fa477550000_p_Instance _90853fa477550000_p_Instance _d0863fa477550000_p_Instance _10883fa477550000_p_Instance _50893fa477550000_p_Instance _208b3fa477550000_p_Instance _608c3fa477550000_p_Instance _308e3fa477550000_p_Instance _708f3fa477550000_p_Instance _b0903fa477550000_p_Instance _f0913fa477550000_p_Instance _a0923fa477550000_p_Instance _00943fa477550000_p_Instance _40953fa477550000_p_Instance _80963fa477550000_p_Instance _c0973fa477550000_p_Instance _00993fa477550000_p_Instance _a09a3fa477550000_p_Instance _e09b3fa477550000_p_Instance _b09d3fa477550000_p_Instance _f09e3fa477550000_p_Instance _c0a03fa477550000_p_Instance _00a23fa477550000_p_Instance _40a33fa477550000_p_Instance _80a43fa477550000_p_Instance _c0a53fa477550000_p_Instance _90a73fa477550000_p_Instance _d0a83fa477550000_p_Instance _80a93fa477550000_p_Instance _e0aa3fa477550000_p_Instance _80ac3fa477550000_p_Instance _c0ad3fa477550000_p_Instance _90af3fa477550000_p_Instance _d0b03fa477550000_p_Instance _10b23fa477550000_p_Instance _50b33fa477550000_p_Instance _90b43fa477550000_p_Instance _40b53fa477550000_p_Instance _e0b53fa477550000_p_Instance _40b73fa477550000_p_Instance _e0b83fa477550000_p_Instance _20ba3fa477550000_p_Instance _60bb3fa477550000_p_Instance _10bc3fa477550000_p_Instance _70bd3fa477550000_p_Instance _b0be3fa477550000_p_Instance _30bf3fa477550000_p_Instance _d0bf3fa477550000_p_Instance _30c13fa477550000_p_Instance _70c23fa477550000_p_Instance _b0c33fa477550000_p_Instance _50c53fa477550000_p_Instance _90c63fa477550000_p_Instance _d0c73fa477550000_p_Instance _80c83fa477550000_p_Instance _e0c93fa477550000_p_Instance _60ca3fa477550000_p_Instance _00cb3fa477550000_p_Instance _c0cc3fa477550000_p_Instance _00ce3fa477550000_p_Instance _d0cf3fa477550000_p_Instance _10d13fa477550000_p_Instance _50d23fa477550000_p_Instance _00d33fa477550000_p_Instance _a0d33fa477550000_p_Instance _00d53fa477550000_p_Instance _a0d63fa477550000_p_Instance _e0d73fa477550000_p_Instance _20d93fa477550000_p_Instance _60da3fa477550000_p_Instance _a0db3fa477550000_p_Instance _e0dc3fa477550000_p_Instance _90dd3fa477550000_p_Instance _50df3fa477550000_p_Instance _00e03fa477550000_p_Instance _a0e03fa477550000_p_Instance _60e23fa477550000_p_Instance _a0e33fa477550000_p_Instance _e0e43fa477550000_p_Instance _20e63fa477550000_p_Instance _60e73fa477550000_p_Instance _10e83fa477550000_p_Instance _b0e83fa477550000_p_Instance _10ea3fa477550000_p_Instance _b0eb3fa477550000_p_Instance _f0ec3fa477550000_p_Instance _c0ee3fa477550000_p_Instance _00f03fa477550000_p_Instance _40f13fa477550000_p_Instance _80f23fa477550000_p_Instance _c0f33fa477550000_p_Instance _00f53fa477550000_p_Instance _b0f53fa477550000_p_Instance _70f73fa477550000_p_Instance _b0f83fa477550000_p_Instance _80fa3fa477550000_p_Instance _c0fb3fa477550000_p_Instance _70fc3fa477550000_p_Instance _d0fd3fa477550000_p_Instance _70ff3fa477550000_p_Instance _b00040a477550000_p_Instance _800240a477550000_p_Instance _c00340a477550000_p_Instance _000540a477550000_p_Instance _400640a477550000_p_Instance _800740a477550000_p_Instance _500940a477550000_p_Instance _900a40a477550000_p_Instance _600c40a477550000_p_Instance _a00d40a477550000_p_Instance _e00e40a477550000_p_Instance _201040a477550000_p_Instance _d01040a477550000_p_Instance _301240a477550000_p_Instance _701340a477550000_p_Instance _b01440a477550000_p_Instance _f01540a477550000_p_Instance _301740a477550000_p_Instance _d01840a477550000_p_Instance _101a40a477550000_p_Instance _e01b40a477550000_p_Instance _201d40a477550000_p_Instance _f01e40a477550000_p_Instance _302040a477550000_p_Instance _702140a477550000_p_Instance _b02240a477550000_p_Instance _f02340a477550000_p_Instance _c02540a477550000_p_Instance _002740a477550000_p_Instance _b02740a477550000_p_Instance _102940a477550000_p_Instance _b02a40a477550000_p_Instance _f02b40a477550000_p_Instance _c02d40a477550000_p_Instance _002f40a477550000_p_Instance _403040a477550000_p_Instance _803140a477550000_p_Instance _c03240a477550000_p_Instance _703340a477550000_p_Instance _d03440a477550000_p_Instance _103640a477550000_p_Instance _b03740a477550000_p_Instance _f03840a477550000_p_Instance _303a40a477550000_p_Instance _e03a40a477550000_p_Instance _403c40a477550000_p_Instance _803d40a477550000_p_Instance _c03e40a477550000_p_Instance _403f40a477550000_p_Instance _e03f40a477550000_p_Instance _404140a477550000_p_Instance _804240a477550000_p_Instance _c04340a477550000_p_Instance _604540a477550000_p_Instance _a04640a477550000_p_Instance _504740a477550000_p_Instance _b04840a477550000_p_Instance _f04940a477550000_p_Instance _704a40a477550000_p_Instance _104b40a477550000_p_Instance _d04c40a477550000_p_Instance _104e40a477550000_p_Instance _e04f40a477550000_p_Instance _205140a477550000_p_Instance _605240a477550000_p_Instance _105340a477550000_p_Instance _705440a477550000_p_Instance _f05440a477550000_p_Instance _b05640a477550000_p_Instance _f05740a477550000_p_Instance _305940a477550000_p_Instance _705a40a477550000_p_Instance _b05b40a477550000_p_Instance _f05c40a477550000_p_Instance _a05d40a477550000_p_Instance _405e40a477550000_p_Instance _e05e40a477550000_p_Instance _805f40a477550000_p_Instance _e06040a477550000_p_Instance _206240a477550000_p_Instance _606340a477550000_p_Instance _006540a477550000_p_Instance _406640a477550000_p_Instance _f06640a477550000_p_Instance _906740a477550000_p_Instance _f06840a477550000_p_Instance _906a40a477550000_p_Instance _d06b40a477550000_p_Instance _a06d40a477550000_p_Instance _e06e40a477550000_p_Instance _207040a477550000_p_Instance _607140a477550000_p_Instance _a07240a477550000_p_Instance _e07340a477550000_p_Instance _907440a477550000_p_Instance _507640a477550000_p_Instance _907740a477550000_p_Instance _607940a477550000_p_Instance _a07a40a477550000_p_Instance _507b40a477550000_p_Instance _b07c40a477550000_p_Instance _507e40a477550000_p_Instance _907f40a477550000_p_Instance _608140a477550000_p_Instance _a08240a477550000_p_Instance _e08340a477550000_p_Instance _208540a477550000_p_Instance _608640a477550000_p_Instance _308840a477550000_p_Instance _708940a477550000_p_Instance _408b40a477550000_p_Instance _808c40a477550000_p_Instance _c08d40a477550000_p_Instance _008f40a477550000_p_Instance _b08f40a477550000_p_Instance _109140a477550000_p_Instance _509240a477550000_p_Instance _909340a477550000_p_Instance _d09440a477550000_p_Instance _109640a477550000_p_Instance _b09740a477550000_p_Instance _f09840a477550000_p_Instance _c09a40a477550000_p_Instance _009c40a477550000_p_Instance _d09d40a477550000_p_Instance _109f40a477550000_p_Instance _50a040a477550000_p_Instance _90a140a477550000_p_Instance _d0a240a477550000_p_Instance _a0a440a477550000_p_Instance _e0a540a477550000_p_Instance _90a640a477550000_p_Instance _f0a740a477550000_p_Instance _90a940a477550000_p_Instance _d0aa40a477550000_p_Instance _a0ac40a477550000_p_Instance _e0ad40a477550000_p_Instance _20af40a477550000_p_Instance _60b040a477550000_p_Instance _a0b140a477550000_p_Instance _50b240a477550000_p_Instance _f0b240a477550000_p_Instance _50b440a477550000_p_Instance _90b540a477550000_p_Instance _30b740a477550000_p_Instance _70b840a477550000_p_Instance _b0b940a477550000_p_Instance _60ba40a477550000_p_Instance _c0bb40a477550000_p_Instance _00bd40a477550000_p_Instance _80bd40a477550000_p_Instance _20be40a477550000_p_Instance _80bf40a477550000_p_Instance _c0c040a477550000_p_Instance _00c240a477550000_p_Instance _a0c340a477550000_p_Instance _e0c440a477550000_p_Instance _20c640a477550000_p_Instance _d0c640a477550000_p_Instance _30c840a477550000_p_Instance _b0c840a477550000_p_Instance _50c940a477550000_p_Instance _10cb40a477550000_p_Instance _50cc40a477550000_p_Instance _20ce40a477550000_p_Instance _60cf40a477550000_p_Instance _a0d040a477550000_p_Instance _50d140a477550000_p_Instance _f0d140a477550000_p_Instance _50d340a477550000_p_Instance _f0d440a477550000_p_Instance _30d640a477550000_p_Instance _70d740a477550000_p_Instance _b0d840a477550000_p_Instance _f0d940a477550000_p_Instance _30db40a477550000_p_Instance _e0db40a477550000_p_Instance _a0dd40a477550000_p_Instance _50de40a477550000_p_Instance _f0de40a477550000_p_Instance _b0e040a477550000_p_Instance _f0e140a477550000_p_Instance _30e340a477550000_p_Instance _70e440a477550000_p_Instance _b0e540a477550000_p_Instance _60e640a477550000_p_Instance _c0e740a477550000_p_Instance _00e940a477550000_p_Instance _40ea40a477550000_p_Instance _c0ea40a477550000_p_Instance _60eb40a477550000_p_Instance _c0ec40a477550000_p_Instance _00ee40a477550000_p_Instance _40ef40a477550000_p_Instance _e0f040a477550000_p_Instance _20f240a477550000_p_Instance _d0f240a477550000_p_Instance _30f440a477550000_p_Instance _70f540a477550000_p_Instance _f0f540a477550000_p_Instance _90f640a477550000_p_Instance _50f840a477550000_p_Instance _90f940a477550000_p_Instance _60fb40a477550000_p_Instance _a0fc40a477550000_p_Instance _e0fd40a477550000_p_Instance _90fe40a477550000_p_Instance _f0ff40a477550000_p_Instance _700041a477550000_p_Instance _300241a477550000_p_Instance _700341a477550000_p_Instance _b00441a477550000_p_Instance _f00541a477550000_p_Instance _300741a477550000_p_Instance _700841a477550000_p_Instance _200941a477550000_p_Instance _c00941a477550000_p_Instance _600a41a477550000_p_Instance _000b41a477550000_p_Instance _600c41a477550000_p_Instance _a00d41a477550000_p_Instance _e00e41a477550000_p_Instance _801041a477550000_p_Instance _c01141a477550000_p_Instance _701241a477550000_p_Instance _101341a477550000_p_Instance _701441a477550000_p_Instance _101641a477550000_p_Instance _501741a477550000_p_Instance _201941a477550000_p_Instance _601a41a477550000_p_Instance _a01b41a477550000_p_Instance _e01c41a477550000_p_Instance _201e41a477550000_p_Instance _601f41a477550000_p_Instance _102041a477550000_p_Instance _d02141a477550000_p_Instance _102341a477550000_p_Instance _e02441a477550000_p_Instance _202641a477550000_p_Instance _d02641a477550000_p_Instance _302841a477550000_p_Instance _d02941a477550000_p_Instance _102b41a477550000_p_Instance _e02c41a477550000_p_Instance _202e41a477550000_p_Instance _602f41a477550000_p_Instance _a03041a477550000_p_Instance _e03141a477550000_p_Instance _b03341a477550000_p_Instance _f03441a477550000_p_Instance _c03641a477550000_p_Instance _003841a477550000_p_Instance _403941a477550000_p_Instance _803a41a477550000_p_Instance _303b41a477550000_p_Instance _903c41a477550000_p_Instance _d03d41a477550000_p_Instance _103f41a477550000_p_Instance _504041a477550000_p_Instance _904141a477550000_p_Instance _304341a477550000_p_Instance _704441a477550000_p_Instance _404641a477550000_p_Instance _804741a477550000_p_Instance _504941a477550000_p_Instance _904a41a477550000_p_Instance _d04b41a477550000_p_Instance _104d41a477550000_p_Instance _504e41a477550000_p_Instance _205041a477550000_p_Instance _605141a477550000_p_Instance _105241a477550000_p_Instance _705341a477550000_p_Instance _105541a477550000_p_Instance _505641a477550000_p_Instance _205841a477550000_p_Instance _605941a477550000_p_Instance _a05a41a477550000_p_Instance _e05b41a477550000_p_Instance _205d41a477550000_p_Instance _d05d41a477550000_p_Instance _705e41a477550000_p_Instance _105f41a477550000_p_Instance _706041a477550000_p_Instance _106241a477550000_p_Instance _506341a477550000_p_Instance _906441a477550000_p_Instance _406541a477550000_p_Instance _a06641a477550000_p_Instance _e06741a477550000_p_Instance _206941a477550000_p_Instance _a06941a477550000_p_Instance _406a41a477550000_p_Instance _a06b41a477550000_p_Instance _e06c41a477550000_p_Instance _206e41a477550000_p_Instance _c06f41a477550000_p_Instance _007141a477550000_p_Instance _b07141a477550000_p_Instance _107341a477550000_p_Instance _507441a477550000_p_Instance _d07441a477550000_p_Instance _707541a477550000_p_Instance _307741a477550000_p_Instance _707841a477550000_p_Instance _407a41a477550000_p_Instance _807b41a477550000_p_Instance _c07c41a477550000_p_Instance _707d41a477550000_p_Instance _d07e41a477550000_p_Instance _507f41a477550000_p_Instance _108141a477550000_p_Instance _508241a477550000_p_Instance _908341a477550000_p_Instance _d08441a477550000_p_Instance _108641a477550000_p_Instance _508741a477550000_p_Instance _008841a477550000_p_Instance _a08841a477550000_p_Instance _008a41a477550000_p_Instance _408b41a477550000_p_Instance _c08b41a477550000_p_Instance _808d41a477550000_p_Instance _308e41a477550000_p_Instance _d08e41a477550000_p_Instance _909041a477550000_p_Instance _d09141a477550000_p_Instance _109341a477550000_p_Instance _509441a477550000_p_Instance _009541a477550000_p_Instance _609641a477550000_p_Instance _e09641a477550000_p_Instance _409841a477550000_p_Instance _e09941a477550000_p_Instance _209b41a477550000_p_Instance _f09c41a477550000_p_Instance _309e41a477550000_p_Instance _709f41a477550000_p_Instance _b0a041a477550000_p_Instance _f0a141a477550000_p_Instance _a0a241a477550000_p_Instance _00a441a477550000_p_Instance _a0a541a477550000_p_Instance _e0a641a477550000_p_Instance _b0a841a477550000_p_Instance _f0a941a477550000_p_Instance _a0aa41a477550000_p_Instance _00ac41a477550000_p_Instance _a0ad41a477550000_p_Instance _e0ae41a477550000_p_Instance _b0b041a477550000_p_Instance _f0b141a477550000_p_Instance _30b341a477550000_p_Instance _70b441a477550000_p_Instance _b0b541a477550000_p_Instance _80b741a477550000_p_Instance _c0b841a477550000_p_Instance _90ba41a477550000_p_Instance _d0bb41a477550000_p_Instance _10bd41a477550000_p_Instance _50be41a477550000_p_Instance _00bf41a477550000_p_Instance _60c041a477550000_p_Instance _a0c141a477550000_p_Instance _e0c241a477550000_p_Instance _20c441a477550000_p_Instance _60c541a477550000_p_Instance _00c741a477550000_p_Instance _40c841a477550000_p_Instance _10ca41a477550000_p_Instance _50cb41a477550000_p_Instance _20cd41a477550000_p_Instance _60ce41a477550000_p_Instance _30d041a477550000_p_Instance _70d141a477550000_p_Instance _b0d241a477550000_p_Instance _80d441a477550000_p_Instance _c0d541a477550000_p_Instance _70d641a477550000_p_Instance _d0d741a477550000_p_Instance _70d941a477550000_p_Instance _b0da41a477550000_p_Instance _80dc41a477550000_p_Instance _c0dd41a477550000_p_Instance _00df41a477550000_p_Instance _40e041a477550000_p_Instance _80e141a477550000_p_Instance _30e241a477550000_p_Instance _d0e241a477550000_p_Instance _30e441a477550000_p_Instance _b0e441a477550000_p_Instance _70e641a477550000_p_Instance _b0e741a477550000_p_Instance _f0e841a477550000_p_Instance _c0ea41a477550000_p_Instance _00ec41a477550000_p_Instance _b0ec41a477550000_p_Instance _10ee41a477550000_p_Instance _50ef41a477550000_p_Instance _90f041a477550000_p_Instance _10f141a477550000_p_Instance _b0f141a477550000_p_Instance _10f341a477550000_p_Instance _50f441a477550000_p_Instance _90f541a477550000_p_Instance _30f741a477550000_p_Instance _70f841a477550000_p_Instance _20f941a477550000_p_Instance _80fa41a477550000_p_Instance _c0fb41a477550000_p_Instance _40fc41a477550000_p_Instance _e0fc41a477550000_p_Instance _a0fe41a477550000_p_Instance _e0ff41a477550000_p_Instance _b00142a477550000_p_Instance _f00242a477550000_p_Instance _300442a477550000_p_Instance _e00442a477550000_p_Instance _400642a477550000_p_Instance _c00642a477550000_p_Instance _800842a477550000_p_Instance _c00942a477550000_p_Instance _000b42a477550000_p_Instance _400c42a477550000_p_Instance _800d42a477550000_p_Instance _c00e42a477550000_p_Instance _700f42a477550000_p_Instance _101042a477550000_p_Instance _701142a477550000_p_Instance _b01242a477550000_p_Instance _301342a477550000_p_Instance _f01442a477550000_p_Instance _a01542a477550000_p_Instance _401642a477550000_p_Instance _001842a477550000_p_Instance _401942a477550000_p_Instance _801a42a477550000_p_Instance _c01b42a477550000_p_Instance _701c42a477550000_p_Instance _d01d42a477550000_p_Instance _501e42a477550000_p_Instance _b01f42a477550000_p_Instance _502142a477550000_p_Instance _902242a477550000_p_Instance _602442a477550000_p_Instance _a02542a477550000_p_Instance _e02642a477550000_p_Instance _202842a477550000_p_Instance _602942a477550000_p_Instance _102a42a477550000_p_Instance _702b42a477550000_p_Instance _102d42a477550000_p_Instance _502e42a477550000_p_Instance _203042a477550000_p_Instance _603142a477550000_p_Instance _103242a477550000_p_Instance _703342a477550000_p_Instance _103542a477550000_p_Instance _503642a477550000_p_Instance _203842a477550000_p_Instance _603942a477550000_p_Instance _a03a42a477550000_p_Instance _e03b42a477550000_p_Instance _203d42a477550000_p_Instance _f03e42a477550000_p_Instance _304042a477550000_p_Instance _004242a477550000_p_Instance _404342a477550000_p_Instance _804442a477550000_p_Instance _c04542a477550000_p_Instance _704642a477550000_p_Instance _d04742a477550000_p_Instance _104942a477550000_p_Instance _504a42a477550000_p_Instance _904b42a477550000_p_Instance _d04c42a477550000_p_Instance _704e42a477550000_p_Instance _b04f42a477550000_p_Instance _805142a477550000_p_Instance _c05242a477550000_p_Instance _905442a477550000_p_Instance _d05542a477550000_p_Instance _a05742a477550000_p_Instance _e05842a477550000_p_Instance _205a42a477550000_p_Instance _f05b42a477550000_p_Instance _305d42a477550000_p_Instance _e05d42a477550000_p_Instance _405f42a477550000_p_Instance _e06042a477550000_p_Instance _206242a477550000_p_Instance _f06342a477550000_p_Instance _306542a477550000_p_Instance _706642a477550000_p_Instance _b06742a477550000_p_Instance _f06842a477550000_p_Instance _a06942a477550000_p_Instance _406a42a477550000_p_Instance _a06b42a477550000_p_Instance _206c42a477550000_p_Instance _e06d42a477550000_p_Instance _206f42a477550000_p_Instance _607042a477550000_p_Instance _307242a477550000_p_Instance _707342a477550000_p_Instance _207442a477550000_p_Instance _807542a477550000_p_Instance _c07642a477550000_p_Instance _007842a477550000_p_Instance _807842a477550000_p_Instance _207942a477550000_p_Instance _807a42a477550000_p_Instance _c07b42a477550000_p_Instance _007d42a477550000_p_Instance _a07e42a477550000_p_Instance _e07f42a477550000_p_Instance _908042a477550000_p_Instance _f08142a477550000_p_Instance _308342a477550000_p_Instance _b08342a477550000_p_Instance _508442a477550000_p_Instance _108642a477550000_p_Instance _508742a477550000_p_Instance _208942a477550000_p_Instance _608a42a477550000_p_Instance _a08b42a477550000_p_Instance _508c42a477550000_p_Instance _b08d42a477550000_p_Instance _308e42a477550000_p_Instance _f08f42a477550000_p_Instance _309142a477550000_p_Instance _709242a477550000_p_Instance _b09342a477550000_p_Instance _f09442a477550000_p_Instance _309642a477550000_p_Instance _e09642a477550000_p_Instance _809742a477550000_p_Instance _e09842a477550000_p_Instance _209a42a477550000_p_Instance _a09a42a477550000_p_Instance _609c42a477550000_p_Instance _109d42a477550000_p_Instance _b09d42a477550000_p_Instance _709f42a477550000_p_Instance _b0a042a477550000_p_Instance _f0a142a477550000_p_Instance _30a342a477550000_p_Instance _e0a342a477550000_p_Instance _40a542a477550000_p_Instance _c0a542a477550000_p_Instance _20a742a477550000_p_Instance _c0a842a477550000_p_Instance _00aa42a477550000_p_Instance _d0ab42a477550000_p_Instance _10ad42a477550000_p_Instance _50ae42a477550000_p_Instance _90af42a477550000_p_Instance _d0b042a477550000_p_Instance _80b142a477550000_p_Instance _e0b242a477550000_p_Instance _80b442a477550000_p_Instance _c0b542a477550000_p_Instance _90b742a477550000_p_Instance _d0b842a477550000_p_Instance _80b942a477550000_p_Instance _e0ba42a477550000_p_Instance _80bc42a477550000_p_Instance _c0bd42a477550000_p_Instance _90bf42a477550000_p_Instance _d0c042a477550000_p_Instance _10c242a477550000_p_Instance _50c342a477550000_p_Instance _90c442a477550000_p_Instance _60c642a477550000_p_Instance _a0c742a477550000_p_Instance _70c942a477550000_p_Instance _b0ca42a477550000_p_Instance _f0cb42a477550000_p_Instance _30cd42a477550000_p_Instance _e0cd42a477550000_p_Instance _40cf42a477550000_p_Instance _80d042a477550000_p_Instance _c0d142a477550000_p_Instance _00d342a477550000_p_Instance _40d442a477550000_p_Instance _e0d542a477550000_p_Instance _20d742a477550000_p_Instance _f0d842a477550000_p_Instance _30da42a477550000_p_Instance _00dc42a477550000_p_Instance _40dd42a477550000_p_Instance _10df42a477550000_p_Instance _50e042a477550000_p_Instance _90e142a477550000_p_Instance _60e342a477550000_p_Instance _a0e442a477550000_p_Instance _50e542a477550000_p_Instance _b0e642a477550000_p_Instance _50e842a477550000_p_Instance _90e942a477550000_p_Instance _60eb42a477550000_p_Instance _a0ec42a477550000_p_Instance _e0ed42a477550000_p_Instance _20ef42a477550000_p_Instance _60f042a477550000_p_Instance _10f142a477550000_p_Instance _b0f142a477550000_p_Instance _10f342a477550000_p_Instance _90f342a477550000_p_Instance _50f542a477550000_p_Instance _90f642a477550000_p_Instance _d0f742a477550000_p_Instance _a0f942a477550000_p_Instance _e0fa42a477550000_p_Instance _90fb42a477550000_p_Instance _f0fc42a477550000_p_Instance _30fe42a477550000_p_Instance _70ff42a477550000_p_Instance _f0ff42a477550000_p_Instance _900043a477550000_p_Instance _f00143a477550000_p_Instance _300343a477550000_p_Instance _700443a477550000_p_Instance _100643a477550000_p_Instance _500743a477550000_p_Instance _000843a477550000_p_Instance _600943a477550000_p_Instance _a00a43a477550000_p_Instance _200b43a477550000_p_Instance _c00b43a477550000_p_Instance _800d43a477550000_p_Instance _c00e43a477550000_p_Instance _901043a477550000_p_Instance _d01143a477550000_p_Instance _101343a477550000_p_Instance _c01343a477550000_p_Instance _201543a477550000_p_Instance _a01543a477550000_p_Instance _601743a477550000_p_Instance _a01843a477550000_p_Instance _e01943a477550000_p_Instance _201b43a477550000_p_Instance _601c43a477550000_p_Instance _a01d43a477550000_p_Instance _501e43a477550000_p_Instance _f01e43a477550000_p_Instance _502043a477550000_p_Instance _902143a477550000_p_Instance _102243a477550000_p_Instance _d02343a477550000_p_Instance _802443a477550000_p_Instance _202543a477550000_p_Instance _e02643a477550000_p_Instance _202843a477550000_p_Instance _602943a477550000_p_Instance _a02a43a477550000_p_Instance _502b43a477550000_p_Instance _b02c43a477550000_p_Instance _302d43a477550000_p_Instance _902e43a477550000_p_Instance _303043a477550000_p_Instance _703143a477550000_p_Instance _403343a477550000_p_Instance _803443a477550000_p_Instance _c03543a477550000_p_Instance _003743a477550000_p_Instance _403843a477550000_p_Instance _f03843a477550000_p_Instance _503a43a477550000_p_Instance _f03b43a477550000_p_Instance _303d43a477550000_p_Instance _003f43a477550000_p_Instance _404043a477550000_p_Instance _f04043a477550000_p_Instance _504243a477550000_p_Instance _f04343a477550000_p_Instance _304543a477550000_p_Instance _004743a477550000_p_Instance _404843a477550000_p_Instance _804943a477550000_p_Instance _c04a43a477550000_p_Instance _004c43a477550000_p_Instance _d04d43a477550000_p_Instance _104f43a477550000_p_Instance _e05043a477550000_p_Instance _205243a477550000_p_Instance _605343a477550000_p_Instance _a05443a477550000_p_Instance _505543a477550000_p_Instance _b05643a477550000_p_Instance _f05743a477550000_p_Instance _305943a477550000_p_Instance _705a43a477550000_p_Instance _b05b43a477550000_p_Instance _505d43a477550000_p_Instance _905e43a477550000_p_Instance _606043a477550000_p_Instance _a06143a477550000_p_Instance _706343a477550000_p_Instance _b06443a477550000_p_Instance _806643a477550000_p_Instance _c06743a477550000_p_Instance _006943a477550000_p_Instance _d06a43a477550000_p_Instance _106c43a477550000_p_Instance _c06c43a477550000_p_Instance _206e43a477550000_p_Instance _c06f43a477550000_p_Instance _007143a477550000_p_Instance _d07243a477550000_p_Instance _107443a477550000_p_Instance _507543a477550000_p_Instance _907643a477550000_p_Instance _d07743a477550000_p_Instance _807843a477550000_p_Instance _207943a477550000_p_Instance _807a43a477550000_p_Instance _007b43a477550000_p_Instance _c07c43a477550000_p_Instance _007e43a477550000_p_Instance _407f43a477550000_p_Instance _108143a477550000_p_Instance _508243a477550000_p_Instance _008343a477550000_p_Instance _a08343a477550000_p_Instance _008543a477550000_p_Instance _a08643a477550000_p_Instance _e08743a477550000_p_Instance _b08943a477550000_p_Instance _f08a43a477550000_p_Instance _308c43a477550000_p_Instance _708d43a477550000_p_Instance _b08e43a477550000_p_Instance _f08f43a477550000_p_Instance _a09043a477550000_p_Instance _609243a477550000_p_Instance _a09343a477550000_p_Instance _709543a477550000_p_Instance _b09643a477550000_p_Instance _609743a477550000_p_Instance _c09843a477550000_p_Instance _609a43a477550000_p_Instance _a09b43a477550000_p_Instance _709d43a477550000_p_Instance _b09e43a477550000_p_Instance _f09f43a477550000_p_Instance _30a143a477550000_p_Instance _70a243a477550000_p_Instance _40a443a477550000_p_Instance _80a543a477550000_p_Instance _50a743a477550000_p_Instance _90a843a477550000_p_Instance _d0a943a477550000_p_Instance _10ab43a477550000_p_Instance _c0ab43a477550000_p_Instance _20ad43a477550000_p_Instance _60ae43a477550000_p_Instance _a0af43a477550000_p_Instance _e0b043a477550000_p_Instance _20b243a477550000_p_Instance _c0b343a477550000_p_Instance _00b543a477550000_p_Instance _d0b643a477550000_p_Instance _10b843a477550000_p_Instance _e0b943a477550000_p_Instance _20bb43a477550000_p_Instance _60bc43a477550000_p_Instance _a0bd43a477550000_p_Instance _e0be43a477550000_p_Instance _b0c043a477550000_p_Instance _f0c143a477550000_p_Instance _a0c243a477550000_p_Instance _00c443a477550000_p_Instance _a0c543a477550000_p_Instance _e0c643a477550000_p_Instance _b0c843a477550000_p_Instance _f0c943a477550000_p_Instance _30cb43a477550000_p_Instance _70cc43a477550000_p_Instance _b0cd43a477550000_p_Instance _60ce43a477550000_p_Instance _c0cf43a477550000_p_Instance _00d143a477550000_p_Instance _a0d243a477550000_p_Instance _e0d343a477550000_p_Instance _20d543a477550000_p_Instance _d0d543a477550000_p_Instance _30d743a477550000_p_Instance _70d843a477550000_p_Instance _b0d943a477550000_p_Instance _30da43a477550000_p_Instance _d0da43a477550000_p_Instance _30dc43a477550000_p_Instance _70dd43a477550000_p_Instance _b0de43a477550000_p_Instance _50e043a477550000_p_Instance _90e143a477550000_p_Instance _40e243a477550000_p_Instance _a0e343a477550000_p_Instance _e0e443a477550000_p_Instance _60e543a477550000_p_Instance _00e643a477550000_p_Instance _c0e743a477550000_p_Instance _00e943a477550000_p_Instance _d0ea43a477550000_p_Instance _10ec43a477550000_p_Instance _50ed43a477550000_p_Instance _00ee43a477550000_p_Instance _60ef43a477550000_p_Instance _e0ef43a477550000_p_Instance _a0f143a477550000_p_Instance _e0f243a477550000_p_Instance _20f443a477550000_p_Instance _60f543a477550000_p_Instance _a0f643a477550000_p_Instance _e0f743a477550000_p_Instance _90f843a477550000_p_Instance _30f943a477550000_p_Instance _90fa43a477550000_p_Instance _d0fb43a477550000_p_Instance _50fc43a477550000_p_Instance _10fe43a477550000_p_Instance _c0fe43a477550000_p_Instance _60ff43a477550000_p_Instance _200144a477550000_p_Instance _600244a477550000_p_Instance _a00344a477550000_p_Instance _e00444a477550000_p_Instance _900544a477550000_p_Instance _f00644a477550000_p_Instance _700744a477550000_p_Instance _d00844a477550000_p_Instance _700a44a477550000_p_Instance _b00b44a477550000_p_Instance _800d44a477550000_p_Instance _c00e44a477550000_p_Instance _001044a477550000_p_Instance _401144a477550000_p_Instance _801244a477550000_p_Instance _301344a477550000_p_Instance _901444a477550000_p_Instance _301644a477550000_p_Instance _701744a477550000_p_Instance _401944a477550000_p_Instance _801a44a477550000_p_Instance _301b44a477550000_p_Instance _901c44a477550000_p_Instance _301e44a477550000_p_Instance _701f44a477550000_p_Instance _402144a477550000_p_Instance _802244a477550000_p_Instance _c02344a477550000_p_Instance _002544a477550000_p_Instance _402644a477550000_p_Instance _102844a477550000_p_Instance _502944a477550000_p_Instance _202b44a477550000_p_Instance _602c44a477550000_p_Instance _a02d44a477550000_p_Instance _e02e44a477550000_p_Instance _902f44a477550000_p_Instance _f03044a477550000_p_Instance _303244a477550000_p_Instance _703344a477550000_p_Instance _b03444a477550000_p_Instance _f03544a477550000_p_Instance _903744a477550000_p_Instance _d03844a477550000_p_Instance _a03a44a477550000_p_Instance _e03b44a477550000_p_Instance _b03d44a477550000_p_Instance _f03e44a477550000_p_Instance _c04044a477550000_p_Instance _004244a477550000_p_Instance _404344a477550000_p_Instance _104544a477550000_p_Instance _504644a477550000_p_Instance _004744a477550000_p_Instance _604844a477550000_p_Instance _004a44a477550000_p_Instance _404b44a477550000_p_Instance _104d44a477550000_p_Instance _504e44a477550000_p_Instance _904f44a477550000_p_Instance _d05044a477550000_p_Instance _105244a477550000_p_Instance _c05244a477550000_p_Instance _605344a477550000_p_Instance _c05444a477550000_p_Instance _405544a477550000_p_Instance _005744a477550000_p_Instance _405844a477550000_p_Instance _805944a477550000_p_Instance _505b44a477550000_p_Instance _905c44a477550000_p_Instance _405d44a477550000_p_Instance _a05e44a477550000_p_Instance _e05f44a477550000_p_Instance _206144a477550000_p_Instance _a06144a477550000_p_Instance _406244a477550000_p_Instance _a06344a477550000_p_Instance _e06444a477550000_p_Instance _206644a477550000_p_Instance _c06744a477550000_p_Instance _006944a477550000_p_Instance _b06944a477550000_p_Instance _106b44a477550000_p_Instance _506c44a477550000_p_Instance _d06c44a477550000_p_Instance _706d44a477550000_p_Instance _306f44a477550000_p_Instance _707044a477550000_p_Instance _407244a477550000_p_Instance _807344a477550000_p_Instance _c07444a477550000_p_Instance _707544a477550000_p_Instance _d07644a477550000_p_Instance _507744a477550000_p_Instance _107944a477550000_p_Instance _507a44a477550000_p_Instance _907b44a477550000_p_Instance _d07c44a477550000_p_Instance _107e44a477550000_p_Instance _507f44a477550000_p_Instance _008044a477550000_p_Instance _a08044a477550000_p_Instance _008244a477550000_p_Instance _408344a477550000_p_Instance _c08344a477550000_p_Instance _808544a477550000_p_Instance _308644a477550000_p_Instance _d08644a477550000_p_Instance _908844a477550000_p_Instance _d08944a477550000_p_Instance _108b44a477550000_p_Instance _508c44a477550000_p_Instance _008d44a477550000_p_Instance _608e44a477550000_p_Instance _e08e44a477550000_p_Instance _409044a477550000_p_Instance _e09144a477550000_p_Instance _209344a477550000_p_Instance _f09444a477550000_p_Instance _309644a477550000_p_Instance _709744a477550000_p_Instance _b09844a477550000_p_Instance _f09944a477550000_p_Instance _a09a44a477550000_p_Instance _009c44a477550000_p_Instance _a09d44a477550000_p_Instance _e09e44a477550000_p_Instance _b0a044a477550000_p_Instance _f0a144a477550000_p_Instance _a0a244a477550000_p_Instance _00a444a477550000_p_Instance _a0a544a477550000_p_Instance _e0a644a477550000_p_Instance _b0a844a477550000_p_Instance _f0a944a477550000_p_Instance _30ab44a477550000_p_Instance _70ac44a477550000_p_Instance _b0ad44a477550000_p_Instance _80af44a477550000_p_Instance _c0b044a477550000_p_Instance _90b244a477550000_p_Instance _d0b344a477550000_p_Instance _10b544a477550000_p_Instance _50b644a477550000_p_Instance _00b744a477550000_p_Instance _60b844a477550000_p_Instance _a0b944a477550000_p_Instance _e0ba44a477550000_p_Instance _20bc44a477550000_p_Instance _60bd44a477550000_p_Instance _00bf44a477550000_p_Instance _40c044a477550000_p_Instance _10c244a477550000_p_Instance _50c344a477550000_p_Instance _20c544a477550000_p_Instance _60c644a477550000_p_Instance _30c844a477550000_p_Instance _70c944a477550000_p_Instance _b0ca44a477550000_p_Instance _80cc44a477550000_p_Instance _c0cd44a477550000_p_Instance _70ce44a477550000_p_Instance _d0cf44a477550000_p_Instance _70d144a477550000_p_Instance _b0d244a477550000_p_Instance _80d444a477550000_p_Instance _c0d544a477550000_p_Instance _00d744a477550000_p_Instance _40d844a477550000_p_Instance _80d944a477550000_p_Instance _30da44a477550000_p_Instance _d0da44a477550000_p_Instance _30dc44a477550000_p_Instance _b0dc44a477550000_p_Instance _70de44a477550000_p_Instance _b0df44a477550000_p_Instance _f0e044a477550000_p_Instance _c0e244a477550000_p_Instance _00e444a477550000_p_Instance _b0e444a477550000_p_Instance _10e644a477550000_p_Instance _50e744a477550000_p_Instance _90e844a477550000_p_Instance _10e944a477550000_p_Instance _b0e944a477550000_p_Instance _10eb44a477550000_p_Instance _50ec44a477550000_p_Instance _90ed44a477550000_p_Instance _30ef44a477550000_p_Instance _70f044a477550000_p_Instance _20f144a477550000_p_Instance _80f244a477550000_p_Instance _c0f344a477550000_p_Instance _40f444a477550000_p_Instance _e0f444a477550000_p_Instance _a0f644a477550000_p_Instance _e0f744a477550000_p_Instance _b0f944a477550000_p_Instance _f0fa44a477550000_p_Instance _30fc44a477550000_p_Instance _e0fc44a477550000_p_Instance _40fe44a477550000_p_Instance _c0fe44a477550000_p_Instance _800045a477550000_p_Instance _c00145a477550000_p_Instance _000345a477550000_p_Instance _400445a477550000_p_Instance _800545a477550000_p_Instance _c00645a477550000_p_Instance _700745a477550000_p_Instance _100845a477550000_p_Instance _700945a477550000_p_Instance _b00a45a477550000_p_Instance _300b45a477550000_p_Instance _f00c45a477550000_p_Instance _a00d45a477550000_p_Instance _400e45a477550000_p_Instance _001045a477550000_p_Instance _401145a477550000_p_Instance _801245a477550000_p_Instance _c01345a477550000_p_Instance _701445a477550000_p_Instance _d01545a477550000_p_Instance _501645a477550000_p_Instance _b01745a477550000_p_Instance _501945a477550000_p_Instance _901a45a477550000_p_Instance _601c45a477550000_p_Instance _a01d45a477550000_p_Instance _e01e45a477550000_p_Instance _202045a477550000_p_Instance _602145a477550000_p_Instance _102245a477550000_p_Instance _702345a477550000_p_Instance _102545a477550000_p_Instance _502645a477550000_p_Instance _202845a477550000_p_Instance _602945a477550000_p_Instance _102a45a477550000_p_Instance _702b45a477550000_p_Instance _102d45a477550000_p_Instance _502e45a477550000_p_Instance _203045a477550000_p_Instance _603145a477550000_p_Instance _a03245a477550000_p_Instance _e03345a477550000_p_Instance _203545a477550000_p_Instance _f03645a477550000_p_Instance _303845a477550000_p_Instance _003a45a477550000_p_Instance _403b45a477550000_p_Instance _803c45a477550000_p_Instance _c03d45a477550000_p_Instance _703e45a477550000_p_Instance _d03f45a477550000_p_Instance _104145a477550000_p_Instance _504245a477550000_p_Instance _904345a477550000_p_Instance _d04445a477550000_p_Instance _704645a477550000_p_Instance _b04745a477550000_p_Instance _804945a477550000_p_Instance _c04a45a477550000_p_Instance _904c45a477550000_p_Instance _d04d45a477550000_p_Instance _a04f45a477550000_p_Instance _e05045a477550000_p_Instance _205245a477550000_p_Instance _f05345a477550000_p_Instance _305545a477550000_p_Instance _e05545a477550000_p_Instance _405745a477550000_p_Instance _e05845a477550000_p_Instance _205a45a477550000_p_Instance _f05b45a477550000_p_Instance _305d45a477550000_p_Instance _705e45a477550000_p_Instance _b05f45a477550000_p_Instance _f06045a477550000_p_Instance _a06145a477550000_p_Instance _406245a477550000_p_Instance _a06345a477550000_p_Instance _206445a477550000_p_Instance _e06545a477550000_p_Instance _206745a477550000_p_Instance _606845a477550000_p_Instance _306a45a477550000_p_Instance _706b45a477550000_p_Instance _206c45a477550000_p_Instance _806d45a477550000_p_Instance _c06e45a477550000_p_Instance _007045a477550000_p_Instance _807045a477550000_p_Instance _207145a477550000_p_Instance _807245a477550000_p_Instance _c07345a477550000_p_Instance _007545a477550000_p_Instance _a07645a477550000_p_Instance _e07745a477550000_p_Instance _907845a477550000_p_Instance _f07945a477550000_p_Instance _307b45a477550000_p_Instance _b07b45a477550000_p_Instance _507c45a477550000_p_Instance _107e45a477550000_p_Instance _507f45a477550000_p_Instance _208145a477550000_p_Instance _608245a477550000_p_Instance _a08345a477550000_p_Instance _508445a477550000_p_Instance _b08545a477550000_p_Instance _308645a477550000_p_Instance _f08745a477550000_p_Instance _308945a477550000_p_Instance _708a45a477550000_p_Instance _b08b45a477550000_p_Instance _f08c45a477550000_p_Instance _308e45a477550000_p_Instance _e08e45a477550000_p_Instance _808f45a477550000_p_Instance _e09045a477550000_p_Instance _209245a477550000_p_Instance _a09245a477550000_p_Instance _609445a477550000_p_Instance _109545a477550000_p_Instance _b09545a477550000_p_Instance _709745a477550000_p_Instance _b09845a477550000_p_Instance _f09945a477550000_p_Instance _309b45a477550000_p_Instance _e09b45a477550000_p_Instance _409d45a477550000_p_Instance _c09d45a477550000_p_Instance _209f45a477550000_p_Instance _c0a045a477550000_p_Instance _00a245a477550000_p_Instance _d0a345a477550000_p_Instance _10a545a477550000_p_Instance _50a645a477550000_p_Instance _90a745a477550000_p_Instance _d0a845a477550000_p_Instance _80a945a477550000_p_Instance _e0aa45a477550000_p_Instance _80ac45a477550000_p_Instance _c0ad45a477550000_p_Instance _90af45a477550000_p_Instance _d0b045a477550000_p_Instance _80b145a477550000_p_Instance _e0b245a477550000_p_Instance _80b445a477550000_p_Instance _c0b545a477550000_p_Instance _90b745a477550000_p_Instance _d0b845a477550000_p_Instance _10ba45a477550000_p_Instance _50bb45a477550000_p_Instance _90bc45a477550000_p_Instance _60be45a477550000_p_Instance _a0bf45a477550000_p_Instance _70c145a477550000_p_Instance _b0c245a477550000_p_Instance _f0c345a477550000_p_Instance _30c545a477550000_p_Instance _e0c545a477550000_p_Instance _40c745a477550000_p_Instance _80c845a477550000_p_Instance _c0c945a477550000_p_Instance _00cb45a477550000_p_Instance _40cc45a477550000_p_Instance _e0cd45a477550000_p_Instance _20cf45a477550000_p_Instance _f0d045a477550000_p_Instance _30d245a477550000_p_Instance _00d445a477550000_p_Instance _40d545a477550000_p_Instance _10d745a477550000_p_Instance _50d845a477550000_p_Instance _90d945a477550000_p_Instance _60db45a477550000_p_Instance _a0dc45a477550000_p_Instance _50dd45a477550000_p_Instance _b0de45a477550000_p_Instance _50e045a477550000_p_Instance _90e145a477550000_p_Instance _60e345a477550000_p_Instance _a0e445a477550000_p_Instance _e0e545a477550000_p_Instance _20e745a477550000_p_Instance _60e845a477550000_p_Instance _10e945a477550000_p_Instance _b0e945a477550000_p_Instance _10eb45a477550000_p_Instance _90eb45a477550000_p_Instance _50ed45a477550000_p_Instance _90ee45a477550000_p_Instance _d0ef45a477550000_p_Instance _a0f145a477550000_p_Instance _e0f245a477550000_p_Instance _90f345a477550000_p_Instance _f0f445a477550000_p_Instance _30f645a477550000_p_Instance _70f745a477550000_p_Instance _f0f745a477550000_p_Instance _90f845a477550000_p_Instance _f0f945a477550000_p_Instance _30fb45a477550000_p_Instance _70fc45a477550000_p_Instance _10fe45a477550000_p_Instance _50ff45a477550000_p_Instance _000046a477550000_p_Instance _600146a477550000_p_Instance _a00246a477550000_p_Instance _200346a477550000_p_Instance _c00346a477550000_p_Instance _800546a477550000_p_Instance _c00646a477550000_p_Instance _900846a477550000_p_Instance _d00946a477550000_p_Instance _100b46a477550000_p_Instance _c00b46a477550000_p_Instance _200d46a477550000_p_Instance _a00d46a477550000_p_Instance _600f46a477550000_p_Instance _a01046a477550000_p_Instance _e01146a477550000_p_Instance _201346a477550000_p_Instance _601446a477550000_p_Instance _a01546a477550000_p_Instance _501646a477550000_p_Instance _f01646a477550000_p_Instance _501846a477550000_p_Instance _901946a477550000_p_Instance _101a46a477550000_p_Instance _d01b46a477550000_p_Instance _801c46a477550000_p_Instance _201d46a477550000_p_Instance _e01e46a477550000_p_Instance _202046a477550000_p_Instance _602146a477550000_p_Instance _a02246a477550000_p_Instance _502346a477550000_p_Instance _b02446a477550000_p_Instance _302546a477550000_p_Instance _902646a477550000_p_Instance _302846a477550000_p_Instance _702946a477550000_p_Instance _402b46a477550000_p_Instance _802c46a477550000_p_Instance _c02d46a477550000_p_Instance _002f46a477550000_p_Instance _403046a477550000_p_Instance _f03046a477550000_p_Instance _503246a477550000_p_Instance _f03346a477550000_p_Instance _303546a477550000_p_Instance _003746a477550000_p_Instance _403846a477550000_p_Instance _f03846a477550000_p_Instance _503a46a477550000_p_Instance _f03b46a477550000_p_Instance _303d46a477550000_p_Instance _003f46a477550000_p_Instance _404046a477550000_p_Instance _804146a477550000_p_Instance _c04246a477550000_p_Instance _004446a477550000_p_Instance _d04546a477550000_p_Instance _104746a477550000_p_Instance _e04846a477550000_p_Instance _204a46a477550000_p_Instance _604b46a477550000_p_Instance _a04c46a477550000_p_Instance _504d46a477550000_p_Instance _b04e46a477550000_p_Instance _f04f46a477550000_p_Instance _305146a477550000_p_Instance _705246a477550000_p_Instance _b05346a477550000_p_Instance _505546a477550000_p_Instance _905646a477550000_p_Instance _605846a477550000_p_Instance _a05946a477550000_p_Instance _705b46a477550000_p_Instance _b05c46a477550000_p_Instance _805e46a477550000_p_Instance _c05f46a477550000_p_Instance _006146a477550000_p_Instance _d06246a477550000_p_Instance _106446a477550000_p_Instance _c06446a477550000_p_Instance _206646a477550000_p_Instance _c06746a477550000_p_Instance _006946a477550000_p_Instance _d06a46a477550000_p_Instance _106c46a477550000_p_Instance _506d46a477550000_p_Instance _906e46a477550000_p_Instance _d06f46a477550000_p_Instance _807046a477550000_p_Instance _207146a477550000_p_Instance _807246a477550000_p_Instance _007346a477550000_p_Instance _c07446a477550000_p_Instance _007646a477550000_p_Instance _407746a477550000_p_Instance _107946a477550000_p_Instance _507a46a477550000_p_Instance _007b46a477550000_p_Instance _607c46a477550000_p_Instance _a07d46a477550000_p_Instance _e07e46a477550000_p_Instance _607f46a477550000_p_Instance _008046a477550000_p_Instance _608146a477550000_p_Instance _a08246a477550000_p_Instance _e08346a477550000_p_Instance _808546a477550000_p_Instance _c08646a477550000_p_Instance _708746a477550000_p_Instance _d08846a477550000_p_Instance _108a46a477550000_p_Instance _908a46a477550000_p_Instance _308b46a477550000_p_Instance _f08c46a477550000_p_Instance _308e46a477550000_p_Instance _009046a477550000_p_Instance _409146a477550000_p_Instance _809246a477550000_p_Instance _309346a477550000_p_Instance _909446a477550000_p_Instance _109546a477550000_p_Instance _d09646a477550000_p_Instance _109846a477550000_p_Instance _509946a477550000_p_Instance _909a46a477550000_p_Instance _d09b46a477550000_p_Instance _109d46a477550000_p_Instance _c09d46a477550000_p_Instance _609e46a477550000_p_Instance _009f46a477550000_p_Instance _60a046a477550000_p_Instance _a0a146a477550000_p_Instance _e0a246a477550000_p_Instance _80a446a477550000_p_Instance _c0a546a477550000_p_Instance _70a646a477550000_p_Instance _d0a746a477550000_p_Instance _10a946a477550000_p_Instance _50aa46a477550000_p_Instance _d0aa46a477550000_p_Instance _70ab46a477550000_p_Instance _d0ac46a477550000_p_Instance _10ae46a477550000_p_Instance _50af46a477550000_p_Instance _f0b046a477550000_p_Instance _30b246a477550000_p_Instance _e0b246a477550000_p_Instance _40b446a477550000_p_Instance _80b546a477550000_p_Instance _00b646a477550000_p_Instance _a0b646a477550000_p_Instance _60b846a477550000_p_Instance _a0b946a477550000_p_Instance _70bb46a477550000_p_Instance _b0bc46a477550000_p_Instance _f0bd46a477550000_p_Instance _a0be46a477550000_p_Instance _00c046a477550000_p_Instance _80c046a477550000_p_Instance _40c246a477550000_p_Instance _80c346a477550000_p_Instance _c0c446a477550000_p_Instance _00c646a477550000_p_Instance _40c746a477550000_p_Instance _80c846a477550000_p_Instance _30c946a477550000_p_Instance _d0c946a477550000_p_Instance _30cb46a477550000_p_Instance _70cc46a477550000_p_Instance _f0cc46a477550000_p_Instance _b0ce46a477550000_p_Instance _60cf46a477550000_p_Instance _00d046a477550000_p_Instance _c0d146a477550000_p_Instance _00d346a477550000_p_Instance _40d446a477550000_p_Instance _80d546a477550000_p_Instance _30d646a477550000_p_Instance _90d746a477550000_p_Instance _10d846a477550000_p_Instance _70d946a477550000_p_Instance _10db46a477550000_p_Instance _50dc46a477550000_p_Instance _20de46a477550000_p_Instance _60df46a477550000_p_Instance _a0e046a477550000_p_Instance _e0e146a477550000_p_Instance _20e346a477550000_p_Instance _d0e346a477550000_p_Instance _30e546a477550000_p_Instance _d0e646a477550000_p_Instance _10e846a477550000_p_Instance _e0e946a477550000_p_Instance _20eb46a477550000_p_Instance _d0eb46a477550000_p_Instance _30ed46a477550000_p_Instance _d0ee46a477550000_p_Instance _10f046a477550000_p_Instance _e0f146a477550000_p_Instance _20f346a477550000_p_Instance _60f446a477550000_p_Instance _a0f546a477550000_p_Instance _e0f646a477550000_p_Instance _b0f846a477550000_p_Instance _f0f946a477550000_p_Instance _c0fb46a477550000_p_Instance _00fd46a477550000_p_Instance _40fe46a477550000_p_Instance _80ff46a477550000_p_Instance _300047a477550000_p_Instance _900147a477550000_p_Instance _d00247a477550000_p_Instance _100447a477550000_p_Instance _500547a477550000_p_Instance _900647a477550000_p_Instance _300847a477550000_p_Instance _700947a477550000_p_Instance _400b47a477550000_p_Instance _800c47a477550000_p_Instance _500e47a477550000_p_Instance _900f47a477550000_p_Instance _601147a477550000_p_Instance _a01247a477550000_p_Instance _e01347a477550000_p_Instance _b01547a477550000_p_Instance _f01647a477550000_p_Instance _a01747a477550000_p_Instance _001947a477550000_p_Instance _a01a47a477550000_p_Instance _e01b47a477550000_p_Instance _b01d47a477550000_p_Instance _f01e47a477550000_p_Instance _302047a477550000_p_Instance _702147a477550000_p_Instance _b02247a477550000_p_Instance _602347a477550000_p_Instance _002447a477550000_p_Instance _602547a477550000_p_Instance _e02547a477550000_p_Instance _a02747a477550000_p_Instance _e02847a477550000_p_Instance _202a47a477550000_p_Instance _f02b47a477550000_p_Instance _302d47a477550000_p_Instance _e02d47a477550000_p_Instance _402f47a477550000_p_Instance _803047a477550000_p_Instance _c03147a477550000_p_Instance _403247a477550000_p_Instance _e03247a477550000_p_Instance _403447a477550000_p_Instance _803547a477550000_p_Instance _c03647a477550000_p_Instance _603847a477550000_p_Instance _a03947a477550000_p_Instance _503a47a477550000_p_Instance _b03b47a477550000_p_Instance _f03c47a477550000_p_Instance _703d47a477550000_p_Instance _103e47a477550000_p_Instance _d03f47a477550000_p_Instance _104147a477550000_p_Instance _e04247a477550000_p_Instance _204447a477550000_p_Instance _604547a477550000_p_Instance _104647a477550000_p_Instance _704747a477550000_p_Instance _f04747a477550000_p_Instance _b04947a477550000_p_Instance _f04a47a477550000_p_Instance _304c47a477550000_p_Instance _704d47a477550000_p_Instance _b04e47a477550000_p_Instance _f04f47a477550000_p_Instance _a05047a477550000_p_Instance _405147a477550000_p_Instance _a05247a477550000_p_Instance _e05347a477550000_p_Instance _605447a477550000_p_Instance _205647a477550000_p_Instance _d05647a477550000_p_Instance _705747a477550000_p_Instance _305947a477550000_p_Instance _705a47a477550000_p_Instance _b05b47a477550000_p_Instance _f05c47a477550000_p_Instance _a05d47a477550000_p_Instance _005f47a477550000_p_Instance _805f47a477550000_p_Instance _e06047a477550000_p_Instance _806247a477550000_p_Instance _c06347a477550000_p_Instance _906547a477550000_p_Instance _d06647a477550000_p_Instance _106847a477550000_p_Instance _506947a477550000_p_Instance _906a47a477550000_p_Instance _406b47a477550000_p_Instance _a06c47a477550000_p_Instance _406e47a477550000_p_Instance _806f47a477550000_p_Instance _507147a477550000_p_Instance _907247a477550000_p_Instance _407347a477550000_p_Instance _a07447a477550000_p_Instance _407647a477550000_p_Instance _807747a477550000_p_Instance _507947a477550000_p_Instance _907a47a477550000_p_Instance _d07b47a477550000_p_Instance _107d47a477550000_p_Instance _507e47a477550000_p_Instance _208047a477550000_p_Instance _608147a477550000_p_Instance _308347a477550000_p_Instance _708447a477550000_p_Instance _b08547a477550000_p_Instance _f08647a477550000_p_Instance _a08747a477550000_p_Instance _008947a477550000_p_Instance _408a47a477550000_p_Instance _808b47a477550000_p_Instance _c08c47a477550000_p_Instance _008e47a477550000_p_Instance _a08f47a477550000_p_Instance _e09047a477550000_p_Instance _b09247a477550000_p_Instance _f09347a477550000_p_Instance _c09547a477550000_p_Instance _009747a477550000_p_Instance _d09847a477550000_p_Instance _109a47a477550000_p_Instance _509b47a477550000_p_Instance _209d47a477550000_p_Instance _609e47a477550000_p_Instance _109f47a477550000_p_Instance _70a047a477550000_p_Instance _10a247a477550000_p_Instance _50a347a477550000_p_Instance _20a547a477550000_p_Instance _60a647a477550000_p_Instance _a0a747a477550000_p_Instance _e0a847a477550000_p_Instance _20aa47a477550000_p_Instance _d0aa47a477550000_p_Instance _70ab47a477550000_p_Instance _d0ac47a477550000_p_Instance _50ad47a477550000_p_Instance _10af47a477550000_p_Instance _50b047a477550000_p_Instance _90b147a477550000_p_Instance _60b347a477550000_p_Instance _a0b447a477550000_p_Instance _50b547a477550000_p_Instance _b0b647a477550000_p_Instance _f0b747a477550000_p_Instance _30b947a477550000_p_Instance _b0b947a477550000_p_Instance _50ba47a477550000_p_Instance _b0bb47a477550000_p_Instance _f0bc47a477550000_p_Instance _30be47a477550000_p_Instance _d0bf47a477550000_p_Instance _10c147a477550000_p_Instance _c0c147a477550000_p_Instance _20c347a477550000_p_Instance _60c447a477550000_p_Instance _e0c447a477550000_p_Instance _80c547a477550000_p_Instance _40c747a477550000_p_Instance _80c847a477550000_p_Instance _50ca47a477550000_p_Instance _90cb47a477550000_p_Instance _d0cc47a477550000_p_Instance _80cd47a477550000_p_Instance _e0ce47a477550000_p_Instance _60cf47a477550000_p_Instance _20d147a477550000_p_Instance _60d247a477550000_p_Instance _a0d347a477550000_p_Instance _e0d447a477550000_p_Instance _20d647a477550000_p_Instance _60d747a477550000_p_Instance _10d847a477550000_p_Instance _b0d847a477550000_p_Instance _10da47a477550000_p_Instance _50db47a477550000_p_Instance _d0db47a477550000_p_Instance _90dd47a477550000_p_Instance _40de47a477550000_p_Instance _e0de47a477550000_p_Instance _a0e047a477550000_p_Instance _e0e147a477550000_p_Instance _20e347a477550000_p_Instance _60e447a477550000_p_Instance _10e547a477550000_p_Instance _70e647a477550000_p_Instance _f0e647a477550000_p_Instance _50e847a477550000_p_Instance _f0e947a477550000_p_Instance _30eb47a477550000_p_Instance _00ed47a477550000_p_Instance _40ee47a477550000_p_Instance _80ef47a477550000_p_Instance _c0f047a477550000_p_Instance _00f247a477550000_p_Instance _b0f247a477550000_p_Instance _10f447a477550000_p_Instance _b0f547a477550000_p_Instance _f0f647a477550000_p_Instance _c0f847a477550000_p_Instance _00fa47a477550000_p_Instance _b0fa47a477550000_p_Instance _10fc47a477550000_p_Instance _b0fd47a477550000_p_Instance _f0fe47a477550000_p_Instance _c00048a477550000_p_Instance _000248a477550000_p_Instance _400348a477550000_p_Instance _800448a477550000_p_Instance _c00548a477550000_p_Instance _900748a477550000_p_Instance _d00848a477550000_p_Instance _a00a48a477550000_p_Instance _e00b48a477550000_p_Instance _200d48a477550000_p_Instance _600e48a477550000_p_Instance _100f48a477550000_p_Instance _701048a477550000_p_Instance _b01148a477550000_p_Instance _f01248a477550000_p_Instance _301448a477550000_p_Instance _701548a477550000_p_Instance _101748a477550000_p_Instance _501848a477550000_p_Instance _201a48a477550000_p_Instance _601b48a477550000_p_Instance _301d48a477550000_p_Instance _701e48a477550000_p_Instance _402048a477550000_p_Instance _802148a477550000_p_Instance _c02248a477550000_p_Instance _902448a477550000_p_Instance _d02548a477550000_p_Instance _802648a477550000_p_Instance _e02748a477550000_p_Instance _802948a477550000_p_Instance _c02a48a477550000_p_Instance _902c48a477550000_p_Instance _d02d48a477550000_p_Instance _102f48a477550000_p_Instance _503048a477550000_p_Instance _903148a477550000_p_Instance _403248a477550000_p_Instance _e03248a477550000_p_Instance _403448a477550000_p_Instance _c03448a477550000_p_Instance _803648a477550000_p_Instance _c03748a477550000_p_Instance _003948a477550000_p_Instance _d03a48a477550000_p_Instance _103c48a477550000_p_Instance _c03c48a477550000_p_Instance _203e48a477550000_p_Instance _603f48a477550000_p_Instance _a04048a477550000_p_Instance _204148a477550000_p_Instance _c04148a477550000_p_Instance _204348a477550000_p_Instance _604448a477550000_p_Instance _a04548a477550000_p_Instance _404748a477550000_p_Instance _804848a477550000_p_Instance _304948a477550000_p_Instance _904a48a477550000_p_Instance _d04b48a477550000_p_Instance _504c48a477550000_p_Instance _f04c48a477550000_p_Instance _b04e48a477550000_p_Instance _f04f48a477550000_p_Instance _c05148a477550000_p_Instance _005348a477550000_p_Instance _405448a477550000_p_Instance _f05448a477550000_p_Instance _505648a477550000_p_Instance _d05648a477550000_p_Instance _905848a477550000_p_Instance _d05948a477550000_p_Instance _105b48a477550000_p_Instance _505c48a477550000_p_Instance _905d48a477550000_p_Instance _d05e48a477550000_p_Instance _805f48a477550000_p_Instance _206048a477550000_p_Instance _806148a477550000_p_Instance _c06248a477550000_p_Instance _406348a477550000_p_Instance _006548a477550000_p_Instance _b06548a477550000_p_Instance _506648a477550000_p_Instance _106848a477550000_p_Instance _506948a477550000_p_Instance _906a48a477550000_p_Instance _d06b48a477550000_p_Instance _806c48a477550000_p_Instance _e06d48a477550000_p_Instance _606e48a477550000_p_Instance _c06f48a477550000_p_Instance _607148a477550000_p_Instance _a07248a477550000_p_Instance _707448a477550000_p_Instance _b07548a477550000_p_Instance _f07648a477550000_p_Instance _307848a477550000_p_Instance _707948a477550000_p_Instance _207a48a477550000_p_Instance _807b48a477550000_p_Instance _207d48a477550000_p_Instance _607e48a477550000_p_Instance _308048a477550000_p_Instance _708148a477550000_p_Instance _208248a477550000_p_Instance _808348a477550000_p_Instance _208548a477550000_p_Instance _608648a477550000_p_Instance _308848a477550000_p_Instance _708948a477550000_p_Instance _b08a48a477550000_p_Instance _f08b48a477550000_p_Instance _308d48a477550000_p_Instance _008f48a477550000_p_Instance _409048a477550000_p_Instance _109248a477550000_p_Instance _509348a477550000_p_Instance _909448a477550000_p_Instance _d09548a477550000_p_Instance _809648a477550000_p_Instance _e09748a477550000_p_Instance _209948a477550000_p_Instance _609a48a477550000_p_Instance _a09b48a477550000_p_Instance _e09c48a477550000_p_Instance _809e48a477550000_p_Instance _c09f48a477550000_p_Instance _90a148a477550000_p_Instance _d0a248a477550000_p_Instance _a0a448a477550000_p_Instance _e0a548a477550000_p_Instance _b0a748a477550000_p_Instance _f0a848a477550000_p_Instance _30aa48a477550000_p_Instance _00ac48a477550000_p_Instance _40ad48a477550000_p_Instance _f0ad48a477550000_p_Instance _50af48a477550000_p_Instance _f0b048a477550000_p_Instance _30b248a477550000_p_Instance _00b448a477550000_p_Instance _40b548a477550000_p_Instance _80b648a477550000_p_Instance _c0b748a477550000_p_Instance _00b948a477550000_p_Instance _b0b948a477550000_p_Instance _50ba48a477550000_p_Instance _b0bb48a477550000_p_Instance _30bc48a477550000_p_Instance _f0bd48a477550000_p_Instance _30bf48a477550000_p_Instance _70c048a477550000_p_Instance _40c248a477550000_p_Instance _80c348a477550000_p_Instance _30c448a477550000_p_Instance _90c548a477550000_p_Instance _d0c648a477550000_p_Instance _10c848a477550000_p_Instance _90c848a477550000_p_Instance _30c948a477550000_p_Instance _90ca48a477550000_p_Instance _d0cb48a477550000_p_Instance _10cd48a477550000_p_Instance _b0ce48a477550000_p_Instance _f0cf48a477550000_p_Instance _a0d048a477550000_p_Instance _00d248a477550000_p_Instance _40d348a477550000_p_Instance _c0d348a477550000_p_Instance _60d448a477550000_p_Instance _20d648a477550000_p_Instance _60d748a477550000_p_Instance _30d948a477550000_p_Instance _70da48a477550000_p_Instance _b0db48a477550000_p_Instance _60dc48a477550000_p_Instance _c0dd48a477550000_p_Instance _40de48a477550000_p_Instance _00e048a477550000_p_Instance _40e148a477550000_p_Instance _80e248a477550000_p_Instance _c0e348a477550000_p_Instance _00e548a477550000_p_Instance _40e648a477550000_p_Instance _f0e648a477550000_p_Instance _90e748a477550000_p_Instance _f0e848a477550000_p_Instance _30ea48a477550000_p_Instance _b0ea48a477550000_p_Instance _70ec48a477550000_p_Instance _20ed48a477550000_p_Instance _c0ed48a477550000_p_Instance _80ef48a477550000_p_Instance _c0f048a477550000_p_Instance _00f248a477550000_p_Instance _40f348a477550000_p_Instance _f0f348a477550000_p_Instance _50f548a477550000_p_Instance _d0f548a477550000_p_Instance _30f748a477550000_p_Instance _d0f848a477550000_p_Instance _10fa48a477550000_p_Instance _e0fb48a477550000_p_Instance _20fd48a477550000_p_Instance _60fe48a477550000_p_Instance _a0ff48a477550000_p_Instance _e00049a477550000_p_Instance _900149a477550000_p_Instance _f00249a477550000_p_Instance _900449a477550000_p_Instance _d00549a477550000_p_Instance _a00749a477550000_p_Instance _e00849a477550000_p_Instance _900949a477550000_p_Instance _f00a49a477550000_p_Instance _900c49a477550000_p_Instance _d00d49a477550000_p_Instance _a00f49a477550000_p_Instance _e01049a477550000_p_Instance _201249a477550000_p_Instance _601349a477550000_p_Instance _a01449a477550000_p_Instance _701649a477550000_p_Instance _b01749a477550000_p_Instance _801949a477550000_p_Instance _c01a49a477550000_p_Instance _001c49a477550000_p_Instance _401d49a477550000_p_Instance _f01d49a477550000_p_Instance _501f49a477550000_p_Instance _902049a477550000_p_Instance _d02149a477550000_p_Instance _102349a477550000_p_Instance _502449a477550000_p_Instance _f02549a477550000_p_Instance _302749a477550000_p_Instance _002949a477550000_p_Instance _402a49a477550000_p_Instance _102c49a477550000_p_Instance _502d49a477550000_p_Instance _202f49a477550000_p_Instance _603049a477550000_p_Instance _a03149a477550000_p_Instance _703349a477550000_p_Instance _b03449a477550000_p_Instance _603549a477550000_p_Instance _c03649a477550000_p_Instance _603849a477550000_p_Instance _a03949a477550000_p_Instance _703b49a477550000_p_Instance _b03c49a477550000_p_Instance _f03d49a477550000_p_Instance _303f49a477550000_p_Instance _704049a477550000_p_Instance _204149a477550000_p_Instance _c04149a477550000_p_Instance _204349a477550000_p_Instance _a04349a477550000_p_Instance _604549a477550000_p_Instance _a04649a477550000_p_Instance _e04749a477550000_p_Instance _b04949a477550000_p_Instance _f04a49a477550000_p_Instance _a04b49a477550000_p_Instance _404c49a477550000_p_Instance _a04d49a477550000_p_Instance _404f49a477550000_p_Instance _805049a477550000_p_Instance _505249a477550000_p_Instance _905349a477550000_p_Instance _d05449a477550000_p_Instance _105649a477550000_p_Instance _505749a477550000_p_Instance _905849a477550000_p_Instance _405949a477550000_p_Instance _005b49a477550000_p_Instance _405c49a477550000_p_Instance _105e49a477550000_p_Instance _505f49a477550000_p_Instance _006049a477550000_p_Instance _606149a477550000_p_Instance _006349a477550000_p_Instance _406449a477550000_p_Instance _106649a477550000_p_Instance _506749a477550000_p_Instance _906849a477550000_p_Instance _d06949a477550000_p_Instance _106b49a477550000_p_Instance _e06c49a477550000_p_Instance _206e49a477550000_p_Instance _f06f49a477550000_p_Instance _307149a477550000_p_Instance _707249a477550000_p_Instance _b07349a477550000_p_Instance _607449a477550000_p_Instance _c07549a477550000_p_Instance _007749a477550000_p_Instance _407849a477550000_p_Instance _807949a477550000_p_Instance _c07a49a477550000_p_Instance _607c49a477550000_p_Instance _a07d49a477550000_p_Instance _707f49a477550000_p_Instance _b08049a477550000_p_Instance _808249a477550000_p_Instance _c08349a477550000_p_Instance _008549a477550000_p_Instance _408649a477550000_p_Instance _808749a477550000_p_Instance _508949a477550000_p_Instance _908a49a477550000_p_Instance _408b49a477550000_p_Instance _a08c49a477550000_p_Instance _408e49a477550000_p_Instance _808f49a477550000_p_Instance _509149a477550000_p_Instance _909249a477550000_p_Instance _d09349a477550000_p_Instance _109549a477550000_p_Instance _509649a477550000_p_Instance _009749a477550000_p_Instance _a09749a477550000_p_Instance _009949a477550000_p_Instance _a09a49a477550000_p_Instance _e09b49a477550000_p_Instance _209d49a477550000_p_Instance _d09d49a477550000_p_Instance _309f49a477550000_p_Instance _70a049a477550000_p_Instance _b0a149a477550000_p_Instance _30a249a477550000_p_Instance _d0a249a477550000_p_Instance _30a449a477550000_p_Instance _70a549a477550000_p_Instance _b0a649a477550000_p_Instance _50a849a477550000_p_Instance _90a949a477550000_p_Instance _40aa49a477550000_p_Instance _a0ab49a477550000_p_Instance _e0ac49a477550000_p_Instance _60ad49a477550000_p_Instance _00ae49a477550000_p_Instance _c0af49a477550000_p_Instance _00b149a477550000_p_Instance _d0b249a477550000_p_Instance _10b449a477550000_p_Instance _50b549a477550000_p_Instance _00b649a477550000_p_Instance _60b749a477550000_p_Instance _e0b749a477550000_p_Instance _a0b949a477550000_p_Instance _e0ba49a477550000_p_Instance _20bc49a477550000_p_Instance _60bd49a477550000_p_Instance _a0be49a477550000_p_Instance _e0bf49a477550000_p_Instance _90c049a477550000_p_Instance _30c149a477550000_p_Instance _90c249a477550000_p_Instance _d0c349a477550000_p_Instance _50c449a477550000_p_Instance _10c649a477550000_p_Instance _c0c649a477550000_p_Instance _60c749a477550000_p_Instance _20c949a477550000_p_Instance _60ca49a477550000_p_Instance _a0cb49a477550000_p_Instance _e0cc49a477550000_p_Instance _90cd49a477550000_p_Instance _f0ce49a477550000_p_Instance _70cf49a477550000_p_Instance _d0d049a477550000_p_Instance _70d249a477550000_p_Instance _b0d349a477550000_p_Instance _80d549a477550000_p_Instance _c0d649a477550000_p_Instance _00d849a477550000_p_Instance _40d949a477550000_p_Instance _80da49a477550000_p_Instance _30db49a477550000_p_Instance _90dc49a477550000_p_Instance _30de49a477550000_p_Instance _70df49a477550000_p_Instance _40e149a477550000_p_Instance _80e249a477550000_p_Instance _30e349a477550000_p_Instance _90e449a477550000_p_Instance _30e649a477550000_p_Instance _70e749a477550000_p_Instance _40e949a477550000_p_Instance _80ea49a477550000_p_Instance _c0eb49a477550000_p_Instance _00ed49a477550000_p_Instance _40ee49a477550000_p_Instance _10f049a477550000_p_Instance _50f149a477550000_p_Instance _20f349a477550000_p_Instance _60f449a477550000_p_Instance _a0f549a477550000_p_Instance _e0f649a477550000_p_Instance _90f749a477550000_p_Instance _f0f849a477550000_p_Instance _30fa49a477550000_p_Instance _70fb49a477550000_p_Instance _b0fc49a477550000_p_Instance _f0fd49a477550000_p_Instance _90ff49a477550000_p_Instance _d0004aa477550000_p_Instance _a0024aa477550000_p_Instance _e0034aa477550000_p_Instance _b0054aa477550000_p_Instance _f0064aa477550000_p_Instance _c0084aa477550000_p_Instance _000a4aa477550000_p_Instance _400b4aa477550000_p_Instance _100d4aa477550000_p_Instance _500e4aa477550000_p_Instance _000f4aa477550000_p_Instance _60104aa477550000_p_Instance _00124aa477550000_p_Instance _40134aa477550000_p_Instance _10154aa477550000_p_Instance _50164aa477550000_p_Instance _90174aa477550000_p_Instance _d0184aa477550000_p_Instance _101a4aa477550000_p_Instance _c01a4aa477550000_p_Instance _601b4aa477550000_p_Instance _c01c4aa477550000_p_Instance _401d4aa477550000_p_Instance _001f4aa477550000_p_Instance _40204aa477550000_p_Instance _80214aa477550000_p_Instance _50234aa477550000_p_Instance _90244aa477550000_p_Instance _40254aa477550000_p_Instance _a0264aa477550000_p_Instance _e0274aa477550000_p_Instance _60284aa477550000_p_Instance _00294aa477550000_p_Instance _602a4aa477550000_p_Instance _a02b4aa477550000_p_Instance _e02c4aa477550000_p_Instance _802e4aa477550000_p_Instance _c02f4aa477550000_p_Instance _00314aa477550000_p_Instance _b0314aa477550000_p_Instance _10334aa477550000_p_Instance _90334aa477550000_p_Instance _30344aa477550000_p_Instance _f0354aa477550000_p_Instance _30374aa477550000_p_Instance _00394aa477550000_p_Instance _403a4aa477550000_p_Instance _803b4aa477550000_p_Instance _303c4aa477550000_p_Instance _d03c4aa477550000_p_Instance _303e4aa477550000_p_Instance _d03f4aa477550000_p_Instance _10414aa477550000_p_Instance _50424aa477550000_p_Instance _90434aa477550000_p_Instance _d0444aa477550000_p_Instance _10464aa477550000_p_Instance _c0464aa477550000_p_Instance _60474aa477550000_p_Instance _c0484aa477550000_p_Instance _40494aa477550000_p_Instance _e0494aa477550000_p_Instance _a04b4aa477550000_p_Instance _504c4aa477550000_p_Instance _f04c4aa477550000_p_Instance _504e4aa477550000_p_Instance _904f4aa477550000_p_Instance _d0504aa477550000_p_Instance _10524aa477550000_p_Instance _90524aa477550000_p_Instance _f0534aa477550000_p_Instance _30554aa477550000_p_Instance _70564aa477550000_p_Instance _f0564aa477550000_p_Instance _90574aa477550000_p_Instance _f0584aa477550000_p_Instance _305a4aa477550000_p_Instance _705b4aa477550000_p_Instance _105d4aa477550000_p_Instance _505e4aa477550000_p_Instance _005f4aa477550000_p_Instance _60604aa477550000_p_Instance _a0614aa477550000_p_Instance _20624aa477550000_p_Instance _c0624aa477550000_p_Instance _80644aa477550000_p_Instance _c0654aa477550000_p_Instance _90674aa477550000_p_Instance _d0684aa477550000_p_Instance _106a4aa477550000_p_Instance _c06a4aa477550000_p_Instance _206c4aa477550000_p_Instance _a06c4aa477550000_p_Instance _606e4aa477550000_p_Instance _a06f4aa477550000_p_Instance _e0704aa477550000_p_Instance _20724aa477550000_p_Instance _60734aa477550000_p_Instance _a0744aa477550000_p_Instance _50754aa477550000_p_Instance _10774aa477550000_p_Instance _c0774aa477550000_p_Instance _60784aa477550000_p_Instance _c0794aa477550000_p_Instance _007b4aa477550000_p_Instance _407c4aa477550000_p_Instance _e07d4aa477550000_p_Instance _207f4aa477550000_p_Instance _d07f4aa477550000_p_Instance _70804aa477550000_p_Instance _d0814aa477550000_p_Instance _70834aa477550000_p_Instance _b0844aa477550000_p_Instance _80864aa477550000_p_Instance _c0874aa477550000_p_Instance _00894aa477550000_p_Instance _408a4aa477550000_p_Instance _808b4aa477550000_p_Instance _c08c4aa477550000_p_Instance _708d4aa477550000_p_Instance _308f4aa477550000_p_Instance _70904aa477550000_p_Instance _40924aa477550000_p_Instance _80934aa477550000_p_Instance _30944aa477550000_p_Instance _90954aa477550000_p_Instance _30974aa477550000_p_Instance _70984aa477550000_p_Instance _409a4aa477550000_p_Instance _809b4aa477550000_p_Instance _c09c4aa477550000_p_Instance _009e4aa477550000_p_Instance _409f4aa477550000_p_Instance _10a14aa477550000_p_Instance _50a24aa477550000_p_Instance _20a44aa477550000_p_Instance _60a54aa477550000_p_Instance _a0a64aa477550000_p_Instance _e0a74aa477550000_p_Instance _90a84aa477550000_p_Instance _f0a94aa477550000_p_Instance _30ab4aa477550000_p_Instance _70ac4aa477550000_p_Instance _b0ad4aa477550000_p_Instance _f0ae4aa477550000_p_Instance _90b04aa477550000_p_Instance _d0b14aa477550000_p_Instance _a0b34aa477550000_p_Instance _e0b44aa477550000_p_Instance _b0b64aa477550000_p_Instance _f0b74aa477550000_p_Instance _30b94aa477550000_p_Instance _70ba4aa477550000_p_Instance _b0bb4aa477550000_p_Instance _80bd4aa477550000_p_Instance _c0be4aa477550000_p_Instance _70bf4aa477550000_p_Instance _d0c04aa477550000_p_Instance _70c24aa477550000_p_Instance _b0c34aa477550000_p_Instance _80c54aa477550000_p_Instance _c0c64aa477550000_p_Instance _00c84aa477550000_p_Instance _40c94aa477550000_p_Instance _80ca4aa477550000_p_Instance _30cb4aa477550000_p_Instance _f0cc4aa477550000_p_Instance _30ce4aa477550000_p_Instance _00d04aa477550000_p_Instance _40d14aa477550000_p_Instance _80d24aa477550000_p_Instance _30d34aa477550000_p_Instance _90d44aa477550000_p_Instance _d0d54aa477550000_p_Instance _50d64aa477550000_p_Instance _f0d64aa477550000_p_Instance _50d84aa477550000_p_Instance _90d94aa477550000_p_Instance _d0da4aa477550000_p_Instance _70dc4aa477550000_p_Instance _b0dd4aa477550000_p_Instance _f0de4aa477550000_p_Instance _30e04aa477550000_p_Instance _70e14aa477550000_p_Instance _20e24aa477550000_p_Instance _c0e24aa477550000_p_Instance _80e44aa477550000_p_Instance _c0e54aa477550000_p_Instance _90e74aa477550000_p_Instance _d0e84aa477550000_p_Instance _10ea4aa477550000_p_Instance _c0ea4aa477550000_p_Instance _60eb4aa477550000_p_Instance _c0ec4aa477550000_p_Instance _60ee4aa477550000_p_Instance _a0ef4aa477550000_p_Instance _e0f04aa477550000_p_Instance _20f24aa477550000_p_Instance _60f34aa477550000_p_Instance _a0f44aa477550000_p_Instance _50f54aa477550000_p_Instance _f0f54aa477550000_p_Instance _90f64aa477550000_p_Instance _50f84aa477550000_p_Instance _90f94aa477550000_p_Instance _d0fa4aa477550000_p_Instance _10fc4aa477550000_p_Instance _50fd4aa477550000_p_Instance _00fe4aa477550000_p_Instance _a0fe4aa477550000_p_Instance _00004ba477550000_p_Instance _a0014ba477550000_p_Instance _e0024ba477550000_p_Instance _b0044ba477550000_p_Instance _f0054ba477550000_p_Instance _30074ba477550000_p_Instance _70084ba477550000_p_Instance _b0094ba477550000_p_Instance _f00a4ba477550000_p_Instance _c00c4ba477550000_p_Instance _900e4ba477550000_p_Instance _d00f4ba477550000_p_Instance _a0114ba477550000_p_Instance _e0124ba477550000_p_Instance _90134ba477550000_p_Instance _f0144ba477550000_p_Instance _90164ba477550000_p_Instance _d0174ba477550000_p_Instance _a0194ba477550000_p_Instance _e01a4ba477550000_p_Instance _201c4ba477550000_p_Instance _601d4ba477550000_p_Instance _a01e4ba477550000_p_Instance _70204ba477550000_p_Instance _b0214ba477550000_p_Instance _80234ba477550000_p_Instance _c0244ba477550000_p_Instance _00264ba477550000_p_Instance _40274ba477550000_p_Instance _f0274ba477550000_p_Instance _50294ba477550000_p_Instance _902a4ba477550000_p_Instance _d02b4ba477550000_p_Instance _102d4ba477550000_p_Instance _502e4ba477550000_p_Instance _f02f4ba477550000_p_Instance _30314ba477550000_p_Instance _00334ba477550000_p_Instance _40344ba477550000_p_Instance _10364ba477550000_p_Instance _50374ba477550000_p_Instance _90384ba477550000_p_Instance _d0394ba477550000_p_Instance _103b4ba477550000_p_Instance _e03c4ba477550000_p_Instance _203e4ba477550000_p_Instance _d03e4ba477550000_p_Instance _30404ba477550000_p_Instance _d0414ba477550000_p_Instance _10434ba477550000_p_Instance _e0444ba477550000_p_Instance _20464ba477550000_p_Instance _60474ba477550000_p_Instance _a0484ba477550000_p_Instance _e0494ba477550000_p_Instance _904a4ba477550000_p_Instance _304b4ba477550000_p_Instance _904c4ba477550000_p_Instance _304e4ba477550000_p_Instance _704f4ba477550000_p_Instance _b0524ba477550000_p_Instance _f0554ba477550000_p_Instance _30594ba477550000_p_Instance _705c4ba477550000_p_Instance _305e4ba477550000_p_Instance _c05f4ba477550000_p_Instance _50614ba477550000_p_Instance _e0624ba477550000_p_Instance _70644ba477550000_p_Instance _00664ba477550000_p_Instance _90674ba477550000_p_Instance _20694ba477550000_p_Instance _b06a4ba477550000_p_Instance _406c4ba477550000_p_Instance _506f4ba477550000_p_Instance _90724ba477550000_p_Instance _50744ba477550000_p_Instance _e0754ba477550000_p_Instance _70774ba477550000_p_Instance _00794ba477550000_p_Instance _907a4ba477550000_p_Instance _207c4ba477550000_p_Instance _b07d4ba477550000_p_Instance _407f4ba477550000_p_Instance _d0804ba477550000_p_Instance _60824ba477550000_p_Instance _f0834ba477550000_p_Instance _80854ba477550000_p_Instance _10874ba477550000_p_Instance _a0884ba477550000_p_Instance _308a4ba477550000_p_Instance _c08b4ba477550000_p_Instance _d08e4ba477550000_p_Instance _10924ba477550000_p_Instance _d0934ba477550000_p_Instance _60954ba477550000_p_Instance _f0964ba477550000_p_Instance _80984ba477550000_p_Instance _109a4ba477550000_p_Instance _a09b4ba477550000_p_Instance _309d4ba477550000_p_Instance _c09e4ba477550000_p_Instance _50a04ba477550000_p_Instance _e0a14ba477550000_p_Instance _70a34ba477550000_p_Instance _00a54ba477550000_p_Instance _90a64ba477550000_p_Instance _20a84ba477550000_p_Instance _b0a94ba477550000_p_Instance _40ab4ba477550000_p_Instance _d0ac4ba477550000_p_Instance _60ae4ba477550000_p_Instance _f0af4ba477550000_p_Instance _80b14ba477550000_p_Instance _10b34ba477550000_p_Instance _a0b44ba477550000_p_Instance _30b64ba477550000_p_Instance _c0b74ba477550000_p_Instance _50b94ba477550000_p_Instance _e0ba4ba477550000_p_Instance _70bc4ba477550000_p_Instance _00be4ba477550000_p_Instance _90bf4ba477550000_p_Instance _20c14ba477550000_p_Instance _60c24ba477550000_p_Instance _a0c34ba477550000_p_Instance _e0c44ba477550000_p_Instance _20c64ba477550000_p_Instance _60c74ba477550000_p_Instance _a0c84ba477550000_p_Instance _e0c94ba477550000_p_Instance _20cb4ba477550000_p_Instance _60cc4ba477550000_p_Instance _a0cd4ba477550000_p_Instance _e0ce4ba477550000_p_Instance _20d04ba477550000_p_Instance _60d14ba477550000_p_Instance _a0d24ba477550000_p_Instance _e0d34ba477550000_p_Instance _20d54ba477550000_p_Instance _60d64ba477550000_p_Instance _a0d74ba477550000_p_Instance _e0d84ba477550000_p_Instance _20da4ba477550000_p_Instance _60db4ba477550000_p_Instance _a0dc4ba477550000_p_Instance _e0dd4ba477550000_p_Instance _20df4ba477550000_p_Instance _60e04ba477550000_p_Instance _a0e14ba477550000_p_Instance _e0e24ba477550000_p_Instance _20e44ba477550000_p_Instance _60e54ba477550000_p_Instance _a0e64ba477550000_p_Instance _e0e74ba477550000_p_Instance _20e94ba477550000_p_Instance _60ea4ba477550000_p_Instance _a0eb4ba477550000_p_Instance _e0ec4ba477550000_p_Instance _20ee4ba477550000_p_Instance _60ef4ba477550000_p_Instance _a0f04ba477550000_p_Instance _e0f14ba477550000_p_Instance _20f34ba477550000_p_Instance _60f44ba477550000_p_Instance _a0f54ba477550000_p_Instance _e0f64ba477550000_p_Instance _20f84ba477550000_p_Instance _60f94ba477550000_p_Instance _a0fa4ba477550000_p_Instance _e0fb4ba477550000_p_Instance _20fd4ba477550000_p_Instance _60fe4ba477550000_p_Instance _a0ff4ba477550000_p_Instance _e0004ca477550000_p_Instance _20024ca477550000_p_Instance _60034ca477550000_p_Instance _a0044ca477550000_p_Instance _e0054ca477550000_p_Instance _20074ca477550000_p_Instance _60084ca477550000_p_Instance _a0094ca477550000_p_Instance _e00a4ca477550000_p_Instance _200c4ca477550000_p_Instance _600d4ca477550000_p_Instance _a00e4ca477550000_p_Instance _e00f4ca477550000_p_Instance _20114ca477550000_p_Instance _60124ca477550000_p_Instance _a0134ca477550000_p_Instance _e0144ca477550000_p_Instance _20164ca477550000_p_Instance _60174ca477550000_p_Instance _a0184ca477550000_p_Instance _e0194ca477550000_p_Instance _201b4ca477550000_p_Instance _601c4ca477550000_p_Instance _a01d4ca477550000_p_Instance _e01e4ca477550000_p_Instance _20204ca477550000_p_Instance _60214ca477550000_p_Instance _a0224ca477550000_p_Instance _e0234ca477550000_p_Instance _20254ca477550000_p_Instance _60264ca477550000_p_Instance _a0274ca477550000_p_Instance _e0284ca477550000_p_Instance _202a4ca477550000_p_Instance _602b4ca477550000_p_Instance _a02c4ca477550000_p_Instance _e02d4ca477550000_p_Instance _202f4ca477550000_p_Instance _60304ca477550000_p_Instance _a0314ca477550000_p_Instance _e0324ca477550000_p_Instance _20344ca477550000_p_Instance _60354ca477550000_p_Instance _a0364ca477550000_p_Instance _e0374ca477550000_p_Instance _20394ca477550000_p_Instance _603a4ca477550000_p_Instance _a03b4ca477550000_p_Instance _e03c4ca477550000_p_Instance _203e4ca477550000_p_Instance _603f4ca477550000_p_Instance _a0404ca477550000_p_Instance _e0414ca477550000_p_Instance _20434ca477550000_p_Instance _60444ca477550000_p_Instance _a0454ca477550000_p_Instance _e0464ca477550000_p_Instance _20484ca477550000_p_Instance _60494ca477550000_p_Instance _a04a4ca477550000_p_Instance _e04b4ca477550000_p_Instance _204d4ca477550000_p_Instance _604e4ca477550000_p_Instance _a04f4ca477550000_p_Instance _e0504ca477550000_p_Instance _20524ca477550000_p_Instance _60534ca477550000_p_Instance _a0544ca477550000_p_Instance _e0554ca477550000_p_Instance _20574ca477550000_p_Instance _60584ca477550000_p_Instance _a0594ca477550000_p_Instance _e05a4ca477550000_p_Instance _205c4ca477550000_p_Instance _605d4ca477550000_p_Instance _a05e4ca477550000_p_Instance _e05f4ca477550000_p_Instance _20614ca477550000_p_Instance _60624ca477550000_p_Instance _a0634ca477550000_p_Instance _e0644ca477550000_p_Instance _20664ca477550000_p_Instance _60674ca477550000_p_Instance _a0684ca477550000_p_Instance _e0694ca477550000_p_Instance _206b4ca477550000_p_Instance _606c4ca477550000_p_Instance _a06d4ca477550000_p_Instance _e06e4ca477550000_p_Instance _20704ca477550000_p_Instance _60714ca477550000_p_Instance _a0724ca477550000_p_Instance _e0734ca477550000_p_Instance _20754ca477550000_p_Instance _60764ca477550000_p_Instance _a0774ca477550000_p_Instance _e0784ca477550000_p_Instance _207a4ca477550000_p_Instance _607b4ca477550000_p_Instance _a07c4ca477550000_p_Instance _e07d4ca477550000_p_Instance _207f4ca477550000_p_Instance _60804ca477550000_p_Instance _a0814ca477550000_p_Instance _e0824ca477550000_p_Instance _20844ca477550000_p_Instance _60854ca477550000_p_Instance _a0864ca477550000_p_Instance _e0874ca477550000_p_Instance _20894ca477550000_p_Instance _608a4ca477550000_p_Instance _a08b4ca477550000_p_Instance _e08c4ca477550000_p_Instance _208e4ca477550000_p_Instance _608f4ca477550000_p_Instance _a0904ca477550000_p_Instance _e0914ca477550000_p_Instance _20934ca477550000_p_Instance _60944ca477550000_p_Instance _a0954ca477550000_p_Instance _e0964ca477550000_p_Instance _20984ca477550000_p_Instance _60994ca477550000_p_Instance _a09a4ca477550000_p_Instance _e09b4ca477550000_p_Instance _209d4ca477550000_p_Instance _609e4ca477550000_p_Instance _a09f4ca477550000_p_Instance _e0a04ca477550000_p_Instance _20a24ca477550000_p_Instance _60a34ca477550000_p_Instance _a0a44ca477550000_p_Instance _e0a54ca477550000_p_Instance _20a74ca477550000_p_Instance _60a84ca477550000_p_Instance _a0a94ca477550000_p_Instance _e0aa4ca477550000_p_Instance _20ac4ca477550000_p_Instance _60ad4ca477550000_p_Instance _a0ae4ca477550000_p_Instance _e0af4ca477550000_p_Instance _20b14ca477550000_p_Instance _60b24ca477550000_p_Instance _a0b34ca477550000_p_Instance _e0b44ca477550000_p_Instance _20b64ca477550000_p_Instance _60b74ca477550000_p_Instance _a0b84ca477550000_p_Instance _e0b94ca477550000_p_Instance _20bb4ca477550000_p_Instance _60bc4ca477550000_p_Instance _a0bd4ca477550000_p_Instance _e0be4ca477550000_p_Instance _20c04ca477550000_p_Instance _60c14ca477550000_p_Instance _a0c24ca477550000_p_Instance _e0c34ca477550000_p_Instance _20c54ca477550000_p_Instance _60c64ca477550000_p_Instance _a0c74ca477550000_p_Instance _e0c84ca477550000_p_Instance _20ca4ca477550000_p_Instance _60cb4ca477550000_p_Instance _a0cc4ca477550000_p_Instance _e0cd4ca477550000_p_Instance _20cf4ca477550000_p_Instance _60d04ca477550000_p_Instance _a0d14ca477550000_p_Instance _e0d24ca477550000_p_Instance _20d44ca477550000_p_Instance _60d54ca477550000_p_Instance _a0d64ca477550000_p_Instance _e0d74ca477550000_p_Instance _20d94ca477550000_p_Instance _60da4ca477550000_p_Instance _a0db4ca477550000_p_Instance _e0dc4ca477550000_p_Instance _20de4ca477550000_p_Instance _60df4ca477550000_p_Instance _a0e04ca477550000_p_Instance _e0e14ca477550000_p_Instance _20e34ca477550000_p_Instance _60e44ca477550000_p_Instance _a0e54ca477550000_p_Instance _e0e64ca477550000_p_Instance _20e84ca477550000_p_Instance _60e94ca477550000_p_Instance _a0ea4ca477550000_p_Instance _e0eb4ca477550000_p_Instance _20ed4ca477550000_p_Instance _60ee4ca477550000_p_Instance _a0ef4ca477550000_p_Instance _e0f04ca477550000_p_Instance _20f24ca477550000_p_Instance _60f34ca477550000_p_Instance _a0f44ca477550000_p_Instance _e0f54ca477550000_p_Instance _20f74ca477550000_p_Instance _60f84ca477550000_p_Instance _a0f94ca477550000_p_Instance _e0fa4ca477550000_p_Instance _20fc4ca477550000_p_Instance _60fd4ca477550000_p_Instance _a0fe4ca477550000_p_Instance _e0ff4ca477550000_p_Instance _20014da477550000_p_Instance _60024da477550000_p_Instance _a0034da477550000_p_Instance _e0044da477550000_p_Instance _20064da477550000_p_Instance _60074da477550000_p_Instance _a0084da477550000_p_Instance _e0094da477550000_p_Instance _200b4da477550000_p_Instance _600c4da477550000_p_Instance _a00d4da477550000_p_Instance _e00e4da477550000_p_Instance _20104da477550000_p_Instance _60114da477550000_p_Instance _a0124da477550000_p_Instance _e0134da477550000_p_Instance _20154da477550000_p_Instance _60164da477550000_p_Instance _a0174da477550000_p_Instance _e0184da477550000_p_Instance _201a4da477550000_p_Instance _601b4da477550000_p_Instance _a01c4da477550000_p_Instance _e01d4da477550000_p_Instance _201f4da477550000_p_Instance _60204da477550000_p_Instance _a0214da477550000_p_Instance _e0224da477550000_p_Instance _20244da477550000_p_Instance _60254da477550000_p_Instance _a0264da477550000_p_Instance _e0274da477550000_p_Instance _20294da477550000_p_Instance _602a4da477550000_p_Instance _a02b4da477550000_p_Instance _e02c4da477550000_p_Instance _202e4da477550000_p_Instance _602f4da477550000_p_Instance _a0304da477550000_p_Instance _e0314da477550000_p_Instance _20334da477550000_p_Instance _60344da477550000_p_Instance _a0354da477550000_p_Instance _e0364da477550000_p_Instance _20384da477550000_p_Instance _60394da477550000_p_Instance _a03a4da477550000_p_Instance _e03b4da477550000_p_Instance _203d4da477550000_p_Instance _603e4da477550000_p_Instance _a03f4da477550000_p_Instance _e0404da477550000_p_Instance _20424da477550000_p_Instance _60434da477550000_p_Instance _a0444da477550000_p_Instance _e0454da477550000_p_Instance _20474da477550000_p_Instance _60484da477550000_p_Instance _a0494da477550000_p_Instance _e04a4da477550000_p_Instance _204c4da477550000_p_Instance _604d4da477550000_p_Instance _a04e4da477550000_p_Instance _e04f4da477550000_p_Instance _20514da477550000_p_Instance _60524da477550000_p_Instance _a0534da477550000_p_Instance _e0544da477550000_p_Instance _20564da477550000_p_Instance _60574da477550000_p_Instance _a0584da477550000_p_Instance _e0594da477550000_p_Instance _205b4da477550000_p_Instance _605c4da477550000_p_Instance _a05d4da477550000_p_Instance _e05e4da477550000_p_Instance _20604da477550000_p_Instance _60614da477550000_p_Instance _a0624da477550000_p_Instance _e0634da477550000_p_Instance _20654da477550000_p_Instance _60664da477550000_p_Instance _a0674da477550000_p_Instance _e0684da477550000_p_Instance _206a4da477550000_p_Instance _606b4da477550000_p_Instance _a06c4da477550000_p_Instance _e06d4da477550000_p_Instance _206f4da477550000_p_Instance _60704da477550000_p_Instance _a0714da477550000_p_Instance _e0724da477550000_p_Instance _20744da477550000_p_Instance _60754da477550000_p_Instance _a0764da477550000_p_Instance _e0774da477550000_p_Instance _20794da477550000_p_Instance _607a4da477550000_p_Instance _a07b4da477550000_p_Instance _e07c4da477550000_p_Instance _207e4da477550000_p_Instance _607f4da477550000_p_Instance _a0804da477550000_p_Instance _e0814da477550000_p_Instance _20834da477550000_p_Instance _60844da477550000_p_Instance _a0854da477550000_p_Instance _e0864da477550000_p_Instance _20884da477550000_p_Instance _60894da477550000_p_Instance _a08a4da477550000_p_Instance _e08b4da477550000_p_Instance _208d4da477550000_p_Instance _608e4da477550000_p_Instance _a08f4da477550000_p_Instance _e0904da477550000_p_Instance _20924da477550000_p_Instance _60934da477550000_p_Instance _a0944da477550000_p_Instance _e0954da477550000_p_Instance _20974da477550000_p_Instance _60984da477550000_p_Instance _a0994da477550000_p_Instance _e09a4da477550000_p_Instance _209c4da477550000_p_Instance _609d4da477550000_p_Instance _a09e4da477550000_p_Instance _e09f4da477550000_p_Instance _20a14da477550000_p_Instance _60a24da477550000_p_Instance _a0a34da477550000_p_Instance _e0a44da477550000_p_Instance _20a64da477550000_p_Instance _60a74da477550000_p_Instance _a0a84da477550000_p_Instance _e0a94da477550000_p_Instance _20ab4da477550000_p_Instance _60ac4da477550000_p_Instance _a0ad4da477550000_p_Instance _e0ae4da477550000_p_Instance _20b04da477550000_p_Instance _60b14da477550000_p_Instance _a0b24da477550000_p_Instance _e0b34da477550000_p_Instance _20b54da477550000_p_Instance _60b64da477550000_p_Instance _a0b74da477550000_p_Instance _e0b84da477550000_p_Instance _20ba4da477550000_p_Instance _60bb4da477550000_p_Instance _a0bc4da477550000_p_Instance _e0bd4da477550000_p_Instance _20bf4da477550000_p_Instance _60c04da477550000_p_Instance _a0c14da477550000_p_Instance _e0c24da477550000_p_Instance _20c44da477550000_p_Instance _60c54da477550000_p_Instance _a0c64da477550000_p_Instance _e0c74da477550000_p_Instance _20c94da477550000_p_Instance _60ca4da477550000_p_Instance _a0cb4da477550000_p_Instance _e0cc4da477550000_p_Instance _20ce4da477550000_p_Instance _60cf4da477550000_p_Instance _a0d04da477550000_p_Instance _e0d14da477550000_p_Instance _20d34da477550000_p_Instance _60d44da477550000_p_Instance _a0d54da477550000_p_Instance _e0d64da477550000_p_Instance _20d84da477550000_p_Instance _60d94da477550000_p_Instance _a0da4da477550000_p_Instance _e0db4da477550000_p_Instance _20dd4da477550000_p_Instance _60de4da477550000_p_Instance _a0df4da477550000_p_Instance _e0e04da477550000_p_Instance _20e24da477550000_p_Instance _60e34da477550000_p_Instance _a0e44da477550000_p_Instance _e0e54da477550000_p_Instance _20e74da477550000_p_Instance _60e84da477550000_p_Instance _a0e94da477550000_p_Instance _e0ea4da477550000_p_Instance _20ec4da477550000_p_Instance _60ed4da477550000_p_Instance _a0ee4da477550000_p_Instance _e0ef4da477550000_p_Instance _20f14da477550000_p_Instance _60f24da477550000_p_Instance _a0f34da477550000_p_Instance _e0f44da477550000_p_Instance _20f64da477550000_p_Instance _60f74da477550000_p_Instance _a0f84da477550000_p_Instance _e0f94da477550000_p_Instance _20fb4da477550000_p_Instance _60fc4da477550000_p_Instance _a0fd4da477550000_p_Instance _e0fe4da477550000_p_Instance _20004ea477550000_p_Instance _60014ea477550000_p_Instance _a0024ea477550000_p_Instance _e0034ea477550000_p_Instance _20054ea477550000_p_Instance _60064ea477550000_p_Instance _a0074ea477550000_p_Instance _e0084ea477550000_p_Instance _200a4ea477550000_p_Instance _600b4ea477550000_p_Instance _a00c4ea477550000_p_Instance _e00d4ea477550000_p_Instance _200f4ea477550000_p_Instance _60104ea477550000_p_Instance _a0114ea477550000_p_Instance _e0124ea477550000_p_Instance _20144ea477550000_p_Instance _60154ea477550000_p_Instance _a0164ea477550000_p_Instance _e0174ea477550000_p_Instance _20194ea477550000_p_Instance _601a4ea477550000_p_Instance _a01b4ea477550000_p_Instance _e01c4ea477550000_p_Instance _201e4ea477550000_p_Instance _601f4ea477550000_p_Instance _a0204ea477550000_p_Instance _e0214ea477550000_p_Instance _20234ea477550000_p_Instance _60244ea477550000_p_Instance _a0254ea477550000_p_Instance _e0264ea477550000_p_Instance _20284ea477550000_p_Instance _60294ea477550000_p_Instance _a02a4ea477550000_p_Instance _e02b4ea477550000_p_Instance _202d4ea477550000_p_Instance _602e4ea477550000_p_Instance _a02f4ea477550000_p_Instance _e0304ea477550000_p_Instance _20324ea477550000_p_Instance _60334ea477550000_p_Instance _a0344ea477550000_p_Instance _e0354ea477550000_p_Instance _20374ea477550000_p_Instance _60384ea477550000_p_Instance _a0394ea477550000_p_Instance _e03a4ea477550000_p_Instance _203c4ea477550000_p_Instance _603d4ea477550000_p_Instance _a03e4ea477550000_p_Instance _e03f4ea477550000_p_Instance _20414ea477550000_p_Instance _60424ea477550000_p_Instance _a0434ea477550000_p_Instance _e0444ea477550000_p_Instance _20464ea477550000_p_Instance _60474ea477550000_p_Instance _a0484ea477550000_p_Instance _e0494ea477550000_p_Instance _204b4ea477550000_p_Instance _604c4ea477550000_p_Instance _a04d4ea477550000_p_Instance _e04e4ea477550000_p_Instance _20504ea477550000_p_Instance _60514ea477550000_p_Instance _a0524ea477550000_p_Instance _e0534ea477550000_p_Instance _20554ea477550000_p_Instance _60564ea477550000_p_Instance _a0574ea477550000_p_Instance _e0584ea477550000_p_Instance _205a4ea477550000_p_Instance _605b4ea477550000_p_Instance _a05c4ea477550000_p_Instance _e05d4ea477550000_p_Instance _205f4ea477550000_p_Instance _60604ea477550000_p_Instance _a0614ea477550000_p_Instance _e0624ea477550000_p_Instance _20644ea477550000_p_Instance _60654ea477550000_p_Instance _a0664ea477550000_p_Instance _e0674ea477550000_p_Instance _20694ea477550000_p_Instance _606a4ea477550000_p_Instance _a06b4ea477550000_p_Instance _e06c4ea477550000_p_Instance _206e4ea477550000_p_Instance _606f4ea477550000_p_Instance _a0704ea477550000_p_Instance _e0714ea477550000_p_Instance _20734ea477550000_p_Instance _60744ea477550000_p_Instance _a0754ea477550000_p_Instance _e0764ea477550000_p_Instance _20784ea477550000_p_Instance _60794ea477550000_p_Instance _a07a4ea477550000_p_Instance _e07b4ea477550000_p_Instance _207d4ea477550000_p_Instance _607e4ea477550000_p_Instance _a07f4ea477550000_p_Instance _e0804ea477550000_p_Instance _20824ea477550000_p_Instance _60834ea477550000_p_Instance _a0844ea477550000_p_Instance _e0854ea477550000_p_Instance _20874ea477550000_p_Instance _60884ea477550000_p_Instance _a0894ea477550000_p_Instance _e08a4ea477550000_p_Instance _208c4ea477550000_p_Instance _608d4ea477550000_p_Instance _a08e4ea477550000_p_Instance _e08f4ea477550000_p_Instance _20914ea477550000_p_Instance _60924ea477550000_p_Instance _a0934ea477550000_p_Instance _e0944ea477550000_p_Instance _20964ea477550000_p_Instance _60974ea477550000_p_Instance _a0984ea477550000_p_Instance _e0994ea477550000_p_Instance _209b4ea477550000_p_Instance _609c4ea477550000_p_Instance _a09d4ea477550000_p_Instance _e09e4ea477550000_p_Instance _20a04ea477550000_p_Instance _60a14ea477550000_p_Instance _a0a24ea477550000_p_Instance _e0a34ea477550000_p_Instance _20a54ea477550000_p_Instance _60a64ea477550000_p_Instance _a0a74ea477550000_p_Instance _e0a84ea477550000_p_Instance _20aa4ea477550000_p_Instance _60ab4ea477550000_p_Instance _a0ac4ea477550000_p_Instance
% Warning: instance 'mprj/mprj/soc.spi_video_ram_1.write_fifo.dffrf/' not found.
% _70b11da477550000_p_Instance
% _10be1da477550000_p_Instance _60621ea477550000_p_Instance _00651ea477550000_p_Instance _a0671ea477550000_p_Instance _406a1ea477550000_p_Instance _506c1ea477550000_p_Instance _606e1ea477550000_p_Instance _70701ea477550000_p_Instance _20741ea477550000_p_Instance _00771ea477550000_p_Instance _e0791ea477550000_p_Instance _c07c1ea477550000_p_Instance _a07f1ea477550000_p_Instance _80821ea477550000_p_Instance _60851ea477550000_p_Instance _40881ea477550000_p_Instance _b0891ea477550000_p_Instance _908b1ea477550000_p_Instance _a08d1ea477550000_p_Instance _b08f1ea477550000_p_Instance _60931ea477550000_p_Instance _40961ea477550000_p_Instance _20991ea477550000_p_Instance _009c1ea477550000_p_Instance _e09e1ea477550000_p_Instance _c0a11ea477550000_p_Instance _a0a41ea477550000_p_Instance _80a71ea477550000_p_Instance _f0a81ea477550000_p_Instance _d0aa1ea477550000_p_Instance _e0ac1ea477550000_p_Instance _f0ae1ea477550000_p_Instance _a0b21ea477550000_p_Instance _80b51ea477550000_p_Instance _60b81ea477550000_p_Instance _40bb1ea477550000_p_Instance _20be1ea477550000_p_Instance _00c11ea477550000_p_Instance _e0c31ea477550000_p_Instance _c0c61ea477550000_p_Instance _30c81ea477550000_p_Instance _10ca1ea477550000_p_Instance _20cc1ea477550000_p_Instance _30ce1ea477550000_p_Instance _e0d11ea477550000_p_Instance _c0d41ea477550000_p_Instance _a0d71ea477550000_p_Instance _80da1ea477550000_p_Instance _60dd1ea477550000_p_Instance _40e01ea477550000_p_Instance _20e31ea477550000_p_Instance _00e61ea477550000_p_Instance _70e71ea477550000_p_Instance _b0e81ea477550000_p_Instance _f0eb1ea477550000_p_Instance _90ee1ea477550000_p_Instance _30f11ea477550000_p_Instance _d0f31ea477550000_p_Instance _e0f51ea477550000_p_Instance _f0f71ea477550000_p_Instance _00fa1ea477550000_p_Instance _b0fd1ea477550000_p_Instance _90001fa477550000_p_Instance _70031fa477550000_p_Instance _50061fa477550000_p_Instance _30091fa477550000_p_Instance _100c1fa477550000_p_Instance _f00e1fa477550000_p_Instance _d0111fa477550000_p_Instance _40131fa477550000_p_Instance _20151fa477550000_p_Instance _30171fa477550000_p_Instance _40191fa477550000_p_Instance _f01c1fa477550000_p_Instance _d01f1fa477550000_p_Instance _b0221fa477550000_p_Instance _90251fa477550000_p_Instance _70281fa477550000_p_Instance _502b1fa477550000_p_Instance _302e1fa477550000_p_Instance _10311fa477550000_p_Instance _80321fa477550000_p_Instance _60341fa477550000_p_Instance _70361fa477550000_p_Instance _80381fa477550000_p_Instance _303c1fa477550000_p_Instance _103f1fa477550000_p_Instance _f0411fa477550000_p_Instance _d0441fa477550000_p_Instance _b0471fa477550000_p_Instance _904a1fa477550000_p_Instance _704d1fa477550000_p_Instance _50501fa477550000_p_Instance _c0511fa477550000_p_Instance _a0531fa477550000_p_Instance _b0551fa477550000_p_Instance _c0571fa477550000_p_Instance _705b1fa477550000_p_Instance _505e1fa477550000_p_Instance _30611fa477550000_p_Instance _10641fa477550000_p_Instance _f0661fa477550000_p_Instance _d0691fa477550000_p_Instance _b06c1fa477550000_p_Instance _906f1fa477550000_p_Instance _00711fa477550000_p_Instance _40721fa477550000_p_Instance _80751fa477550000_p_Instance _20781fa477550000_p_Instance _c07a1fa477550000_p_Instance _607d1fa477550000_p_Instance _707f1fa477550000_p_Instance _80811fa477550000_p_Instance _90831fa477550000_p_Instance _40871fa477550000_p_Instance _208a1fa477550000_p_Instance _008d1fa477550000_p_Instance _e08f1fa477550000_p_Instance _c0921fa477550000_p_Instance _a0951fa477550000_p_Instance _80981fa477550000_p_Instance _609b1fa477550000_p_Instance _d09c1fa477550000_p_Instance _b09e1fa477550000_p_Instance _c0a01fa477550000_p_Instance _d0a21fa477550000_p_Instance _80a61fa477550000_p_Instance _60a91fa477550000_p_Instance _40ac1fa477550000_p_Instance _20af1fa477550000_p_Instance _00b21fa477550000_p_Instance _e0b41fa477550000_p_Instance _c0b71fa477550000_p_Instance _a0ba1fa477550000_p_Instance _10bc1fa477550000_p_Instance _f0bd1fa477550000_p_Instance _00c01fa477550000_p_Instance _10c21fa477550000_p_Instance _c0c51fa477550000_p_Instance _a0c81fa477550000_p_Instance _80cb1fa477550000_p_Instance _60ce1fa477550000_p_Instance _40d11fa477550000_p_Instance _20d41fa477550000_p_Instance _00d71fa477550000_p_Instance _e0d91fa477550000_p_Instance _50db1fa477550000_p_Instance _30dd1fa477550000_p_Instance _40df1fa477550000_p_Instance _50e11fa477550000_p_Instance _00e51fa477550000_p_Instance _e0e71fa477550000_p_Instance _c0ea1fa477550000_p_Instance _a0ed1fa477550000_p_Instance _80f01fa477550000_p_Instance _60f31fa477550000_p_Instance _40f61fa477550000_p_Instance _20f91fa477550000_p_Instance _90fa1fa477550000_p_Instance _d0fb1fa477550000_p_Instance _80ff1fa477550000_p_Instance _f00120a477550000_p_Instance _600420a477550000_p_Instance _400820a477550000_p_Instance _b00a20a477550000_p_Instance _200d20a477550000_p_Instance _201020a477550000_p_Instance _e01120a477550000_p_Instance _701320a477550000_p_Instance _401620a477550000_p_Instance _001820a477550000_p_Instance _901920a477550000_p_Instance _601c20a477550000_p_Instance _201e20a477550000_p_Instance _b01f20a477550000_p_Instance _802220a477550000_p_Instance _402420a477550000_p_Instance _d02520a477550000_p_Instance _a02820a477550000_p_Instance _602a20a477550000_p_Instance _f02b20a477550000_p_Instance _a02f20a477550000_p_Instance _103220a477550000_p_Instance _803420a477550000_p_Instance _803720a477550000_p_Instance _403920a477550000_p_Instance _d03a20a477550000_p_Instance _a03d20a477550000_p_Instance _603f20a477550000_p_Instance _f04020a477550000_p_Instance _c04320a477550000_p_Instance _804520a477550000_p_Instance _104720a477550000_p_Instance _e04920a477550000_p_Instance _a04b20a477550000_p_Instance _304d20a477550000_p_Instance _005020a477550000_p_Instance _c05120a477550000_p_Instance _505320a477550000_p_Instance _205620a477550000_p_Instance _e05720a477550000_p_Instance _705920a477550000_p_Instance _405c20a477550000_p_Instance _005e20a477550000_p_Instance _905f20a477550000_p_Instance _606220a477550000_p_Instance _206420a477550000_p_Instance _b06520a477550000_p_Instance _606920a477550000_p_Instance _d06b20a477550000_p_Instance _406e20a477550000_p_Instance _407120a477550000_p_Instance _007320a477550000_p_Instance _907420a477550000_p_Instance _607720a477550000_p_Instance _207920a477550000_p_Instance _b07a20a477550000_p_Instance _807d20a477550000_p_Instance _407f20a477550000_p_Instance _d08020a477550000_p_Instance _a08320a477550000_p_Instance _608520a477550000_p_Instance _f08620a477550000_p_Instance _c08920a477550000_p_Instance _808b20a477550000_p_Instance _108d20a477550000_p_Instance _e08f20a477550000_p_Instance _a09120a477550000_p_Instance _309320a477550000_p_Instance _009620a477550000_p_Instance _c09720a477550000_p_Instance _509920a477550000_p_Instance _209c20a477550000_p_Instance _e09d20a477550000_p_Instance _709f20a477550000_p_Instance _40a220a477550000_p_Instance _00a420a477550000_p_Instance _90a520a477550000_p_Instance _60a820a477550000_p_Instance _20aa20a477550000_p_Instance _b0ab20a477550000_p_Instance _80ae20a477550000_p_Instance _40b020a477550000_p_Instance _d0b120a477550000_p_Instance _a0b420a477550000_p_Instance _60b620a477550000_p_Instance _f0b720a477550000_p_Instance _c0ba20a477550000_p_Instance _80bc20a477550000_p_Instance _10be20a477550000_p_Instance _e0c020a477550000_p_Instance _a0c220a477550000_p_Instance _30c420a477550000_p_Instance _00c720a477550000_p_Instance _c0c820a477550000_p_Instance _50ca20a477550000_p_Instance _70cc20a477550000_p_Instance _70ce20a477550000_p_Instance _40d020a477550000_p_Instance _10d220a477550000_p_Instance _e0d320a477550000_p_Instance _30d520a477550000_p_Instance _80d620a477550000_p_Instance _d0d720a477550000_p_Instance _20d920a477550000_p_Instance _70da20a477550000_p_Instance _c0db20a477550000_p_Instance _10dd20a477550000_p_Instance _60de20a477550000_p_Instance _10e220a477550000_p_Instance _80e420a477550000_p_Instance _f0e620a477550000_p_Instance _d0ea20a477550000_p_Instance _40ed20a477550000_p_Instance _b0ef20a477550000_p_Instance _b0f220a477550000_p_Instance _70f420a477550000_p_Instance _00f620a477550000_p_Instance _d0f820a477550000_p_Instance _90fa20a477550000_p_Instance _20fc20a477550000_p_Instance _f0fe20a477550000_p_Instance _b00021a477550000_p_Instance _400221a477550000_p_Instance _100521a477550000_p_Instance _d00621a477550000_p_Instance _600821a477550000_p_Instance _300b21a477550000_p_Instance _f00c21a477550000_p_Instance _800e21a477550000_p_Instance _301221a477550000_p_Instance _a01421a477550000_p_Instance _101721a477550000_p_Instance _101a21a477550000_p_Instance _d01b21a477550000_p_Instance _601d21a477550000_p_Instance _302021a477550000_p_Instance _f02121a477550000_p_Instance _802321a477550000_p_Instance _502621a477550000_p_Instance _102821a477550000_p_Instance _a02921a477550000_p_Instance _702c21a477550000_p_Instance _302e21a477550000_p_Instance _c02f21a477550000_p_Instance _903221a477550000_p_Instance _503421a477550000_p_Instance _e03521a477550000_p_Instance _b03821a477550000_p_Instance _703a21a477550000_p_Instance _003c21a477550000_p_Instance _d03e21a477550000_p_Instance _904021a477550000_p_Instance _204221a477550000_p_Instance _f04421a477550000_p_Instance _b04621a477550000_p_Instance _404821a477550000_p_Instance _f04b21a477550000_p_Instance _604e21a477550000_p_Instance _d05021a477550000_p_Instance _d05321a477550000_p_Instance _905521a477550000_p_Instance _205721a477550000_p_Instance _f05921a477550000_p_Instance _b05b21a477550000_p_Instance _405d21a477550000_p_Instance _106021a477550000_p_Instance _d06121a477550000_p_Instance _606321a477550000_p_Instance _306621a477550000_p_Instance _f06721a477550000_p_Instance _806921a477550000_p_Instance _506c21a477550000_p_Instance _106e21a477550000_p_Instance _a06f21a477550000_p_Instance _707221a477550000_p_Instance _307421a477550000_p_Instance _c07521a477550000_p_Instance _907821a477550000_p_Instance _507a21a477550000_p_Instance _e07b21a477550000_p_Instance _b07e21a477550000_p_Instance _708021a477550000_p_Instance _008221a477550000_p_Instance _d08421a477550000_p_Instance _908621a477550000_p_Instance _208821a477550000_p_Instance _f08a21a477550000_p_Instance _b08c21a477550000_p_Instance _408e21a477550000_p_Instance _109121a477550000_p_Instance _d09221a477550000_p_Instance _609421a477550000_p_Instance _309721a477550000_p_Instance _f09821a477550000_p_Instance _809a21a477550000_p_Instance _509d21a477550000_p_Instance _109f21a477550000_p_Instance _a0a021a477550000_p_Instance _70a321a477550000_p_Instance _30a521a477550000_p_Instance _c0a621a477550000_p_Instance _90a921a477550000_p_Instance _50ab21a477550000_p_Instance _e0ac21a477550000_p_Instance _00af21a477550000_p_Instance _00b121a477550000_p_Instance _d0b221a477550000_p_Instance _a0b421a477550000_p_Instance _70b621a477550000_p_Instance _c0b721a477550000_p_Instance _10b921a477550000_p_Instance _60ba21a477550000_p_Instance _b0bb21a477550000_p_Instance _00bd21a477550000_p_Instance _50be21a477550000_p_Instance _a0bf21a477550000_p_Instance _f0c021a477550000_p_Instance _a0c421a477550000_p_Instance _10c721a477550000_p_Instance _80c921a477550000_p_Instance _60cd21a477550000_p_Instance _d0cf21a477550000_p_Instance _40d221a477550000_p_Instance _40d521a477550000_p_Instance _00d721a477550000_p_Instance _90d821a477550000_p_Instance _60db21a477550000_p_Instance _20dd21a477550000_p_Instance _b0de21a477550000_p_Instance _80e121a477550000_p_Instance _40e321a477550000_p_Instance _d0e421a477550000_p_Instance _a0e721a477550000_p_Instance _60e921a477550000_p_Instance _f0ea21a477550000_p_Instance _c0ed21a477550000_p_Instance _80ef21a477550000_p_Instance _10f121a477550000_p_Instance _c0f421a477550000_p_Instance _30f721a477550000_p_Instance _a0f921a477550000_p_Instance _a0fc21a477550000_p_Instance _60fe21a477550000_p_Instance _f0ff21a477550000_p_Instance _c00222a477550000_p_Instance _800422a477550000_p_Instance _100622a477550000_p_Instance _e00822a477550000_p_Instance _a00a22a477550000_p_Instance _300c22a477550000_p_Instance _000f22a477550000_p_Instance _c01022a477550000_p_Instance _501222a477550000_p_Instance _201522a477550000_p_Instance _e01622a477550000_p_Instance _701822a477550000_p_Instance _401b22a477550000_p_Instance _001d22a477550000_p_Instance _901e22a477550000_p_Instance _602122a477550000_p_Instance _202322a477550000_p_Instance _b02422a477550000_p_Instance _802722a477550000_p_Instance _402922a477550000_p_Instance _d02a22a477550000_p_Instance _802e22a477550000_p_Instance _f03022a477550000_p_Instance _603322a477550000_p_Instance _603622a477550000_p_Instance _203822a477550000_p_Instance _b03922a477550000_p_Instance _803c22a477550000_p_Instance _403e22a477550000_p_Instance _d03f22a477550000_p_Instance _a04222a477550000_p_Instance _604422a477550000_p_Instance _f04522a477550000_p_Instance _c04822a477550000_p_Instance _804a22a477550000_p_Instance _104c22a477550000_p_Instance _e04e22a477550000_p_Instance _a05022a477550000_p_Instance _305222a477550000_p_Instance _005522a477550000_p_Instance _c05622a477550000_p_Instance _505822a477550000_p_Instance _205b22a477550000_p_Instance _e05c22a477550000_p_Instance _705e22a477550000_p_Instance _406122a477550000_p_Instance _006322a477550000_p_Instance _906422a477550000_p_Instance _606722a477550000_p_Instance _206922a477550000_p_Instance _b06a22a477550000_p_Instance _806d22a477550000_p_Instance _406f22a477550000_p_Instance _d07022a477550000_p_Instance _a07322a477550000_p_Instance _607522a477550000_p_Instance _f07622a477550000_p_Instance _c07922a477550000_p_Instance _807b22a477550000_p_Instance _107d22a477550000_p_Instance _e07f22a477550000_p_Instance _a08122a477550000_p_Instance _308322a477550000_p_Instance _008622a477550000_p_Instance _c08722a477550000_p_Instance _508922a477550000_p_Instance _208c22a477550000_p_Instance _e08d22a477550000_p_Instance _708f22a477550000_p_Instance _909122a477550000_p_Instance _909322a477550000_p_Instance _609522a477550000_p_Instance _309722a477550000_p_Instance _009922a477550000_p_Instance _509a22a477550000_p_Instance _a09b22a477550000_p_Instance _f09c22a477550000_p_Instance _409e22a477550000_p_Instance _909f22a477550000_p_Instance _e0a022a477550000_p_Instance _30a222a477550000_p_Instance _80a322a477550000_p_Instance _30a722a477550000_p_Instance _a0a922a477550000_p_Instance _10ac22a477550000_p_Instance _f0af22a477550000_p_Instance _60b222a477550000_p_Instance _d0b422a477550000_p_Instance _d0b722a477550000_p_Instance _90b922a477550000_p_Instance _20bb22a477550000_p_Instance _f0bd22a477550000_p_Instance _b0bf22a477550000_p_Instance _40c122a477550000_p_Instance _10c422a477550000_p_Instance _d0c522a477550000_p_Instance _60c722a477550000_p_Instance _30ca22a477550000_p_Instance _f0cb22a477550000_p_Instance _80cd22a477550000_p_Instance _50d022a477550000_p_Instance _10d222a477550000_p_Instance _a0d322a477550000_p_Instance _50d722a477550000_p_Instance _c0d922a477550000_p_Instance _30dc22a477550000_p_Instance _30df22a477550000_p_Instance _f0e022a477550000_p_Instance _80e222a477550000_p_Instance _50e522a477550000_p_Instance _10e722a477550000_p_Instance _a0e822a477550000_p_Instance _70eb22a477550000_p_Instance _30ed22a477550000_p_Instance _c0ee22a477550000_p_Instance _90f122a477550000_p_Instance _50f322a477550000_p_Instance _e0f422a477550000_p_Instance _b0f722a477550000_p_Instance _70f922a477550000_p_Instance _00fb22a477550000_p_Instance _d0fd22a477550000_p_Instance _90ff22a477550000_p_Instance _200123a477550000_p_Instance _f00323a477550000_p_Instance _b00523a477550000_p_Instance _400723a477550000_p_Instance _100a23a477550000_p_Instance _d00b23a477550000_p_Instance _600d23a477550000_p_Instance _101123a477550000_p_Instance _801323a477550000_p_Instance _f01523a477550000_p_Instance _f01823a477550000_p_Instance _b01a23a477550000_p_Instance _401c23a477550000_p_Instance _101f23a477550000_p_Instance _d02023a477550000_p_Instance _602223a477550000_p_Instance _302523a477550000_p_Instance _f02623a477550000_p_Instance _802823a477550000_p_Instance _502b23a477550000_p_Instance _102d23a477550000_p_Instance _a02e23a477550000_p_Instance _703123a477550000_p_Instance _303323a477550000_p_Instance _c03423a477550000_p_Instance _903723a477550000_p_Instance _503923a477550000_p_Instance _e03a23a477550000_p_Instance _b03d23a477550000_p_Instance _703f23a477550000_p_Instance _004123a477550000_p_Instance _d04323a477550000_p_Instance _904523a477550000_p_Instance _204723a477550000_p_Instance _f04923a477550000_p_Instance _b04b23a477550000_p_Instance _404d23a477550000_p_Instance _105023a477550000_p_Instance _d05123a477550000_p_Instance _605323a477550000_p_Instance _305623a477550000_p_Instance _f05723a477550000_p_Instance _805923a477550000_p_Instance _505c23a477550000_p_Instance _105e23a477550000_p_Instance _a05f23a477550000_p_Instance _706223a477550000_p_Instance _306423a477550000_p_Instance _c06523a477550000_p_Instance _906823a477550000_p_Instance _506a23a477550000_p_Instance _e06b23a477550000_p_Instance _b06e23a477550000_p_Instance _707023a477550000_p_Instance _007223a477550000_p_Instance _207423a477550000_p_Instance _207623a477550000_p_Instance _f07723a477550000_p_Instance _c07923a477550000_p_Instance _907b23a477550000_p_Instance _e07c23a477550000_p_Instance _307e23a477550000_p_Instance _807f23a477550000_p_Instance _d08023a477550000_p_Instance _208223a477550000_p_Instance _708323a477550000_p_Instance _c08423a477550000_p_Instance _108623a477550000_p_Instance _c08923a477550000_p_Instance _308c23a477550000_p_Instance _a08e23a477550000_p_Instance _809223a477550000_p_Instance _f09423a477550000_p_Instance _609723a477550000_p_Instance _609a23a477550000_p_Instance _209c23a477550000_p_Instance _b09d23a477550000_p_Instance _80a023a477550000_p_Instance _40a223a477550000_p_Instance _d0a323a477550000_p_Instance _a0a623a477550000_p_Instance _60a823a477550000_p_Instance _f0a923a477550000_p_Instance _c0ac23a477550000_p_Instance _80ae23a477550000_p_Instance _10b023a477550000_p_Instance _e0b223a477550000_p_Instance _a0b423a477550000_p_Instance _30b623a477550000_p_Instance _e0b923a477550000_p_Instance _50bc23a477550000_p_Instance _c0be23a477550000_p_Instance _c0c123a477550000_p_Instance _80c323a477550000_p_Instance _10c523a477550000_p_Instance _e0c723a477550000_p_Instance _a0c923a477550000_p_Instance _30cb23a477550000_p_Instance _00ce23a477550000_p_Instance _c0cf23a477550000_p_Instance _50d123a477550000_p_Instance _20d423a477550000_p_Instance _e0d523a477550000_p_Instance _70d723a477550000_p_Instance _40da23a477550000_p_Instance _00dc23a477550000_p_Instance _90dd23a477550000_p_Instance _60e023a477550000_p_Instance _20e223a477550000_p_Instance _b0e323a477550000_p_Instance _80e623a477550000_p_Instance _40e823a477550000_p_Instance _d0e923a477550000_p_Instance _a0ec23a477550000_p_Instance _60ee23a477550000_p_Instance _f0ef23a477550000_p_Instance _a0f323a477550000_p_Instance _10f623a477550000_p_Instance _80f823a477550000_p_Instance _80fb23a477550000_p_Instance _40fd23a477550000_p_Instance _d0fe23a477550000_p_Instance _a00124a477550000_p_Instance _600324a477550000_p_Instance _f00424a477550000_p_Instance _c00724a477550000_p_Instance _800924a477550000_p_Instance _100b24a477550000_p_Instance _e00d24a477550000_p_Instance _a00f24a477550000_p_Instance _301124a477550000_p_Instance _001424a477550000_p_Instance _c01524a477550000_p_Instance _501724a477550000_p_Instance _201a24a477550000_p_Instance _e01b24a477550000_p_Instance _701d24a477550000_p_Instance _402024a477550000_p_Instance _002224a477550000_p_Instance _902324a477550000_p_Instance _602624a477550000_p_Instance _202824a477550000_p_Instance _b02924a477550000_p_Instance _802c24a477550000_p_Instance _402e24a477550000_p_Instance _d02f24a477550000_p_Instance _a03224a477550000_p_Instance _603424a477550000_p_Instance _f03524a477550000_p_Instance _c03824a477550000_p_Instance _803a24a477550000_p_Instance _103c24a477550000_p_Instance _e03e24a477550000_p_Instance _a04024a477550000_p_Instance _304224a477550000_p_Instance _004524a477550000_p_Instance _c04624a477550000_p_Instance _504824a477550000_p_Instance _204b24a477550000_p_Instance _e04c24a477550000_p_Instance _704e24a477550000_p_Instance _405124a477550000_p_Instance _005324a477550000_p_Instance _905424a477550000_p_Instance _b05624a477550000_p_Instance _b05824a477550000_p_Instance _805a24a477550000_p_Instance _505c24a477550000_p_Instance _205e24a477550000_p_Instance _705f24a477550000_p_Instance _c06024a477550000_p_Instance _106224a477550000_p_Instance _606324a477550000_p_Instance _b06424a477550000_p_Instance _006624a477550000_p_Instance _506724a477550000_p_Instance _a06824a477550000_p_Instance _506c24a477550000_p_Instance _c06e24a477550000_p_Instance _307124a477550000_p_Instance _107524a477550000_p_Instance _807724a477550000_p_Instance _f07924a477550000_p_Instance _f07c24a477550000_p_Instance _b07e24a477550000_p_Instance _408024a477550000_p_Instance _108324a477550000_p_Instance _d08424a477550000_p_Instance _608624a477550000_p_Instance _308924a477550000_p_Instance _f08a24a477550000_p_Instance _808c24a477550000_p_Instance _508f24a477550000_p_Instance _109124a477550000_p_Instance _a09224a477550000_p_Instance _709524a477550000_p_Instance _309724a477550000_p_Instance _c09824a477550000_p_Instance _709c24a477550000_p_Instance _e09e24a477550000_p_Instance _50a124a477550000_p_Instance _50a424a477550000_p_Instance _10a624a477550000_p_Instance _a0a724a477550000_p_Instance _70aa24a477550000_p_Instance _30ac24a477550000_p_Instance _c0ad24a477550000_p_Instance _90b024a477550000_p_Instance _50b224a477550000_p_Instance _e0b324a477550000_p_Instance _b0b624a477550000_p_Instance _70b824a477550000_p_Instance _00ba24a477550000_p_Instance _d0bc24a477550000_p_Instance _90be24a477550000_p_Instance _20c024a477550000_p_Instance _f0c224a477550000_p_Instance _b0c424a477550000_p_Instance _40c624a477550000_p_Instance _10c924a477550000_p_Instance _d0ca24a477550000_p_Instance _60cc24a477550000_p_Instance _30cf24a477550000_p_Instance _f0d024a477550000_p_Instance _80d224a477550000_p_Instance _30d624a477550000_p_Instance _a0d824a477550000_p_Instance _10db24a477550000_p_Instance _10de24a477550000_p_Instance _d0df24a477550000_p_Instance _60e124a477550000_p_Instance _30e424a477550000_p_Instance _f0e524a477550000_p_Instance _80e724a477550000_p_Instance _50ea24a477550000_p_Instance _10ec24a477550000_p_Instance _a0ed24a477550000_p_Instance _70f024a477550000_p_Instance _30f224a477550000_p_Instance _c0f324a477550000_p_Instance _90f624a477550000_p_Instance _50f824a477550000_p_Instance _e0f924a477550000_p_Instance _b0fc24a477550000_p_Instance _70fe24a477550000_p_Instance _000025a477550000_p_Instance _d00225a477550000_p_Instance _900425a477550000_p_Instance _200625a477550000_p_Instance _f00825a477550000_p_Instance _b00a25a477550000_p_Instance _400c25a477550000_p_Instance _100f25a477550000_p_Instance _d01025a477550000_p_Instance _601225a477550000_p_Instance _301525a477550000_p_Instance _f01625a477550000_p_Instance _801825a477550000_p_Instance _501b25a477550000_p_Instance _101d25a477550000_p_Instance _a01e25a477550000_p_Instance _702125a477550000_p_Instance _302325a477550000_p_Instance _c02425a477550000_p_Instance _902725a477550000_p_Instance _502925a477550000_p_Instance _e02a25a477550000_p_Instance _b02d25a477550000_p_Instance _702f25a477550000_p_Instance _003125a477550000_p_Instance _d03325a477550000_p_Instance _903525a477550000_p_Instance _203725a477550000_p_Instance _403925a477550000_p_Instance _403b25a477550000_p_Instance _103d25a477550000_p_Instance _e03e25a477550000_p_Instance _b04025a477550000_p_Instance _004225a477550000_p_Instance _504325a477550000_p_Instance _a04425a477550000_p_Instance _f04525a477550000_p_Instance _404725a477550000_p_Instance _904825a477550000_p_Instance _e04925a477550000_p_Instance _304b25a477550000_p_Instance _e04e25a477550000_p_Instance _505125a477550000_p_Instance _c05325a477550000_p_Instance _a05725a477550000_p_Instance _105a25a477550000_p_Instance _805c25a477550000_p_Instance _805f25a477550000_p_Instance _406125a477550000_p_Instance _d06225a477550000_p_Instance _a06525a477550000_p_Instance _606725a477550000_p_Instance _f06825a477550000_p_Instance _c06b25a477550000_p_Instance _806d25a477550000_p_Instance _106f25a477550000_p_Instance _e07125a477550000_p_Instance _a07325a477550000_p_Instance _307525a477550000_p_Instance _007825a477550000_p_Instance _c07925a477550000_p_Instance _507b25a477550000_p_Instance _007f25a477550000_p_Instance _708125a477550000_p_Instance _e08325a477550000_p_Instance _e08625a477550000_p_Instance _a08825a477550000_p_Instance _308a25a477550000_p_Instance _008d25a477550000_p_Instance _c08e25a477550000_p_Instance _509025a477550000_p_Instance _209325a477550000_p_Instance _e09425a477550000_p_Instance _709625a477550000_p_Instance _409925a477550000_p_Instance _009b25a477550000_p_Instance _909c25a477550000_p_Instance _609f25a477550000_p_Instance _20a125a477550000_p_Instance _b0a225a477550000_p_Instance _80a525a477550000_p_Instance _40a725a477550000_p_Instance _d0a825a477550000_p_Instance _a0ab25a477550000_p_Instance _60ad25a477550000_p_Instance _f0ae25a477550000_p_Instance _c0b125a477550000_p_Instance _80b325a477550000_p_Instance _10b525a477550000_p_Instance _c0b825a477550000_p_Instance _30bb25a477550000_p_Instance _a0bd25a477550000_p_Instance _a0c025a477550000_p_Instance _60c225a477550000_p_Instance _f0c325a477550000_p_Instance _c0c625a477550000_p_Instance _80c825a477550000_p_Instance _10ca25a477550000_p_Instance _e0cc25a477550000_p_Instance _a0ce25a477550000_p_Instance _30d025a477550000_p_Instance _00d325a477550000_p_Instance _c0d425a477550000_p_Instance _50d625a477550000_p_Instance _20d925a477550000_p_Instance _e0da25a477550000_p_Instance _70dc25a477550000_p_Instance _40df25a477550000_p_Instance _00e125a477550000_p_Instance _90e225a477550000_p_Instance _60e525a477550000_p_Instance _20e725a477550000_p_Instance _b0e825a477550000_p_Instance _80eb25a477550000_p_Instance _40ed25a477550000_p_Instance _d0ee25a477550000_p_Instance _a0f125a477550000_p_Instance _60f325a477550000_p_Instance _f0f425a477550000_p_Instance _c0f725a477550000_p_Instance _80f925a477550000_p_Instance _10fb25a477550000_p_Instance _e0fd25a477550000_p_Instance _a0ff25a477550000_p_Instance _300126a477550000_p_Instance _000426a477550000_p_Instance _c00526a477550000_p_Instance _500726a477550000_p_Instance _200a26a477550000_p_Instance _e00b26a477550000_p_Instance _700d26a477550000_p_Instance _401026a477550000_p_Instance _001226a477550000_p_Instance _901326a477550000_p_Instance _601626a477550000_p_Instance _201826a477550000_p_Instance _b01926a477550000_p_Instance _d01b26a477550000_p_Instance _d01d26a477550000_p_Instance _a01f26a477550000_p_Instance _702126a477550000_p_Instance _402326a477550000_p_Instance _902426a477550000_p_Instance _e02526a477550000_p_Instance _302726a477550000_p_Instance _802826a477550000_p_Instance _d02926a477550000_p_Instance _202b26a477550000_p_Instance _702c26a477550000_p_Instance _c02d26a477550000_p_Instance _703126a477550000_p_Instance _e03326a477550000_p_Instance _503626a477550000_p_Instance _303a26a477550000_p_Instance _a03c26a477550000_p_Instance _103f26a477550000_p_Instance _104226a477550000_p_Instance _d04326a477550000_p_Instance _604526a477550000_p_Instance _304826a477550000_p_Instance _f04926a477550000_p_Instance _804b26a477550000_p_Instance _504e26a477550000_p_Instance _105026a477550000_p_Instance _a05126a477550000_p_Instance _705426a477550000_p_Instance _305626a477550000_p_Instance _c05726a477550000_p_Instance _905a26a477550000_p_Instance _505c26a477550000_p_Instance _e05d26a477550000_p_Instance _906126a477550000_p_Instance _006426a477550000_p_Instance _706626a477550000_p_Instance _706926a477550000_p_Instance _306b26a477550000_p_Instance _c06c26a477550000_p_Instance _906f26a477550000_p_Instance _507126a477550000_p_Instance _e07226a477550000_p_Instance _b07526a477550000_p_Instance _707726a477550000_p_Instance _007926a477550000_p_Instance _d07b26a477550000_p_Instance _907d26a477550000_p_Instance _207f26a477550000_p_Instance _f08126a477550000_p_Instance _b08326a477550000_p_Instance _408526a477550000_p_Instance _108826a477550000_p_Instance _d08926a477550000_p_Instance _608b26a477550000_p_Instance _308e26a477550000_p_Instance _f08f26a477550000_p_Instance _809126a477550000_p_Instance _509426a477550000_p_Instance _109626a477550000_p_Instance _a09726a477550000_p_Instance _509b26a477550000_p_Instance _c09d26a477550000_p_Instance _30a026a477550000_p_Instance _30a326a477550000_p_Instance _f0a426a477550000_p_Instance _80a626a477550000_p_Instance _50a926a477550000_p_Instance _10ab26a477550000_p_Instance _a0ac26a477550000_p_Instance _70af26a477550000_p_Instance _30b126a477550000_p_Instance _c0b226a477550000_p_Instance _90b526a477550000_p_Instance _50b726a477550000_p_Instance _e0b826a477550000_p_Instance _b0bb26a477550000_p_Instance _70bd26a477550000_p_Instance _00bf26a477550000_p_Instance _d0c126a477550000_p_Instance _90c326a477550000_p_Instance _20c526a477550000_p_Instance _f0c726a477550000_p_Instance _b0c926a477550000_p_Instance _40cb26a477550000_p_Instance _10ce26a477550000_p_Instance _d0cf26a477550000_p_Instance _60d126a477550000_p_Instance _30d426a477550000_p_Instance _f0d526a477550000_p_Instance _80d726a477550000_p_Instance _50da26a477550000_p_Instance _10dc26a477550000_p_Instance _a0dd26a477550000_p_Instance _70e026a477550000_p_Instance _30e226a477550000_p_Instance _c0e326a477550000_p_Instance _90e626a477550000_p_Instance _50e826a477550000_p_Instance _e0e926a477550000_p_Instance _b0ec26a477550000_p_Instance _70ee26a477550000_p_Instance _00f026a477550000_p_Instance _d0f226a477550000_p_Instance _90f426a477550000_p_Instance _20f626a477550000_p_Instance _f0f826a477550000_p_Instance _b0fa26a477550000_p_Instance _40fc26a477550000_p_Instance _60fe26a477550000_p_Instance _600027a477550000_p_Instance _300227a477550000_p_Instance _000427a477550000_p_Instance _d00527a477550000_p_Instance _200727a477550000_p_Instance _700827a477550000_p_Instance _c00927a477550000_p_Instance _100b27a477550000_p_Instance _600c27a477550000_p_Instance _b00d27a477550000_p_Instance _000f27a477550000_p_Instance _501027a477550000_p_Instance _001427a477550000_p_Instance _701627a477550000_p_Instance _e01827a477550000_p_Instance _c01c27a477550000_p_Instance _301f27a477550000_p_Instance _a02127a477550000_p_Instance _a02427a477550000_p_Instance _602627a477550000_p_Instance _f02727a477550000_p_Instance _c02a27a477550000_p_Instance _802c27a477550000_p_Instance _102e27a477550000_p_Instance _e03027a477550000_p_Instance _a03227a477550000_p_Instance _303427a477550000_p_Instance _003727a477550000_p_Instance _c03827a477550000_p_Instance _503a27a477550000_p_Instance _203d27a477550000_p_Instance _e03e27a477550000_p_Instance _704027a477550000_p_Instance _204427a477550000_p_Instance _904627a477550000_p_Instance _004927a477550000_p_Instance _004c27a477550000_p_Instance _c04d27a477550000_p_Instance _504f27a477550000_p_Instance _205227a477550000_p_Instance _e05327a477550000_p_Instance _705527a477550000_p_Instance _405827a477550000_p_Instance _005a27a477550000_p_Instance _905b27a477550000_p_Instance _605e27a477550000_p_Instance _206027a477550000_p_Instance _b06127a477550000_p_Instance _806427a477550000_p_Instance _406627a477550000_p_Instance _d06727a477550000_p_Instance _a06a27a477550000_p_Instance _606c27a477550000_p_Instance _f06d27a477550000_p_Instance _c07027a477550000_p_Instance _807227a477550000_p_Instance _107427a477550000_p_Instance _e07627a477550000_p_Instance _a07827a477550000_p_Instance _307a27a477550000_p_Instance _e07d27a477550000_p_Instance _508027a477550000_p_Instance _c08227a477550000_p_Instance _c08527a477550000_p_Instance _808727a477550000_p_Instance _108927a477550000_p_Instance _e08b27a477550000_p_Instance _a08d27a477550000_p_Instance _308f27a477550000_p_Instance _009227a477550000_p_Instance _c09327a477550000_p_Instance _509527a477550000_p_Instance _209827a477550000_p_Instance _e09927a477550000_p_Instance _709b27a477550000_p_Instance _409e27a477550000_p_Instance _00a027a477550000_p_Instance _90a127a477550000_p_Instance _60a427a477550000_p_Instance _20a627a477550000_p_Instance _b0a727a477550000_p_Instance _80aa27a477550000_p_Instance _40ac27a477550000_p_Instance _d0ad27a477550000_p_Instance _a0b027a477550000_p_Instance _60b227a477550000_p_Instance _f0b327a477550000_p_Instance _c0b627a477550000_p_Instance _80b827a477550000_p_Instance _10ba27a477550000_p_Instance _e0bc27a477550000_p_Instance _a0be27a477550000_p_Instance _30c027a477550000_p_Instance _00c327a477550000_p_Instance _c0c427a477550000_p_Instance _50c627a477550000_p_Instance _20c927a477550000_p_Instance _e0ca27a477550000_p_Instance _70cc27a477550000_p_Instance _40cf27a477550000_p_Instance _00d127a477550000_p_Instance _90d227a477550000_p_Instance _60d527a477550000_p_Instance _20d727a477550000_p_Instance _b0d827a477550000_p_Instance _80db27a477550000_p_Instance _40dd27a477550000_p_Instance _d0de27a477550000_p_Instance _f0e027a477550000_p_Instance _f0e227a477550000_p_Instance _c0e427a477550000_p_Instance _90e627a477550000_p_Instance _60e827a477550000_p_Instance _b0e927a477550000_p_Instance _00eb27a477550000_p_Instance _50ec27a477550000_p_Instance _a0ed27a477550000_p_Instance _f0ee27a477550000_p_Instance _40f027a477550000_p_Instance _90f127a477550000_p_Instance _e0f227a477550000_p_Instance _90f627a477550000_p_Instance _00f927a477550000_p_Instance _70fb27a477550000_p_Instance _50ff27a477550000_p_Instance _c00128a477550000_p_Instance _300428a477550000_p_Instance _300728a477550000_p_Instance _f00828a477550000_p_Instance _800a28a477550000_p_Instance _500d28a477550000_p_Instance _100f28a477550000_p_Instance _a01028a477550000_p_Instance _701328a477550000_p_Instance _301528a477550000_p_Instance _c01628a477550000_p_Instance _901928a477550000_p_Instance _501b28a477550000_p_Instance _e01c28a477550000_p_Instance _b01f28a477550000_p_Instance _702128a477550000_p_Instance _002328a477550000_p_Instance _b02628a477550000_p_Instance _202928a477550000_p_Instance _902b28a477550000_p_Instance _902e28a477550000_p_Instance _503028a477550000_p_Instance _e03128a477550000_p_Instance _b03428a477550000_p_Instance _703628a477550000_p_Instance _003828a477550000_p_Instance _d03a28a477550000_p_Instance _903c28a477550000_p_Instance _203e28a477550000_p_Instance _f04028a477550000_p_Instance _b04228a477550000_p_Instance _404428a477550000_p_Instance _104728a477550000_p_Instance _d04828a477550000_p_Instance _604a28a477550000_p_Instance _304d28a477550000_p_Instance _f04e28a477550000_p_Instance _805028a477550000_p_Instance _505328a477550000_p_Instance _105528a477550000_p_Instance _a05628a477550000_p_Instance _705928a477550000_p_Instance _305b28a477550000_p_Instance _c05c28a477550000_p_Instance _706028a477550000_p_Instance _e06228a477550000_p_Instance _506528a477550000_p_Instance _506828a477550000_p_Instance _106a28a477550000_p_Instance _a06b28a477550000_p_Instance _706e28a477550000_p_Instance _307028a477550000_p_Instance _c07128a477550000_p_Instance _907428a477550000_p_Instance _507628a477550000_p_Instance _e07728a477550000_p_Instance _b07a28a477550000_p_Instance _707c28a477550000_p_Instance _007e28a477550000_p_Instance _d08028a477550000_p_Instance _908228a477550000_p_Instance _208428a477550000_p_Instance _f08628a477550000_p_Instance _b08828a477550000_p_Instance _408a28a477550000_p_Instance _108d28a477550000_p_Instance _d08e28a477550000_p_Instance _609028a477550000_p_Instance _309328a477550000_p_Instance _f09428a477550000_p_Instance _809628a477550000_p_Instance _509928a477550000_p_Instance _109b28a477550000_p_Instance _a09c28a477550000_p_Instance _709f28a477550000_p_Instance _30a128a477550000_p_Instance _c0a228a477550000_p_Instance _90a528a477550000_p_Instance _50a728a477550000_p_Instance _e0a828a477550000_p_Instance _b0ab28a477550000_p_Instance _70ad28a477550000_p_Instance _00af28a477550000_p_Instance _d0b128a477550000_p_Instance _90b328a477550000_p_Instance _20b528a477550000_p_Instance _f0b728a477550000_p_Instance _b0b928a477550000_p_Instance _40bb28a477550000_p_Instance _10be28a477550000_p_Instance _d0bf28a477550000_p_Instance _60c128a477550000_p_Instance _80c328a477550000_p_Instance _80c528a477550000_p_Instance _50c728a477550000_p_Instance _20c928a477550000_p_Instance _f0ca28a477550000_p_Instance _40cc28a477550000_p_Instance _90cd28a477550000_p_Instance _e0ce28a477550000_p_Instance _30d028a477550000_p_Instance _80d128a477550000_p_Instance _d0d228a477550000_p_Instance _20d428a477550000_p_Instance _70d528a477550000_p_Instance _10d928a477550000_p_Instance _80db28a477550000_p_Instance _f0dd28a477550000_p_Instance _c0e128a477550000_p_Instance _30e428a477550000_p_Instance _a0e628a477550000_p_Instance _a0e928a477550000_p_Instance _60eb28a477550000_p_Instance _f0ec28a477550000_p_Instance _c0ef28a477550000_p_Instance _80f128a477550000_p_Instance _10f328a477550000_p_Instance _e0f528a477550000_p_Instance _a0f728a477550000_p_Instance _30f928a477550000_p_Instance _00fc28a477550000_p_Instance _c0fd28a477550000_p_Instance _50ff28a477550000_p_Instance _200229a477550000_p_Instance _e00329a477550000_p_Instance _700529a477550000_p_Instance _100929a477550000_p_Instance _800b29a477550000_p_Instance _f00d29a477550000_p_Instance _f01029a477550000_p_Instance _b01229a477550000_p_Instance _401429a477550000_p_Instance _101729a477550000_p_Instance _d01829a477550000_p_Instance _601a29a477550000_p_Instance _301d29a477550000_p_Instance _f01e29a477550000_p_Instance _802029a477550000_p_Instance _502329a477550000_p_Instance _102529a477550000_p_Instance _a02629a477550000_p_Instance _702929a477550000_p_Instance _302b29a477550000_p_Instance _c02c29a477550000_p_Instance _902f29a477550000_p_Instance _503129a477550000_p_Instance _e03229a477550000_p_Instance _b03529a477550000_p_Instance _703729a477550000_p_Instance _003929a477550000_p_Instance _d03b29a477550000_p_Instance _903d29a477550000_p_Instance _203f29a477550000_p_Instance _c04229a477550000_p_Instance _304529a477550000_p_Instance _a04729a477550000_p_Instance _a04a29a477550000_p_Instance _604c29a477550000_p_Instance _f04d29a477550000_p_Instance _c05029a477550000_p_Instance _805229a477550000_p_Instance _105429a477550000_p_Instance _e05629a477550000_p_Instance _a05829a477550000_p_Instance _305a29a477550000_p_Instance _005d29a477550000_p_Instance _c05e29a477550000_p_Instance _506029a477550000_p_Instance _206329a477550000_p_Instance _e06429a477550000_p_Instance _706629a477550000_p_Instance _406929a477550000_p_Instance _006b29a477550000_p_Instance _906c29a477550000_p_Instance _606f29a477550000_p_Instance _207129a477550000_p_Instance _b07229a477550000_p_Instance _807529a477550000_p_Instance _407729a477550000_p_Instance _d07829a477550000_p_Instance _a07b29a477550000_p_Instance _607d29a477550000_p_Instance _f07e29a477550000_p_Instance _c08129a477550000_p_Instance _808329a477550000_p_Instance _108529a477550000_p_Instance _e08729a477550000_p_Instance _a08929a477550000_p_Instance _308b29a477550000_p_Instance _008e29a477550000_p_Instance _c08f29a477550000_p_Instance _509129a477550000_p_Instance _209429a477550000_p_Instance _e09529a477550000_p_Instance _709729a477550000_p_Instance _409a29a477550000_p_Instance _009c29a477550000_p_Instance _909d29a477550000_p_Instance _60a029a477550000_p_Instance _20a229a477550000_p_Instance _b0a329a477550000_p_Instance _d0a529a477550000_p_Instance _d0a729a477550000_p_Instance _a0a929a477550000_p_Instance _70ab29a477550000_p_Instance _40ad29a477550000_p_Instance _80ae29a477550000_p_Instance _c0af29a477550000_p_Instance _00b129a477550000_p_Instance _40b229a477550000_p_Instance _80b329a477550000_p_Instance _c0b429a477550000_p_Instance _00b629a477550000_p_Instance _40b729a477550000_p_Instance _f0ba29a477550000_p_Instance _60bd29a477550000_p_Instance _d0bf29a477550000_p_Instance _b0c329a477550000_p_Instance _20c629a477550000_p_Instance _90c829a477550000_p_Instance _90cb29a477550000_p_Instance _50cd29a477550000_p_Instance _e0ce29a477550000_p_Instance _b0d129a477550000_p_Instance _70d329a477550000_p_Instance _00d529a477550000_p_Instance _d0d729a477550000_p_Instance _90d929a477550000_p_Instance _20db29a477550000_p_Instance _f0dd29a477550000_p_Instance _b0df29a477550000_p_Instance _40e129a477550000_p_Instance _10e429a477550000_p_Instance _d0e529a477550000_p_Instance _60e729a477550000_p_Instance _10eb29a477550000_p_Instance _80ed29a477550000_p_Instance _f0ef29a477550000_p_Instance _f0f229a477550000_p_Instance _b0f429a477550000_p_Instance _40f629a477550000_p_Instance _10f929a477550000_p_Instance _d0fa29a477550000_p_Instance _60fc29a477550000_p_Instance _30ff29a477550000_p_Instance _f0002aa477550000_p_Instance _80022aa477550000_p_Instance _50052aa477550000_p_Instance _10072aa477550000_p_Instance _a0082aa477550000_p_Instance _700b2aa477550000_p_Instance _300d2aa477550000_p_Instance _c00e2aa477550000_p_Instance _90112aa477550000_p_Instance _50132aa477550000_p_Instance _e0142aa477550000_p_Instance _b0172aa477550000_p_Instance _70192aa477550000_p_Instance _001b2aa477550000_p_Instance _d01d2aa477550000_p_Instance _901f2aa477550000_p_Instance _20212aa477550000_p_Instance _d0242aa477550000_p_Instance _40272aa477550000_p_Instance _b0292aa477550000_p_Instance _b02c2aa477550000_p_Instance _702e2aa477550000_p_Instance _00302aa477550000_p_Instance _d0322aa477550000_p_Instance _90342aa477550000_p_Instance _20362aa477550000_p_Instance _f0382aa477550000_p_Instance _b03a2aa477550000_p_Instance _403c2aa477550000_p_Instance _103f2aa477550000_p_Instance _d0402aa477550000_p_Instance _60422aa477550000_p_Instance _30452aa477550000_p_Instance _f0462aa477550000_p_Instance _80482aa477550000_p_Instance _504b2aa477550000_p_Instance _104d2aa477550000_p_Instance _a04e2aa477550000_p_Instance _70512aa477550000_p_Instance _30532aa477550000_p_Instance _c0542aa477550000_p_Instance _90572aa477550000_p_Instance _50592aa477550000_p_Instance _e05a2aa477550000_p_Instance _b05d2aa477550000_p_Instance _705f2aa477550000_p_Instance _00612aa477550000_p_Instance _d0632aa477550000_p_Instance _90652aa477550000_p_Instance _20672aa477550000_p_Instance _f0692aa477550000_p_Instance _b06b2aa477550000_p_Instance _406d2aa477550000_p_Instance _10702aa477550000_p_Instance _d0712aa477550000_p_Instance _60732aa477550000_p_Instance _30762aa477550000_p_Instance _f0772aa477550000_p_Instance _80792aa477550000_p_Instance _507c2aa477550000_p_Instance _107e2aa477550000_p_Instance _a07f2aa477550000_p_Instance _70822aa477550000_p_Instance _30842aa477550000_p_Instance _c0852aa477550000_p_Instance _e0872aa477550000_p_Instance _e0892aa477550000_p_Instance _b08b2aa477550000_p_Instance _808d2aa477550000_p_Instance _508f2aa477550000_p_Instance _a0902aa477550000_p_Instance _f0912aa477550000_p_Instance _40932aa477550000_p_Instance _90942aa477550000_p_Instance _e0952aa477550000_p_Instance _30972aa477550000_p_Instance _80982aa477550000_p_Instance _d0992aa477550000_p_Instance _809d2aa477550000_p_Instance _f09f2aa477550000_p_Instance _60a22aa477550000_p_Instance _40a62aa477550000_p_Instance _b0a82aa477550000_p_Instance _20ab2aa477550000_p_Instance _20ae2aa477550000_p_Instance _e0af2aa477550000_p_Instance _70b12aa477550000_p_Instance _40b42aa477550000_p_Instance _00b62aa477550000_p_Instance _90b72aa477550000_p_Instance _60ba2aa477550000_p_Instance _20bc2aa477550000_p_Instance _b0bd2aa477550000_p_Instance _80c02aa477550000_p_Instance _40c22aa477550000_p_Instance _d0c32aa477550000_p_Instance _a0c62aa477550000_p_Instance _60c82aa477550000_p_Instance _f0c92aa477550000_p_Instance _a0cd2aa477550000_p_Instance _10d02aa477550000_p_Instance _80d22aa477550000_p_Instance _80d52aa477550000_p_Instance _40d72aa477550000_p_Instance _d0d82aa477550000_p_Instance _a0db2aa477550000_p_Instance _60dd2aa477550000_p_Instance _f0de2aa477550000_p_Instance _c0e12aa477550000_p_Instance _80e32aa477550000_p_Instance _10e52aa477550000_p_Instance _e0e72aa477550000_p_Instance _a0e92aa477550000_p_Instance _30eb2aa477550000_p_Instance _00ee2aa477550000_p_Instance _c0ef2aa477550000_p_Instance _50f12aa477550000_p_Instance _20f42aa477550000_p_Instance _e0f52aa477550000_p_Instance _70f72aa477550000_p_Instance _40fa2aa477550000_p_Instance _00fc2aa477550000_p_Instance _90fd2aa477550000_p_Instance _60002ba477550000_p_Instance _20022ba477550000_p_Instance _b0032ba477550000_p_Instance _60072ba477550000_p_Instance _d0092ba477550000_p_Instance _400c2ba477550000_p_Instance _400f2ba477550000_p_Instance _00112ba477550000_p_Instance _90122ba477550000_p_Instance _60152ba477550000_p_Instance _20172ba477550000_p_Instance _b0182ba477550000_p_Instance _801b2ba477550000_p_Instance _401d2ba477550000_p_Instance _d01e2ba477550000_p_Instance _a0212ba477550000_p_Instance _60232ba477550000_p_Instance _f0242ba477550000_p_Instance _c0272ba477550000_p_Instance _80292ba477550000_p_Instance _102b2ba477550000_p_Instance _e02d2ba477550000_p_Instance _a02f2ba477550000_p_Instance _30312ba477550000_p_Instance _00342ba477550000_p_Instance _c0352ba477550000_p_Instance _50372ba477550000_p_Instance _203a2ba477550000_p_Instance _e03b2ba477550000_p_Instance _703d2ba477550000_p_Instance _40402ba477550000_p_Instance _00422ba477550000_p_Instance _90432ba477550000_p_Instance _60462ba477550000_p_Instance _20482ba477550000_p_Instance _b0492ba477550000_p_Instance _804c2ba477550000_p_Instance _404e2ba477550000_p_Instance _d04f2ba477550000_p_Instance _a0522ba477550000_p_Instance _60542ba477550000_p_Instance _f0552ba477550000_p_Instance _c0582ba477550000_p_Instance _805a2ba477550000_p_Instance _105c2ba477550000_p_Instance _e05e2ba477550000_p_Instance _a0602ba477550000_p_Instance _30622ba477550000_p_Instance _00652ba477550000_p_Instance _c0662ba477550000_p_Instance _50682ba477550000_p_Instance _706a2ba477550000_p_Instance _706c2ba477550000_p_Instance _406e2ba477550000_p_Instance _10702ba477550000_p_Instance _e0712ba477550000_p_Instance _30732ba477550000_p_Instance _80742ba477550000_p_Instance _d0752ba477550000_p_Instance _20772ba477550000_p_Instance _70782ba477550000_p_Instance _c0792ba477550000_p_Instance _107b2ba477550000_p_Instance _607c2ba477550000_p_Instance _10802ba477550000_p_Instance _80822ba477550000_p_Instance _f0842ba477550000_p_Instance _d0882ba477550000_p_Instance _408b2ba477550000_p_Instance _b08d2ba477550000_p_Instance _b0902ba477550000_p_Instance _70922ba477550000_p_Instance _00942ba477550000_p_Instance _d0962ba477550000_p_Instance _90982ba477550000_p_Instance _209a2ba477550000_p_Instance _f09c2ba477550000_p_Instance _b09e2ba477550000_p_Instance _40a02ba477550000_p_Instance _10a32ba477550000_p_Instance _d0a42ba477550000_p_Instance _60a62ba477550000_p_Instance _30a92ba477550000_p_Instance _f0aa2ba477550000_p_Instance _80ac2ba477550000_p_Instance _30b02ba477550000_p_Instance _a0b22ba477550000_p_Instance _10b52ba477550000_p_Instance _10b82ba477550000_p_Instance _d0b92ba477550000_p_Instance _60bb2ba477550000_p_Instance _30be2ba477550000_p_Instance _f0bf2ba477550000_p_Instance _80c12ba477550000_p_Instance _50c42ba477550000_p_Instance _10c62ba477550000_p_Instance _a0c72ba477550000_p_Instance _70ca2ba477550000_p_Instance _30cc2ba477550000_p_Instance _c0cd2ba477550000_p_Instance _90d02ba477550000_p_Instance _50d22ba477550000_p_Instance _e0d32ba477550000_p_Instance _b0d62ba477550000_p_Instance _70d82ba477550000_p_Instance _00da2ba477550000_p_Instance _d0dc2ba477550000_p_Instance _90de2ba477550000_p_Instance _20e02ba477550000_p_Instance _f0e22ba477550000_p_Instance _b0e42ba477550000_p_Instance _40e62ba477550000_p_Instance _f0e92ba477550000_p_Instance _60ec2ba477550000_p_Instance _d0ee2ba477550000_p_Instance _d0f12ba477550000_p_Instance _90f32ba477550000_p_Instance _20f52ba477550000_p_Instance _f0f72ba477550000_p_Instance _b0f92ba477550000_p_Instance _40fb2ba477550000_p_Instance _10fe2ba477550000_p_Instance _d0ff2ba477550000_p_Instance _60012ca477550000_p_Instance _30042ca477550000_p_Instance _f0052ca477550000_p_Instance _80072ca477550000_p_Instance _500a2ca477550000_p_Instance _100c2ca477550000_p_Instance _a00d2ca477550000_p_Instance _70102ca477550000_p_Instance _30122ca477550000_p_Instance _c0132ca477550000_p_Instance _90162ca477550000_p_Instance _50182ca477550000_p_Instance _e0192ca477550000_p_Instance _b01c2ca477550000_p_Instance _701e2ca477550000_p_Instance _00202ca477550000_p_Instance _d0222ca477550000_p_Instance _90242ca477550000_p_Instance _20262ca477550000_p_Instance _f0282ca477550000_p_Instance _b02a2ca477550000_p_Instance _402c2ca477550000_p_Instance _102f2ca477550000_p_Instance _d0302ca477550000_p_Instance _60322ca477550000_p_Instance _30352ca477550000_p_Instance _f0362ca477550000_p_Instance _80382ca477550000_p_Instance _503b2ca477550000_p_Instance _103d2ca477550000_p_Instance _a03e2ca477550000_p_Instance _70412ca477550000_p_Instance _30432ca477550000_p_Instance _c0442ca477550000_p_Instance _90472ca477550000_p_Instance _50492ca477550000_p_Instance _e04a2ca477550000_p_Instance _004d2ca477550000_p_Instance _004f2ca477550000_p_Instance _d0502ca477550000_p_Instance _a0522ca477550000_p_Instance _70542ca477550000_p_Instance _c0552ca477550000_p_Instance _10572ca477550000_p_Instance _60582ca477550000_p_Instance _b0592ca477550000_p_Instance _005b2ca477550000_p_Instance _505c2ca477550000_p_Instance _a05d2ca477550000_p_Instance _f05e2ca477550000_p_Instance _a0622ca477550000_p_Instance _10652ca477550000_p_Instance _80672ca477550000_p_Instance _606b2ca477550000_p_Instance _d06d2ca477550000_p_Instance _40702ca477550000_p_Instance _40732ca477550000_p_Instance _00752ca477550000_p_Instance _90762ca477550000_p_Instance _60792ca477550000_p_Instance _207b2ca477550000_p_Instance _b07c2ca477550000_p_Instance _807f2ca477550000_p_Instance _40812ca477550000_p_Instance _d0822ca477550000_p_Instance _a0852ca477550000_p_Instance _60872ca477550000_p_Instance _f0882ca477550000_p_Instance _c08b2ca477550000_p_Instance _808d2ca477550000_p_Instance _108f2ca477550000_p_Instance _c0922ca477550000_p_Instance _30952ca477550000_p_Instance _a0972ca477550000_p_Instance _a09a2ca477550000_p_Instance _609c2ca477550000_p_Instance _f09d2ca477550000_p_Instance _c0a02ca477550000_p_Instance _80a22ca477550000_p_Instance _10a42ca477550000_p_Instance _e0a62ca477550000_p_Instance _a0a82ca477550000_p_Instance _30aa2ca477550000_p_Instance _00ad2ca477550000_p_Instance _c0ae2ca477550000_p_Instance _50b02ca477550000_p_Instance _20b32ca477550000_p_Instance _e0b42ca477550000_p_Instance _70b62ca477550000_p_Instance _40b92ca477550000_p_Instance _00bb2ca477550000_p_Instance _90bc2ca477550000_p_Instance _60bf2ca477550000_p_Instance _20c12ca477550000_p_Instance _b0c22ca477550000_p_Instance _80c52ca477550000_p_Instance _40c72ca477550000_p_Instance _d0c82ca477550000_p_Instance _80cc2ca477550000_p_Instance _f0ce2ca477550000_p_Instance _60d12ca477550000_p_Instance _60d42ca477550000_p_Instance _20d62ca477550000_p_Instance _b0d72ca477550000_p_Instance _80da2ca477550000_p_Instance _40dc2ca477550000_p_Instance _d0dd2ca477550000_p_Instance _a0e02ca477550000_p_Instance _60e22ca477550000_p_Instance _f0e32ca477550000_p_Instance _c0e62ca477550000_p_Instance _80e82ca477550000_p_Instance _10ea2ca477550000_p_Instance _e0ec2ca477550000_p_Instance _a0ee2ca477550000_p_Instance _30f02ca477550000_p_Instance _00f32ca477550000_p_Instance _c0f42ca477550000_p_Instance _50f62ca477550000_p_Instance _20f92ca477550000_p_Instance _e0fa2ca477550000_p_Instance _70fc2ca477550000_p_Instance _40ff2ca477550000_p_Instance _00012da477550000_p_Instance _90022da477550000_p_Instance _60052da477550000_p_Instance _20072da477550000_p_Instance _b0082da477550000_p_Instance _800b2da477550000_p_Instance _400d2da477550000_p_Instance _d00e2da477550000_p_Instance _a0112da477550000_p_Instance _60132da477550000_p_Instance _f0142da477550000_p_Instance _c0172da477550000_p_Instance _80192da477550000_p_Instance _101b2da477550000_p_Instance _e01d2da477550000_p_Instance _a01f2da477550000_p_Instance _30212da477550000_p_Instance _00242da477550000_p_Instance _c0252da477550000_p_Instance _50272da477550000_p_Instance _202a2da477550000_p_Instance _e02b2da477550000_p_Instance _702d2da477550000_p_Instance _902f2da477550000_p_Instance _90312da477550000_p_Instance _60332da477550000_p_Instance _30352da477550000_p_Instance _00372da477550000_p_Instance _50382da477550000_p_Instance _a0392da477550000_p_Instance _f03a2da477550000_p_Instance _403c2da477550000_p_Instance _903d2da477550000_p_Instance _e03e2da477550000_p_Instance _30402da477550000_p_Instance _80412da477550000_p_Instance _30452da477550000_p_Instance _a0472da477550000_p_Instance _104a2da477550000_p_Instance _f04d2da477550000_p_Instance _60502da477550000_p_Instance _d0522da477550000_p_Instance _d0552da477550000_p_Instance _90572da477550000_p_Instance _20592da477550000_p_Instance _f05b2da477550000_p_Instance _b05d2da477550000_p_Instance _405f2da477550000_p_Instance _10622da477550000_p_Instance _d0632da477550000_p_Instance _60652da477550000_p_Instance _30682da477550000_p_Instance _f0692da477550000_p_Instance _806b2da477550000_p_Instance _506e2da477550000_p_Instance _10702da477550000_p_Instance _a0712da477550000_p_Instance _50752da477550000_p_Instance _c0772da477550000_p_Instance _307a2da477550000_p_Instance _307d2da477550000_p_Instance _f07e2da477550000_p_Instance _80802da477550000_p_Instance _50832da477550000_p_Instance _10852da477550000_p_Instance _a0862da477550000_p_Instance _70892da477550000_p_Instance _308b2da477550000_p_Instance _c08c2da477550000_p_Instance _908f2da477550000_p_Instance _50912da477550000_p_Instance _e0922da477550000_p_Instance _b0952da477550000_p_Instance _70972da477550000_p_Instance _00992da477550000_p_Instance _d09b2da477550000_p_Instance _909d2da477550000_p_Instance _209f2da477550000_p_Instance _f0a12da477550000_p_Instance _b0a32da477550000_p_Instance _40a52da477550000_p_Instance _10a82da477550000_p_Instance _d0a92da477550000_p_Instance _60ab2da477550000_p_Instance _10af2da477550000_p_Instance _80b12da477550000_p_Instance _f0b32da477550000_p_Instance _f0b62da477550000_p_Instance _b0b82da477550000_p_Instance _40ba2da477550000_p_Instance _10bd2da477550000_p_Instance _d0be2da477550000_p_Instance _60c02da477550000_p_Instance _30c32da477550000_p_Instance _f0c42da477550000_p_Instance _80c62da477550000_p_Instance _50c92da477550000_p_Instance _10cb2da477550000_p_Instance _a0cc2da477550000_p_Instance _70cf2da477550000_p_Instance _30d12da477550000_p_Instance _c0d22da477550000_p_Instance _90d52da477550000_p_Instance _50d72da477550000_p_Instance _e0d82da477550000_p_Instance _b0db2da477550000_p_Instance _70dd2da477550000_p_Instance _00df2da477550000_p_Instance _d0e12da477550000_p_Instance _90e32da477550000_p_Instance _20e52da477550000_p_Instance _f0e72da477550000_p_Instance _b0e92da477550000_p_Instance _40eb2da477550000_p_Instance _10ee2da477550000_p_Instance _d0ef2da477550000_p_Instance _60f12da477550000_p_Instance _30f42da477550000_p_Instance _f0f52da477550000_p_Instance _80f72da477550000_p_Instance _50fa2da477550000_p_Instance _10fc2da477550000_p_Instance _a0fd2da477550000_p_Instance _70002ea477550000_p_Instance _30022ea477550000_p_Instance _c0032ea477550000_p_Instance _90062ea477550000_p_Instance _50082ea477550000_p_Instance _e0092ea477550000_p_Instance _b00c2ea477550000_p_Instance _700e2ea477550000_p_Instance _00102ea477550000_p_Instance _20122ea477550000_p_Instance _20142ea477550000_p_Instance _f0152ea477550000_p_Instance _c0172ea477550000_p_Instance _90192ea477550000_p_Instance _e01a2ea477550000_p_Instance _301c2ea477550000_p_Instance _801d2ea477550000_p_Instance _d01e2ea477550000_p_Instance _20202ea477550000_p_Instance _70212ea477550000_p_Instance _c0222ea477550000_p_Instance _10242ea477550000_p_Instance _c0272ea477550000_p_Instance _302a2ea477550000_p_Instance _a02c2ea477550000_p_Instance _80302ea477550000_p_Instance _f0322ea477550000_p_Instance _60352ea477550000_p_Instance _60382ea477550000_p_Instance _203a2ea477550000_p_Instance _b03b2ea477550000_p_Instance _803e2ea477550000_p_Instance _40402ea477550000_p_Instance _d0412ea477550000_p_Instance _a0442ea477550000_p_Instance _60462ea477550000_p_Instance _f0472ea477550000_p_Instance _c04a2ea477550000_p_Instance _804c2ea477550000_p_Instance _104e2ea477550000_p_Instance _e0502ea477550000_p_Instance _a0522ea477550000_p_Instance _30542ea477550000_p_Instance _e0572ea477550000_p_Instance _505a2ea477550000_p_Instance _c05c2ea477550000_p_Instance _c05f2ea477550000_p_Instance _80612ea477550000_p_Instance _10632ea477550000_p_Instance _e0652ea477550000_p_Instance _a0672ea477550000_p_Instance _30692ea477550000_p_Instance _006c2ea477550000_p_Instance _c06d2ea477550000_p_Instance _506f2ea477550000_p_Instance _20722ea477550000_p_Instance _e0732ea477550000_p_Instance _70752ea477550000_p_Instance _40782ea477550000_p_Instance _007a2ea477550000_p_Instance _907b2ea477550000_p_Instance _607e2ea477550000_p_Instance _20802ea477550000_p_Instance _b0812ea477550000_p_Instance _80842ea477550000_p_Instance _40862ea477550000_p_Instance _d0872ea477550000_p_Instance _a08a2ea477550000_p_Instance _608c2ea477550000_p_Instance _f08d2ea477550000_p_Instance _a0912ea477550000_p_Instance _10942ea477550000_p_Instance _80962ea477550000_p_Instance _80992ea477550000_p_Instance _409b2ea477550000_p_Instance _d09c2ea477550000_p_Instance _a09f2ea477550000_p_Instance _60a12ea477550000_p_Instance _f0a22ea477550000_p_Instance _c0a52ea477550000_p_Instance _80a72ea477550000_p_Instance _10a92ea477550000_p_Instance _e0ab2ea477550000_p_Instance _a0ad2ea477550000_p_Instance _30af2ea477550000_p_Instance _00b22ea477550000_p_Instance _c0b32ea477550000_p_Instance _50b52ea477550000_p_Instance _20b82ea477550000_p_Instance _e0b92ea477550000_p_Instance _70bb2ea477550000_p_Instance _40be2ea477550000_p_Instance _00c02ea477550000_p_Instance _90c12ea477550000_p_Instance _60c42ea477550000_p_Instance _20c62ea477550000_p_Instance _b0c72ea477550000_p_Instance _80ca2ea477550000_p_Instance _40cc2ea477550000_p_Instance _d0cd2ea477550000_p_Instance _a0d02ea477550000_p_Instance _60d22ea477550000_p_Instance _f0d32ea477550000_p_Instance _c0d62ea477550000_p_Instance _80d82ea477550000_p_Instance _10da2ea477550000_p_Instance _e0dc2ea477550000_p_Instance _a0de2ea477550000_p_Instance _30e02ea477550000_p_Instance _00e32ea477550000_p_Instance _c0e42ea477550000_p_Instance _50e62ea477550000_p_Instance _20e92ea477550000_p_Instance _e0ea2ea477550000_p_Instance _70ec2ea477550000_p_Instance _40ef2ea477550000_p_Instance _00f12ea477550000_p_Instance _90f22ea477550000_p_Instance _b0f42ea477550000_p_Instance _b0f62ea477550000_p_Instance _80f82ea477550000_p_Instance _50fa2ea477550000_p_Instance _20fc2ea477550000_p_Instance _70fd2ea477550000_p_Instance _c0fe2ea477550000_p_Instance _10002fa477550000_p_Instance _60012fa477550000_p_Instance _b0022fa477550000_p_Instance _00042fa477550000_p_Instance _50052fa477550000_p_Instance _a0062fa477550000_p_Instance _500a2fa477550000_p_Instance _c00c2fa477550000_p_Instance _300f2fa477550000_p_Instance _10132fa477550000_p_Instance _80152fa477550000_p_Instance _f0172fa477550000_p_Instance _f01a2fa477550000_p_Instance _b01c2fa477550000_p_Instance _401e2fa477550000_p_Instance _10212fa477550000_p_Instance _d0222fa477550000_p_Instance _60242fa477550000_p_Instance _30272fa477550000_p_Instance _f0282fa477550000_p_Instance _802a2fa477550000_p_Instance _502d2fa477550000_p_Instance _102f2fa477550000_p_Instance _a0302fa477550000_p_Instance _70332fa477550000_p_Instance _30352fa477550000_p_Instance _c0362fa477550000_p_Instance _703a2fa477550000_p_Instance _e03c2fa477550000_p_Instance _503f2fa477550000_p_Instance _50422fa477550000_p_Instance _10442fa477550000_p_Instance _a0452fa477550000_p_Instance _70482fa477550000_p_Instance _304a2fa477550000_p_Instance _c04b2fa477550000_p_Instance _904e2fa477550000_p_Instance _50502fa477550000_p_Instance _e0512fa477550000_p_Instance _b0542fa477550000_p_Instance _70562fa477550000_p_Instance _00582fa477550000_p_Instance _d05a2fa477550000_p_Instance _905c2fa477550000_p_Instance _205e2fa477550000_p_Instance _f0602fa477550000_p_Instance _b0622fa477550000_p_Instance _40642fa477550000_p_Instance _10672fa477550000_p_Instance _d0682fa477550000_p_Instance _606a2fa477550000_p_Instance _306d2fa477550000_p_Instance _f06e2fa477550000_p_Instance _80702fa477550000_p_Instance _30742fa477550000_p_Instance _a0762fa477550000_p_Instance _10792fa477550000_p_Instance _107c2fa477550000_p_Instance _d07d2fa477550000_p_Instance _607f2fa477550000_p_Instance _30822fa477550000_p_Instance _f0832fa477550000_p_Instance _80852fa477550000_p_Instance _50882fa477550000_p_Instance _108a2fa477550000_p_Instance _a08b2fa477550000_p_Instance _708e2fa477550000_p_Instance _30902fa477550000_p_Instance _c0912fa477550000_p_Instance _90942fa477550000_p_Instance _50962fa477550000_p_Instance _e0972fa477550000_p_Instance _b09a2fa477550000_p_Instance _709c2fa477550000_p_Instance _009e2fa477550000_p_Instance _d0a02fa477550000_p_Instance _90a22fa477550000_p_Instance _20a42fa477550000_p_Instance _f0a62fa477550000_p_Instance _b0a82fa477550000_p_Instance _40aa2fa477550000_p_Instance _10ad2fa477550000_p_Instance _d0ae2fa477550000_p_Instance _60b02fa477550000_p_Instance _30b32fa477550000_p_Instance _f0b42fa477550000_p_Instance _80b62fa477550000_p_Instance _50b92fa477550000_p_Instance _10bb2fa477550000_p_Instance _a0bc2fa477550000_p_Instance _70bf2fa477550000_p_Instance _30c12fa477550000_p_Instance _c0c22fa477550000_p_Instance _90c52fa477550000_p_Instance _50c72fa477550000_p_Instance _e0c82fa477550000_p_Instance _b0cb2fa477550000_p_Instance _70cd2fa477550000_p_Instance _00cf2fa477550000_p_Instance _d0d12fa477550000_p_Instance _90d32fa477550000_p_Instance _20d52fa477550000_p_Instance _40d72fa477550000_p_Instance _40d92fa477550000_p_Instance _10db2fa477550000_p_Instance _e0dc2fa477550000_p_Instance _b0de2fa477550000_p_Instance _00e02fa477550000_p_Instance _50e12fa477550000_p_Instance _a0e22fa477550000_p_Instance _f0e32fa477550000_p_Instance _40e52fa477550000_p_Instance _90e62fa477550000_p_Instance _e0e72fa477550000_p_Instance _30e92fa477550000_p_Instance _e0ec2fa477550000_p_Instance _50ef2fa477550000_p_Instance _c0f12fa477550000_p_Instance _a0f52fa477550000_p_Instance _10f82fa477550000_p_Instance _80fa2fa477550000_p_Instance _80fd2fa477550000_p_Instance _40ff2fa477550000_p_Instance _d00030a477550000_p_Instance _a00330a477550000_p_Instance _600530a477550000_p_Instance _f00630a477550000_p_Instance _c00930a477550000_p_Instance _800b30a477550000_p_Instance _100d30a477550000_p_Instance _e00f30a477550000_p_Instance _a01130a477550000_p_Instance _301330a477550000_p_Instance _001630a477550000_p_Instance _c01730a477550000_p_Instance _501930a477550000_p_Instance _001d30a477550000_p_Instance _701f30a477550000_p_Instance _e02130a477550000_p_Instance _e02430a477550000_p_Instance _a02630a477550000_p_Instance _302830a477550000_p_Instance _002b30a477550000_p_Instance _c02c30a477550000_p_Instance _502e30a477550000_p_Instance _203130a477550000_p_Instance _e03230a477550000_p_Instance _703430a477550000_p_Instance _403730a477550000_p_Instance _003930a477550000_p_Instance _903a30a477550000_p_Instance _603d30a477550000_p_Instance _203f30a477550000_p_Instance _b04030a477550000_p_Instance _804330a477550000_p_Instance _404530a477550000_p_Instance _d04630a477550000_p_Instance _a04930a477550000_p_Instance _604b30a477550000_p_Instance _f04c30a477550000_p_Instance _c04f30a477550000_p_Instance _805130a477550000_p_Instance _105330a477550000_p_Instance _c05630a477550000_p_Instance _305930a477550000_p_Instance _a05b30a477550000_p_Instance _a05e30a477550000_p_Instance _606030a477550000_p_Instance _f06130a477550000_p_Instance _c06430a477550000_p_Instance _806630a477550000_p_Instance _106830a477550000_p_Instance _e06a30a477550000_p_Instance _a06c30a477550000_p_Instance _306e30a477550000_p_Instance _007130a477550000_p_Instance _c07230a477550000_p_Instance _507430a477550000_p_Instance _207730a477550000_p_Instance _e07830a477550000_p_Instance _707a30a477550000_p_Instance _407d30a477550000_p_Instance _007f30a477550000_p_Instance _908030a477550000_p_Instance _608330a477550000_p_Instance _208530a477550000_p_Instance _b08630a477550000_p_Instance _808930a477550000_p_Instance _408b30a477550000_p_Instance _d08c30a477550000_p_Instance _a08f30a477550000_p_Instance _609130a477550000_p_Instance _f09230a477550000_p_Instance _c09530a477550000_p_Instance _809730a477550000_p_Instance _109930a477550000_p_Instance _e09b30a477550000_p_Instance _a09d30a477550000_p_Instance _309f30a477550000_p_Instance _00a230a477550000_p_Instance _c0a330a477550000_p_Instance _50a530a477550000_p_Instance _20a830a477550000_p_Instance _e0a930a477550000_p_Instance _70ab30a477550000_p_Instance _40ae30a477550000_p_Instance _00b030a477550000_p_Instance _90b130a477550000_p_Instance _60b430a477550000_p_Instance _20b630a477550000_p_Instance _b0b730a477550000_p_Instance _d0b930a477550000_p_Instance _d0bb30a477550000_p_Instance _a0bd30a477550000_p_Instance _70bf30a477550000_p_Instance _40c130a477550000_p_Instance _90c230a477550000_p_Instance _e0c330a477550000_p_Instance _30c530a477550000_p_Instance _80c630a477550000_p_Instance _d0c730a477550000_p_Instance _20c930a477550000_p_Instance _70ca30a477550000_p_Instance _c0cb30a477550000_p_Instance _70cf30a477550000_p_Instance _e0d130a477550000_p_Instance _50d430a477550000_p_Instance _30d830a477550000_p_Instance _a0da30a477550000_p_Instance _10dd30a477550000_p_Instance _10e030a477550000_p_Instance _d0e130a477550000_p_Instance _60e330a477550000_p_Instance _30e630a477550000_p_Instance _f0e730a477550000_p_Instance _80e930a477550000_p_Instance _50ec30a477550000_p_Instance _10ee30a477550000_p_Instance _a0ef30a477550000_p_Instance _70f230a477550000_p_Instance _30f430a477550000_p_Instance _c0f530a477550000_p_Instance _90f830a477550000_p_Instance _50fa30a477550000_p_Instance _e0fb30a477550000_p_Instance _90ff30a477550000_p_Instance _000231a477550000_p_Instance _700431a477550000_p_Instance _700731a477550000_p_Instance _300931a477550000_p_Instance _c00a31a477550000_p_Instance _900d31a477550000_p_Instance _500f31a477550000_p_Instance _e01031a477550000_p_Instance _b01331a477550000_p_Instance _701531a477550000_p_Instance _001731a477550000_p_Instance _d01931a477550000_p_Instance _901b31a477550000_p_Instance _201d31a477550000_p_Instance _f01f31a477550000_p_Instance _b02131a477550000_p_Instance _402331a477550000_p_Instance _102631a477550000_p_Instance _d02731a477550000_p_Instance _602931a477550000_p_Instance _302c31a477550000_p_Instance _f02d31a477550000_p_Instance _802f31a477550000_p_Instance _503231a477550000_p_Instance _103431a477550000_p_Instance _a03531a477550000_p_Instance _503931a477550000_p_Instance _c03b31a477550000_p_Instance _303e31a477550000_p_Instance _304131a477550000_p_Instance _f04231a477550000_p_Instance _804431a477550000_p_Instance _504731a477550000_p_Instance _104931a477550000_p_Instance _a04a31a477550000_p_Instance _704d31a477550000_p_Instance _304f31a477550000_p_Instance _c05031a477550000_p_Instance _905331a477550000_p_Instance _505531a477550000_p_Instance _e05631a477550000_p_Instance _b05931a477550000_p_Instance _705b31a477550000_p_Instance _005d31a477550000_p_Instance _d05f31a477550000_p_Instance _906131a477550000_p_Instance _206331a477550000_p_Instance _f06531a477550000_p_Instance _b06731a477550000_p_Instance _406931a477550000_p_Instance _106c31a477550000_p_Instance _d06d31a477550000_p_Instance _606f31a477550000_p_Instance _307231a477550000_p_Instance _f07331a477550000_p_Instance _807531a477550000_p_Instance _507831a477550000_p_Instance _107a31a477550000_p_Instance _a07b31a477550000_p_Instance _707e31a477550000_p_Instance _308031a477550000_p_Instance _c08131a477550000_p_Instance _908431a477550000_p_Instance _508631a477550000_p_Instance _e08731a477550000_p_Instance _b08a31a477550000_p_Instance _708c31a477550000_p_Instance _008e31a477550000_p_Instance _d09031a477550000_p_Instance _909231a477550000_p_Instance _209431a477550000_p_Instance _f09631a477550000_p_Instance _b09831a477550000_p_Instance _409a31a477550000_p_Instance _609c31a477550000_p_Instance _609e31a477550000_p_Instance _30a031a477550000_p_Instance _00a231a477550000_p_Instance _d0a331a477550000_p_Instance _20a531a477550000_p_Instance _70a631a477550000_p_Instance _c0a731a477550000_p_Instance _10a931a477550000_p_Instance _60aa31a477550000_p_Instance _b0ab31a477550000_p_Instance _00ad31a477550000_p_Instance _50ae31a477550000_p_Instance _00b231a477550000_p_Instance _70b431a477550000_p_Instance _e0b631a477550000_p_Instance _c0ba31a477550000_p_Instance _30bd31a477550000_p_Instance _a0bf31a477550000_p_Instance _a0c231a477550000_p_Instance _60c431a477550000_p_Instance _f0c531a477550000_p_Instance _c0c831a477550000_p_Instance _80ca31a477550000_p_Instance _10cc31a477550000_p_Instance _e0ce31a477550000_p_Instance _a0d031a477550000_p_Instance _30d231a477550000_p_Instance _00d531a477550000_p_Instance _c0d631a477550000_p_Instance _50d831a477550000_p_Instance _20db31a477550000_p_Instance _e0dc31a477550000_p_Instance _70de31a477550000_p_Instance _20e231a477550000_p_Instance _90e431a477550000_p_Instance _00e731a477550000_p_Instance _00ea31a477550000_p_Instance _c0eb31a477550000_p_Instance _50ed31a477550000_p_Instance _20f031a477550000_p_Instance _e0f131a477550000_p_Instance _70f331a477550000_p_Instance _40f631a477550000_p_Instance _00f831a477550000_p_Instance _90f931a477550000_p_Instance _60fc31a477550000_p_Instance _20fe31a477550000_p_Instance _b0ff31a477550000_p_Instance _800232a477550000_p_Instance _400432a477550000_p_Instance _d00532a477550000_p_Instance _a00832a477550000_p_Instance _600a32a477550000_p_Instance _f00b32a477550000_p_Instance _c00e32a477550000_p_Instance _801032a477550000_p_Instance _101232a477550000_p_Instance _e01432a477550000_p_Instance _a01632a477550000_p_Instance _301832a477550000_p_Instance _e01b32a477550000_p_Instance _501e32a477550000_p_Instance _c02032a477550000_p_Instance _c02332a477550000_p_Instance _802532a477550000_p_Instance _102732a477550000_p_Instance _e02932a477550000_p_Instance _a02b32a477550000_p_Instance _302d32a477550000_p_Instance _003032a477550000_p_Instance _c03132a477550000_p_Instance _503332a477550000_p_Instance _203632a477550000_p_Instance _e03732a477550000_p_Instance _703932a477550000_p_Instance _403c32a477550000_p_Instance _003e32a477550000_p_Instance _903f32a477550000_p_Instance _604232a477550000_p_Instance _204432a477550000_p_Instance _b04532a477550000_p_Instance _804832a477550000_p_Instance _404a32a477550000_p_Instance _d04b32a477550000_p_Instance _a04e32a477550000_p_Instance _605032a477550000_p_Instance _f05132a477550000_p_Instance _c05432a477550000_p_Instance _805632a477550000_p_Instance _105832a477550000_p_Instance _e05a32a477550000_p_Instance _a05c32a477550000_p_Instance _305e32a477550000_p_Instance _006132a477550000_p_Instance _c06232a477550000_p_Instance _506432a477550000_p_Instance _206732a477550000_p_Instance _e06832a477550000_p_Instance _706a32a477550000_p_Instance _406d32a477550000_p_Instance _006f32a477550000_p_Instance _907032a477550000_p_Instance _607332a477550000_p_Instance _207532a477550000_p_Instance _b07632a477550000_p_Instance _807932a477550000_p_Instance _407b32a477550000_p_Instance _d07c32a477550000_p_Instance _f07e32a477550000_p_Instance _f08032a477550000_p_Instance _c08232a477550000_p_Instance _908432a477550000_p_Instance _608632a477550000_p_Instance _b08732a477550000_p_Instance _008932a477550000_p_Instance _508a32a477550000_p_Instance _a08b32a477550000_p_Instance _f08c32a477550000_p_Instance _408e32a477550000_p_Instance _908f32a477550000_p_Instance _e09032a477550000_p_Instance _809432a477550000_p_Instance _f09632a477550000_p_Instance _609932a477550000_p_Instance _309d32a477550000_p_Instance _a09f32a477550000_p_Instance _10a232a477550000_p_Instance _10a532a477550000_p_Instance _d0a632a477550000_p_Instance _60a832a477550000_p_Instance _30ab32a477550000_p_Instance _f0ac32a477550000_p_Instance _80ae32a477550000_p_Instance _50b132a477550000_p_Instance _10b332a477550000_p_Instance _a0b432a477550000_p_Instance _70b732a477550000_p_Instance _30b932a477550000_p_Instance _c0ba32a477550000_p_Instance _90bd32a477550000_p_Instance _50bf32a477550000_p_Instance _e0c032a477550000_p_Instance _80c432a477550000_p_Instance _f0c632a477550000_p_Instance _60c932a477550000_p_Instance _60cc32a477550000_p_Instance _20ce32a477550000_p_Instance _b0cf32a477550000_p_Instance _80d232a477550000_p_Instance _40d432a477550000_p_Instance _d0d532a477550000_p_Instance _a0d832a477550000_p_Instance _60da32a477550000_p_Instance _f0db32a477550000_p_Instance _c0de32a477550000_p_Instance _80e032a477550000_p_Instance _10e232a477550000_p_Instance _e0e432a477550000_p_Instance _a0e632a477550000_p_Instance _30e832a477550000_p_Instance _00eb32a477550000_p_Instance _c0ec32a477550000_p_Instance _50ee32a477550000_p_Instance _20f132a477550000_p_Instance _e0f232a477550000_p_Instance _70f432a477550000_p_Instance _40f732a477550000_p_Instance _00f932a477550000_p_Instance _90fa32a477550000_p_Instance _30fe32a477550000_p_Instance _a00033a477550000_p_Instance _100333a477550000_p_Instance _100633a477550000_p_Instance _d00733a477550000_p_Instance _600933a477550000_p_Instance _300c33a477550000_p_Instance _f00d33a477550000_p_Instance _800f33a477550000_p_Instance _501233a477550000_p_Instance _101433a477550000_p_Instance _a01533a477550000_p_Instance _701833a477550000_p_Instance _301a33a477550000_p_Instance _c01b33a477550000_p_Instance _901e33a477550000_p_Instance _502033a477550000_p_Instance _e02133a477550000_p_Instance _b02433a477550000_p_Instance _702633a477550000_p_Instance _002833a477550000_p_Instance _d02a33a477550000_p_Instance _902c33a477550000_p_Instance _202e33a477550000_p_Instance _f03033a477550000_p_Instance _b03233a477550000_p_Instance _403433a477550000_p_Instance _103733a477550000_p_Instance _d03833a477550000_p_Instance _603a33a477550000_p_Instance _303d33a477550000_p_Instance _f03e33a477550000_p_Instance _804033a477550000_p_Instance _504333a477550000_p_Instance _104533a477550000_p_Instance _a04633a477550000_p_Instance _704933a477550000_p_Instance _304b33a477550000_p_Instance _c04c33a477550000_p_Instance _904f33a477550000_p_Instance _505133a477550000_p_Instance _e05233a477550000_p_Instance _b05533a477550000_p_Instance _705733a477550000_p_Instance _005933a477550000_p_Instance _d05b33a477550000_p_Instance _905d33a477550000_p_Instance _205f33a477550000_p_Instance _406133a477550000_p_Instance _406333a477550000_p_Instance _106533a477550000_p_Instance _e06633a477550000_p_Instance _b06833a477550000_p_Instance _f06933a477550000_p_Instance _306b33a477550000_p_Instance _706c33a477550000_p_Instance _b06d33a477550000_p_Instance _f06e33a477550000_p_Instance _307033a477550000_p_Instance _707133a477550000_p_Instance _b07233a477550000_p_Instance _607633a477550000_p_Instance _d07833a477550000_p_Instance _407b33a477550000_p_Instance _207f33a477550000_p_Instance _908133a477550000_p_Instance _008433a477550000_p_Instance _008733a477550000_p_Instance _c08833a477550000_p_Instance _508a33a477550000_p_Instance _208d33a477550000_p_Instance _e08e33a477550000_p_Instance _709033a477550000_p_Instance _409333a477550000_p_Instance _009533a477550000_p_Instance _909633a477550000_p_Instance _609933a477550000_p_Instance _209b33a477550000_p_Instance _b09c33a477550000_p_Instance _809f33a477550000_p_Instance _40a133a477550000_p_Instance _d0a233a477550000_p_Instance _80a633a477550000_p_Instance _f0a833a477550000_p_Instance _60ab33a477550000_p_Instance _60ae33a477550000_p_Instance _20b033a477550000_p_Instance _b0b133a477550000_p_Instance _80b433a477550000_p_Instance _40b633a477550000_p_Instance _d0b733a477550000_p_Instance _a0ba33a477550000_p_Instance _60bc33a477550000_p_Instance _f0bd33a477550000_p_Instance _c0c033a477550000_p_Instance _80c233a477550000_p_Instance _10c433a477550000_p_Instance _e0c633a477550000_p_Instance _a0c833a477550000_p_Instance _30ca33a477550000_p_Instance _00cd33a477550000_p_Instance _c0ce33a477550000_p_Instance _50d033a477550000_p_Instance _20d333a477550000_p_Instance _e0d433a477550000_p_Instance _70d633a477550000_p_Instance _40d933a477550000_p_Instance _00db33a477550000_p_Instance _90dc33a477550000_p_Instance _40e033a477550000_p_Instance _b0e233a477550000_p_Instance _20e533a477550000_p_Instance _20e833a477550000_p_Instance _e0e933a477550000_p_Instance _70eb33a477550000_p_Instance _40ee33a477550000_p_Instance _00f033a477550000_p_Instance _90f133a477550000_p_Instance _60f433a477550000_p_Instance _20f633a477550000_p_Instance _b0f733a477550000_p_Instance _80fa33a477550000_p_Instance _40fc33a477550000_p_Instance _d0fd33a477550000_p_Instance _a00034a477550000_p_Instance _600234a477550000_p_Instance _f00334a477550000_p_Instance _c00634a477550000_p_Instance _800834a477550000_p_Instance _100a34a477550000_p_Instance _e00c34a477550000_p_Instance _a00e34a477550000_p_Instance _301034a477550000_p_Instance _001334a477550000_p_Instance _c01434a477550000_p_Instance _501634a477550000_p_Instance _201934a477550000_p_Instance _e01a34a477550000_p_Instance _701c34a477550000_p_Instance _401f34a477550000_p_Instance _002134a477550000_p_Instance _902234a477550000_p_Instance _602534a477550000_p_Instance _202734a477550000_p_Instance _b02834a477550000_p_Instance _802b34a477550000_p_Instance _402d34a477550000_p_Instance _d02e34a477550000_p_Instance _a03134a477550000_p_Instance _603334a477550000_p_Instance _f03434a477550000_p_Instance _c03734a477550000_p_Instance _803934a477550000_p_Instance _103b34a477550000_p_Instance _e03d34a477550000_p_Instance _a03f34a477550000_p_Instance _304134a477550000_p_Instance _504334a477550000_p_Instance _504534a477550000_p_Instance _204734a477550000_p_Instance _f04834a477550000_p_Instance _c04a34a477550000_p_Instance _104c34a477550000_p_Instance _604d34a477550000_p_Instance _b04e34a477550000_p_Instance _005034a477550000_p_Instance _505134a477550000_p_Instance _a05234a477550000_p_Instance _f05334a477550000_p_Instance _405534a477550000_p_Instance _f05834a477550000_p_Instance _605b34a477550000_p_Instance _d05d34a477550000_p_Instance _b06134a477550000_p_Instance _206434a477550000_p_Instance _906634a477550000_p_Instance _906934a477550000_p_Instance _506b34a477550000_p_Instance _e06c34a477550000_p_Instance _b06f34a477550000_p_Instance _707134a477550000_p_Instance _007334a477550000_p_Instance _d07534a477550000_p_Instance _907734a477550000_p_Instance _207934a477550000_p_Instance _f07b34a477550000_p_Instance _b07d34a477550000_p_Instance _407f34a477550000_p_Instance _108234a477550000_p_Instance _d08334a477550000_p_Instance _608534a477550000_p_Instance _108934a477550000_p_Instance _808b34a477550000_p_Instance _f08d34a477550000_p_Instance _f09034a477550000_p_Instance _b09234a477550000_p_Instance _409434a477550000_p_Instance _109734a477550000_p_Instance _d09834a477550000_p_Instance _609a34a477550000_p_Instance _309d34a477550000_p_Instance _f09e34a477550000_p_Instance _80a034a477550000_p_Instance _50a334a477550000_p_Instance _10a534a477550000_p_Instance _a0a634a477550000_p_Instance _70a934a477550000_p_Instance _30ab34a477550000_p_Instance _c0ac34a477550000_p_Instance _90af34a477550000_p_Instance _50b134a477550000_p_Instance _e0b234a477550000_p_Instance _b0b534a477550000_p_Instance _70b734a477550000_p_Instance _00b934a477550000_p_Instance _d0bb34a477550000_p_Instance _90bd34a477550000_p_Instance _20bf34a477550000_p_Instance _d0c234a477550000_p_Instance _40c534a477550000_p_Instance _b0c734a477550000_p_Instance _b0ca34a477550000_p_Instance _70cc34a477550000_p_Instance _00ce34a477550000_p_Instance _d0d034a477550000_p_Instance _90d234a477550000_p_Instance _20d434a477550000_p_Instance _f0d634a477550000_p_Instance _b0d834a477550000_p_Instance _40da34a477550000_p_Instance _10dd34a477550000_p_Instance _d0de34a477550000_p_Instance _60e034a477550000_p_Instance _30e334a477550000_p_Instance _f0e434a477550000_p_Instance _80e634a477550000_p_Instance _50e934a477550000_p_Instance _10eb34a477550000_p_Instance _a0ec34a477550000_p_Instance _70ef34a477550000_p_Instance _30f134a477550000_p_Instance _c0f234a477550000_p_Instance _90f534a477550000_p_Instance _50f734a477550000_p_Instance _e0f834a477550000_p_Instance _b0fb34a477550000_p_Instance _70fd34a477550000_p_Instance _00ff34a477550000_p_Instance _d00135a477550000_p_Instance _900335a477550000_p_Instance _200535a477550000_p_Instance _f00735a477550000_p_Instance _b00935a477550000_p_Instance _400b35a477550000_p_Instance _100e35a477550000_p_Instance _d00f35a477550000_p_Instance _601135a477550000_p_Instance _301435a477550000_p_Instance _f01535a477550000_p_Instance _801735a477550000_p_Instance _501a35a477550000_p_Instance _101c35a477550000_p_Instance _a01d35a477550000_p_Instance _702035a477550000_p_Instance _302235a477550000_p_Instance _c02335a477550000_p_Instance _e02535a477550000_p_Instance _e02735a477550000_p_Instance _b02935a477550000_p_Instance _802b35a477550000_p_Instance _502d35a477550000_p_Instance _a02e35a477550000_p_Instance _f02f35a477550000_p_Instance _403135a477550000_p_Instance _903235a477550000_p_Instance _e03335a477550000_p_Instance _303535a477550000_p_Instance _803635a477550000_p_Instance _d03735a477550000_p_Instance _703b35a477550000_p_Instance _e03d35a477550000_p_Instance _504035a477550000_p_Instance _204435a477550000_p_Instance _904635a477550000_p_Instance _004935a477550000_p_Instance _004c35a477550000_p_Instance _c04d35a477550000_p_Instance _504f35a477550000_p_Instance _205235a477550000_p_Instance _e05335a477550000_p_Instance _705535a477550000_p_Instance _405835a477550000_p_Instance _005a35a477550000_p_Instance _905b35a477550000_p_Instance _605e35a477550000_p_Instance _206035a477550000_p_Instance _b06135a477550000_p_Instance _806435a477550000_p_Instance _406635a477550000_p_Instance _d06735a477550000_p_Instance _706b35a477550000_p_Instance _e06d35a477550000_p_Instance _507035a477550000_p_Instance _507335a477550000_p_Instance _107535a477550000_p_Instance _a07635a477550000_p_Instance _707935a477550000_p_Instance _307b35a477550000_p_Instance _c07c35a477550000_p_Instance _907f35a477550000_p_Instance _508135a477550000_p_Instance _e08235a477550000_p_Instance _b08535a477550000_p_Instance _708735a477550000_p_Instance _008935a477550000_p_Instance _d08b35a477550000_p_Instance _908d35a477550000_p_Instance _208f35a477550000_p_Instance _f09135a477550000_p_Instance _b09335a477550000_p_Instance _409535a477550000_p_Instance _109835a477550000_p_Instance _d09935a477550000_p_Instance _609b35a477550000_p_Instance _309e35a477550000_p_Instance _f09f35a477550000_p_Instance _80a135a477550000_p_Instance _20a535a477550000_p_Instance _90a735a477550000_p_Instance _00aa35a477550000_p_Instance _00ad35a477550000_p_Instance _c0ae35a477550000_p_Instance _50b035a477550000_p_Instance _20b335a477550000_p_Instance _e0b435a477550000_p_Instance _70b635a477550000_p_Instance _40b935a477550000_p_Instance _00bb35a477550000_p_Instance _90bc35a477550000_p_Instance _60bf35a477550000_p_Instance _20c135a477550000_p_Instance _b0c235a477550000_p_Instance _80c535a477550000_p_Instance _40c735a477550000_p_Instance _d0c835a477550000_p_Instance _a0cb35a477550000_p_Instance _60cd35a477550000_p_Instance _f0ce35a477550000_p_Instance _c0d135a477550000_p_Instance _80d335a477550000_p_Instance _10d535a477550000_p_Instance _e0d735a477550000_p_Instance _a0d935a477550000_p_Instance _30db35a477550000_p_Instance _00de35a477550000_p_Instance _c0df35a477550000_p_Instance _50e135a477550000_p_Instance _20e435a477550000_p_Instance _e0e535a477550000_p_Instance _70e735a477550000_p_Instance _40ea35a477550000_p_Instance _00ec35a477550000_p_Instance _90ed35a477550000_p_Instance _60f035a477550000_p_Instance _20f235a477550000_p_Instance _b0f335a477550000_p_Instance _80f635a477550000_p_Instance _40f835a477550000_p_Instance _d0f935a477550000_p_Instance _a0fc35a477550000_p_Instance _60fe35a477550000_p_Instance _f0ff35a477550000_p_Instance _c00236a477550000_p_Instance _800436a477550000_p_Instance _100636a477550000_p_Instance _300836a477550000_p_Instance _300a36a477550000_p_Instance _000c36a477550000_p_Instance _d00d36a477550000_p_Instance _a00f36a477550000_p_Instance _e01036a477550000_p_Instance _201236a477550000_p_Instance _601336a477550000_p_Instance _a01436a477550000_p_Instance _e01536a477550000_p_Instance _201736a477550000_p_Instance _601836a477550000_p_Instance _a01936a477550000_p_Instance _401d36a477550000_p_Instance _b01f36a477550000_p_Instance _202236a477550000_p_Instance _f02536a477550000_p_Instance _602836a477550000_p_Instance _d02a36a477550000_p_Instance _d02d36a477550000_p_Instance _902f36a477550000_p_Instance _203136a477550000_p_Instance _f03336a477550000_p_Instance _b03536a477550000_p_Instance _403736a477550000_p_Instance _103a36a477550000_p_Instance _d03b36a477550000_p_Instance _603d36a477550000_p_Instance _304036a477550000_p_Instance _f04136a477550000_p_Instance _804336a477550000_p_Instance _504636a477550000_p_Instance _104836a477550000_p_Instance _a04936a477550000_p_Instance _404d36a477550000_p_Instance _b04f36a477550000_p_Instance _205236a477550000_p_Instance _205536a477550000_p_Instance _e05636a477550000_p_Instance _705836a477550000_p_Instance _405b36a477550000_p_Instance _005d36a477550000_p_Instance _905e36a477550000_p_Instance _606136a477550000_p_Instance _206336a477550000_p_Instance _b06436a477550000_p_Instance _806736a477550000_p_Instance _406936a477550000_p_Instance _d06a36a477550000_p_Instance _a06d36a477550000_p_Instance _606f36a477550000_p_Instance _f07036a477550000_p_Instance _c07336a477550000_p_Instance _807536a477550000_p_Instance _107736a477550000_p_Instance _e07936a477550000_p_Instance _a07b36a477550000_p_Instance _307d36a477550000_p_Instance _008036a477550000_p_Instance _c08136a477550000_p_Instance _508336a477550000_p_Instance _f08636a477550000_p_Instance _608936a477550000_p_Instance _d08b36a477550000_p_Instance _d08e36a477550000_p_Instance _909036a477550000_p_Instance _209236a477550000_p_Instance _f09436a477550000_p_Instance _b09636a477550000_p_Instance _409836a477550000_p_Instance _109b36a477550000_p_Instance _d09c36a477550000_p_Instance _609e36a477550000_p_Instance _30a136a477550000_p_Instance _f0a236a477550000_p_Instance _80a436a477550000_p_Instance _50a736a477550000_p_Instance _10a936a477550000_p_Instance _a0aa36a477550000_p_Instance _70ad36a477550000_p_Instance _30af36a477550000_p_Instance _c0b036a477550000_p_Instance _90b336a477550000_p_Instance _50b536a477550000_p_Instance _e0b636a477550000_p_Instance _b0b936a477550000_p_Instance _70bb36a477550000_p_Instance _00bd36a477550000_p_Instance _d0bf36a477550000_p_Instance _90c136a477550000_p_Instance _20c336a477550000_p_Instance _f0c536a477550000_p_Instance _b0c736a477550000_p_Instance _40c936a477550000_p_Instance _10cc36a477550000_p_Instance _d0cd36a477550000_p_Instance _60cf36a477550000_p_Instance _30d236a477550000_p_Instance _f0d336a477550000_p_Instance _80d536a477550000_p_Instance _50d836a477550000_p_Instance _10da36a477550000_p_Instance _a0db36a477550000_p_Instance _70de36a477550000_p_Instance _30e036a477550000_p_Instance _c0e136a477550000_p_Instance _90e436a477550000_p_Instance _50e636a477550000_p_Instance _e0e736a477550000_p_Instance _00ea36a477550000_p_Instance _00ec36a477550000_p_Instance _d0ed36a477550000_p_Instance _a0ef36a477550000_p_Instance _70f136a477550000_p_Instance _b0f236a477550000_p_Instance _f0f336a477550000_p_Instance _30f536a477550000_p_Instance _70f636a477550000_p_Instance _b0f736a477550000_p_Instance _f0f836a477550000_p_Instance _30fa36a477550000_p_Instance _70fb36a477550000_p_Instance _10ff36a477550000_p_Instance _800137a477550000_p_Instance _f00337a477550000_p_Instance _c00737a477550000_p_Instance _300a37a477550000_p_Instance _a00c37a477550000_p_Instance _a00f37a477550000_p_Instance _601137a477550000_p_Instance _f01237a477550000_p_Instance _c01537a477550000_p_Instance _801737a477550000_p_Instance _101937a477550000_p_Instance _e01b37a477550000_p_Instance _a01d37a477550000_p_Instance _301f37a477550000_p_Instance _002237a477550000_p_Instance _c02337a477550000_p_Instance _502537a477550000_p_Instance _202837a477550000_p_Instance _e02937a477550000_p_Instance _702b37a477550000_p_Instance _102f37a477550000_p_Instance _803137a477550000_p_Instance _f03337a477550000_p_Instance _f03637a477550000_p_Instance _b03837a477550000_p_Instance _403a37a477550000_p_Instance _103d37a477550000_p_Instance _d03e37a477550000_p_Instance _604037a477550000_p_Instance _304337a477550000_p_Instance _f04437a477550000_p_Instance _804637a477550000_p_Instance _504937a477550000_p_Instance _104b37a477550000_p_Instance _a04c37a477550000_p_Instance _704f37a477550000_p_Instance _305137a477550000_p_Instance _c05237a477550000_p_Instance _905537a477550000_p_Instance _505737a477550000_p_Instance _e05837a477550000_p_Instance _b05b37a477550000_p_Instance _705d37a477550000_p_Instance _005f37a477550000_p_Instance _d06137a477550000_p_Instance _906337a477550000_p_Instance _206537a477550000_p_Instance _c06837a477550000_p_Instance _306b37a477550000_p_Instance _a06d37a477550000_p_Instance _a07037a477550000_p_Instance _607237a477550000_p_Instance _f07337a477550000_p_Instance _c07637a477550000_p_Instance _807837a477550000_p_Instance _107a37a477550000_p_Instance _e07c37a477550000_p_Instance _a07e37a477550000_p_Instance _308037a477550000_p_Instance _008337a477550000_p_Instance _c08437a477550000_p_Instance _508637a477550000_p_Instance _208937a477550000_p_Instance _e08a37a477550000_p_Instance _708c37a477550000_p_Instance _408f37a477550000_p_Instance _009137a477550000_p_Instance _909237a477550000_p_Instance _609537a477550000_p_Instance _209737a477550000_p_Instance _b09837a477550000_p_Instance _809b37a477550000_p_Instance _409d37a477550000_p_Instance _d09e37a477550000_p_Instance _a0a137a477550000_p_Instance _60a337a477550000_p_Instance _f0a437a477550000_p_Instance _c0a737a477550000_p_Instance _80a937a477550000_p_Instance _10ab37a477550000_p_Instance _e0ad37a477550000_p_Instance _a0af37a477550000_p_Instance _30b137a477550000_p_Instance _00b437a477550000_p_Instance _c0b537a477550000_p_Instance _50b737a477550000_p_Instance _20ba37a477550000_p_Instance _e0bb37a477550000_p_Instance _70bd37a477550000_p_Instance _40c037a477550000_p_Instance _00c237a477550000_p_Instance _90c337a477550000_p_Instance _60c637a477550000_p_Instance _20c837a477550000_p_Instance _b0c937a477550000_p_Instance _d0cb37a477550000_p_Instance _d0cd37a477550000_p_Instance _a0cf37a477550000_p_Instance _70d137a477550000_p_Instance _40d337a477550000_p_Instance _80d437a477550000_p_Instance _c0d537a477550000_p_Instance _00d737a477550000_p_Instance _40d837a477550000_p_Instance _80d937a477550000_p_Instance _c0da37a477550000_p_Instance _00dc37a477550000_p_Instance _40dd37a477550000_p_Instance _e0e037a477550000_p_Instance _50e337a477550000_p_Instance _c0e537a477550000_p_Instance _90e937a477550000_p_Instance _00ec37a477550000_p_Instance _70ee37a477550000_p_Instance _70f137a477550000_p_Instance _30f337a477550000_p_Instance _c0f437a477550000_p_Instance _90f737a477550000_p_Instance _50f937a477550000_p_Instance _e0fa37a477550000_p_Instance _b0fd37a477550000_p_Instance _70ff37a477550000_p_Instance _000138a477550000_p_Instance _d00338a477550000_p_Instance _900538a477550000_p_Instance _200738a477550000_p_Instance _f00938a477550000_p_Instance _b00b38a477550000_p_Instance _400d38a477550000_p_Instance _e01038a477550000_p_Instance _501338a477550000_p_Instance _c01538a477550000_p_Instance _c01838a477550000_p_Instance _801a38a477550000_p_Instance _101c38a477550000_p_Instance _e01e38a477550000_p_Instance _a02038a477550000_p_Instance _302238a477550000_p_Instance _002538a477550000_p_Instance _c02638a477550000_p_Instance _502838a477550000_p_Instance _202b38a477550000_p_Instance _e02c38a477550000_p_Instance _702e38a477550000_p_Instance _403138a477550000_p_Instance _003338a477550000_p_Instance _903438a477550000_p_Instance _603738a477550000_p_Instance _203938a477550000_p_Instance _b03a38a477550000_p_Instance _803d38a477550000_p_Instance _403f38a477550000_p_Instance _d04038a477550000_p_Instance _a04338a477550000_p_Instance _604538a477550000_p_Instance _f04638a477550000_p_Instance _904a38a477550000_p_Instance _004d38a477550000_p_Instance _704f38a477550000_p_Instance _705238a477550000_p_Instance _305438a477550000_p_Instance _c05538a477550000_p_Instance _905838a477550000_p_Instance _505a38a477550000_p_Instance _e05b38a477550000_p_Instance _b05e38a477550000_p_Instance _706038a477550000_p_Instance _006238a477550000_p_Instance _d06438a477550000_p_Instance _906638a477550000_p_Instance _206838a477550000_p_Instance _f06a38a477550000_p_Instance _b06c38a477550000_p_Instance _406e38a477550000_p_Instance _107138a477550000_p_Instance _d07238a477550000_p_Instance _607438a477550000_p_Instance _307738a477550000_p_Instance _f07838a477550000_p_Instance _807a38a477550000_p_Instance _507d38a477550000_p_Instance _107f38a477550000_p_Instance _a08038a477550000_p_Instance _708338a477550000_p_Instance _308538a477550000_p_Instance _c08638a477550000_p_Instance _908938a477550000_p_Instance _508b38a477550000_p_Instance _e08c38a477550000_p_Instance _b08f38a477550000_p_Instance _709138a477550000_p_Instance _009338a477550000_p_Instance _d09538a477550000_p_Instance _909738a477550000_p_Instance _209938a477550000_p_Instance _f09b38a477550000_p_Instance _b09d38a477550000_p_Instance _409f38a477550000_p_Instance _10a238a477550000_p_Instance _d0a338a477550000_p_Instance _60a538a477550000_p_Instance _30a838a477550000_p_Instance _f0a938a477550000_p_Instance _80ab38a477550000_p_Instance _a0ad38a477550000_p_Instance _a0af38a477550000_p_Instance _70b138a477550000_p_Instance _40b338a477550000_p_Instance _10b538a477550000_p_Instance _50b638a477550000_p_Instance _90b738a477550000_p_Instance _d0b838a477550000_p_Instance _10ba38a477550000_p_Instance _50bb38a477550000_p_Instance _90bc38a477550000_p_Instance _d0bd38a477550000_p_Instance _10bf38a477550000_p_Instance _b0c238a477550000_p_Instance _20c538a477550000_p_Instance _90c738a477550000_p_Instance _60cb38a477550000_p_Instance _d0cd38a477550000_p_Instance _40d038a477550000_p_Instance _40d338a477550000_p_Instance _00d538a477550000_p_Instance _90d638a477550000_p_Instance _60d938a477550000_p_Instance _20db38a477550000_p_Instance _b0dc38a477550000_p_Instance _80df38a477550000_p_Instance _40e138a477550000_p_Instance _d0e238a477550000_p_Instance _a0e538a477550000_p_Instance _60e738a477550000_p_Instance _f0e838a477550000_p_Instance _c0eb38a477550000_p_Instance _80ed38a477550000_p_Instance _10ef38a477550000_p_Instance _b0f238a477550000_p_Instance _20f538a477550000_p_Instance _90f738a477550000_p_Instance _90fa38a477550000_p_Instance _50fc38a477550000_p_Instance _e0fd38a477550000_p_Instance _b00039a477550000_p_Instance _700239a477550000_p_Instance _000439a477550000_p_Instance _d00639a477550000_p_Instance _900839a477550000_p_Instance _200a39a477550000_p_Instance _f00c39a477550000_p_Instance _b00e39a477550000_p_Instance _401039a477550000_p_Instance _101339a477550000_p_Instance _d01439a477550000_p_Instance _601639a477550000_p_Instance _301939a477550000_p_Instance _f01a39a477550000_p_Instance _801c39a477550000_p_Instance _501f39a477550000_p_Instance _102139a477550000_p_Instance _a02239a477550000_p_Instance _702539a477550000_p_Instance _302739a477550000_p_Instance _c02839a477550000_p_Instance _602c39a477550000_p_Instance _d02e39a477550000_p_Instance _403139a477550000_p_Instance _403439a477550000_p_Instance _003639a477550000_p_Instance _903739a477550000_p_Instance _603a39a477550000_p_Instance _203c39a477550000_p_Instance _b03d39a477550000_p_Instance _804039a477550000_p_Instance _404239a477550000_p_Instance _d04339a477550000_p_Instance _a04639a477550000_p_Instance _604839a477550000_p_Instance _f04939a477550000_p_Instance _c04c39a477550000_p_Instance _804e39a477550000_p_Instance _105039a477550000_p_Instance _e05239a477550000_p_Instance _a05439a477550000_p_Instance _305639a477550000_p_Instance _005939a477550000_p_Instance _c05a39a477550000_p_Instance _505c39a477550000_p_Instance _205f39a477550000_p_Instance _e06039a477550000_p_Instance _706239a477550000_p_Instance _406539a477550000_p_Instance _006739a477550000_p_Instance _906839a477550000_p_Instance _606b39a477550000_p_Instance _206d39a477550000_p_Instance _b06e39a477550000_p_Instance _807139a477550000_p_Instance _407339a477550000_p_Instance _d07439a477550000_p_Instance _a07739a477550000_p_Instance _607939a477550000_p_Instance _f07a39a477550000_p_Instance _c07d39a477550000_p_Instance _807f39a477550000_p_Instance _108139a477550000_p_Instance _e08339a477550000_p_Instance _a08539a477550000_p_Instance _308739a477550000_p_Instance _008a39a477550000_p_Instance _c08b39a477550000_p_Instance _508d39a477550000_p_Instance _708f39a477550000_p_Instance _709139a477550000_p_Instance _409339a477550000_p_Instance _109539a477550000_p_Instance _e09639a477550000_p_Instance _209839a477550000_p_Instance _609939a477550000_p_Instance _a09a39a477550000_p_Instance _e09b39a477550000_p_Instance _209d39a477550000_p_Instance _609e39a477550000_p_Instance _a09f39a477550000_p_Instance _e0a039a477550000_p_Instance _80a439a477550000_p_Instance _f0a639a477550000_p_Instance _60a939a477550000_p_Instance _30ad39a477550000_p_Instance _a0af39a477550000_p_Instance _10b239a477550000_p_Instance _10b539a477550000_p_Instance _d0b639a477550000_p_Instance _60b839a477550000_p_Instance _30bb39a477550000_p_Instance _f0bc39a477550000_p_Instance _80be39a477550000_p_Instance _50c139a477550000_p_Instance _10c339a477550000_p_Instance _a0c439a477550000_p_Instance _70c739a477550000_p_Instance _30c939a477550000_p_Instance _c0ca39a477550000_p_Instance _90cd39a477550000_p_Instance _50cf39a477550000_p_Instance _e0d039a477550000_p_Instance _80d439a477550000_p_Instance _f0d639a477550000_p_Instance _60d939a477550000_p_Instance _60dc39a477550000_p_Instance _20de39a477550000_p_Instance _b0df39a477550000_p_Instance _80e239a477550000_p_Instance _40e439a477550000_p_Instance _d0e539a477550000_p_Instance _a0e839a477550000_p_Instance _60ea39a477550000_p_Instance _f0eb39a477550000_p_Instance _c0ee39a477550000_p_Instance _80f039a477550000_p_Instance _10f239a477550000_p_Instance _e0f439a477550000_p_Instance _a0f639a477550000_p_Instance _30f839a477550000_p_Instance _00fb39a477550000_p_Instance _c0fc39a477550000_p_Instance _50fe39a477550000_p_Instance _20013aa477550000_p_Instance _e0023aa477550000_p_Instance _70043aa477550000_p_Instance _40073aa477550000_p_Instance _00093aa477550000_p_Instance _900a3aa477550000_p_Instance _300e3aa477550000_p_Instance _a0103aa477550000_p_Instance _10133aa477550000_p_Instance _10163aa477550000_p_Instance _d0173aa477550000_p_Instance _60193aa477550000_p_Instance _301c3aa477550000_p_Instance _f01d3aa477550000_p_Instance _801f3aa477550000_p_Instance _50223aa477550000_p_Instance _10243aa477550000_p_Instance _a0253aa477550000_p_Instance _70283aa477550000_p_Instance _302a3aa477550000_p_Instance _c02b3aa477550000_p_Instance _902e3aa477550000_p_Instance _50303aa477550000_p_Instance _e0313aa477550000_p_Instance _b0343aa477550000_p_Instance _70363aa477550000_p_Instance _00383aa477550000_p_Instance _d03a3aa477550000_p_Instance _903c3aa477550000_p_Instance _203e3aa477550000_p_Instance _f0403aa477550000_p_Instance _b0423aa477550000_p_Instance _40443aa477550000_p_Instance _10473aa477550000_p_Instance _d0483aa477550000_p_Instance _604a3aa477550000_p_Instance _304d3aa477550000_p_Instance _f04e3aa477550000_p_Instance _80503aa477550000_p_Instance _50533aa477550000_p_Instance _10553aa477550000_p_Instance _a0563aa477550000_p_Instance _70593aa477550000_p_Instance _305b3aa477550000_p_Instance _c05c3aa477550000_p_Instance _905f3aa477550000_p_Instance _50613aa477550000_p_Instance _e0623aa477550000_p_Instance _b0653aa477550000_p_Instance _70673aa477550000_p_Instance _00693aa477550000_p_Instance _d06b3aa477550000_p_Instance _906d3aa477550000_p_Instance _206f3aa477550000_p_Instance _40713aa477550000_p_Instance _40733aa477550000_p_Instance _10753aa477550000_p_Instance _e0763aa477550000_p_Instance _b0783aa477550000_p_Instance _f0793aa477550000_p_Instance _307b3aa477550000_p_Instance _707c3aa477550000_p_Instance _b07d3aa477550000_p_Instance _f07e3aa477550000_p_Instance _30803aa477550000_p_Instance _70813aa477550000_p_Instance _b0823aa477550000_p_Instance _50863aa477550000_p_Instance _c0883aa477550000_p_Instance _308b3aa477550000_p_Instance _008f3aa477550000_p_Instance _70913aa477550000_p_Instance _e0933aa477550000_p_Instance _e0963aa477550000_p_Instance _a0983aa477550000_p_Instance _309a3aa477550000_p_Instance _009d3aa477550000_p_Instance _c09e3aa477550000_p_Instance _50a03aa477550000_p_Instance _20a33aa477550000_p_Instance _e0a43aa477550000_p_Instance _70a63aa477550000_p_Instance _40a93aa477550000_p_Instance _00ab3aa477550000_p_Instance _90ac3aa477550000_p_Instance _60af3aa477550000_p_Instance _20b13aa477550000_p_Instance _b0b23aa477550000_p_Instance _50b63aa477550000_p_Instance _c0b83aa477550000_p_Instance _30bb3aa477550000_p_Instance _30be3aa477550000_p_Instance _f0bf3aa477550000_p_Instance _80c13aa477550000_p_Instance _50c43aa477550000_p_Instance _10c63aa477550000_p_Instance _a0c73aa477550000_p_Instance _70ca3aa477550000_p_Instance _30cc3aa477550000_p_Instance _c0cd3aa477550000_p_Instance _90d03aa477550000_p_Instance _50d23aa477550000_p_Instance _e0d33aa477550000_p_Instance _b0d63aa477550000_p_Instance _70d83aa477550000_p_Instance _00da3aa477550000_p_Instance _d0dc3aa477550000_p_Instance _90de3aa477550000_p_Instance _20e03aa477550000_p_Instance _f0e23aa477550000_p_Instance _b0e43aa477550000_p_Instance _40e63aa477550000_p_Instance _10e93aa477550000_p_Instance _d0ea3aa477550000_p_Instance _60ec3aa477550000_p_Instance _00f03aa477550000_p_Instance _70f23aa477550000_p_Instance _e0f43aa477550000_p_Instance _e0f73aa477550000_p_Instance _a0f93aa477550000_p_Instance _30fb3aa477550000_p_Instance _00fe3aa477550000_p_Instance _c0ff3aa477550000_p_Instance _50013ba477550000_p_Instance _20043ba477550000_p_Instance _e0053ba477550000_p_Instance _70073ba477550000_p_Instance _400a3ba477550000_p_Instance _000c3ba477550000_p_Instance _900d3ba477550000_p_Instance _60103ba477550000_p_Instance _20123ba477550000_p_Instance _b0133ba477550000_p_Instance _80163ba477550000_p_Instance _40183ba477550000_p_Instance _d0193ba477550000_p_Instance _a01c3ba477550000_p_Instance _601e3ba477550000_p_Instance _f01f3ba477550000_p_Instance _c0223ba477550000_p_Instance _80243ba477550000_p_Instance _10263ba477550000_p_Instance _e0283ba477550000_p_Instance _a02a3ba477550000_p_Instance _302c3ba477550000_p_Instance _002f3ba477550000_p_Instance _c0303ba477550000_p_Instance _50323ba477550000_p_Instance _20353ba477550000_p_Instance _e0363ba477550000_p_Instance _70383ba477550000_p_Instance _403b3ba477550000_p_Instance _003d3ba477550000_p_Instance _903e3ba477550000_p_Instance _60413ba477550000_p_Instance _20433ba477550000_p_Instance _b0443ba477550000_p_Instance _80473ba477550000_p_Instance _40493ba477550000_p_Instance _d04a3ba477550000_p_Instance _a04d3ba477550000_p_Instance _604f3ba477550000_p_Instance _f0503ba477550000_p_Instance _10533ba477550000_p_Instance _10553ba477550000_p_Instance _e0563ba477550000_p_Instance _b0583ba477550000_p_Instance _805a3ba477550000_p_Instance _c05b3ba477550000_p_Instance _005d3ba477550000_p_Instance _405e3ba477550000_p_Instance _805f3ba477550000_p_Instance _c0603ba477550000_p_Instance _00623ba477550000_p_Instance _40633ba477550000_p_Instance _80643ba477550000_p_Instance _c0653ba477550000_p_Instance _40663ba477550000_p_Instance _a0673ba477550000_p_Instance _e0683ba477550000_p_Instance _206a3ba477550000_p_Instance _a06a3ba477550000_p_Instance _006c3ba477550000_p_Instance _406d3ba477550000_p_Instance _c06d3ba477550000_p_Instance _206f3ba477550000_p_Instance _60703ba477550000_p_Instance _e0703ba477550000_p_Instance _40723ba477550000_p_Instance _c0723ba477550000_p_Instance _20743ba477550000_p_Instance _60753ba477550000_p_Instance _e0753ba477550000_p_Instance _40773ba477550000_p_Instance _80783ba477550000_p_Instance _00793ba477550000_p_Instance _a0793ba477550000_p_Instance _007b3ba477550000_p_Instance _407c3ba477550000_p_Instance _807d3ba477550000_p_Instance _207f3ba477550000_p_Instance _60803ba477550000_p_Instance _a0813ba477550000_p_Instance _50823ba477550000_p_Instance _b0833ba477550000_p_Instance _30843ba477550000_p_Instance _d0843ba477550000_p_Instance _90863ba477550000_p_Instance _d0873ba477550000_p_Instance _a0893ba477550000_p_Instance _e08a3ba477550000_p_Instance _208c3ba477550000_p_Instance _d08c3ba477550000_p_Instance _708d3ba477550000_p_Instance _d08e3ba477550000_p_Instance _70903ba477550000_p_Instance _b0913ba477550000_p_Instance _f0923ba477550000_p_Instance _30943ba477550000_p_Instance _70953ba477550000_p_Instance _b0963ba477550000_p_Instance _60973ba477550000_p_Instance _20993ba477550000_p_Instance _d0993ba477550000_p_Instance _709a3ba477550000_p_Instance _309c3ba477550000_p_Instance _709d3ba477550000_p_Instance _b09e3ba477550000_p_Instance _f09f3ba477550000_p_Instance _30a13ba477550000_p_Instance _e0a13ba477550000_p_Instance _80a23ba477550000_p_Instance _e0a33ba477550000_p_Instance _80a53ba477550000_p_Instance _c0a63ba477550000_p_Instance _90a83ba477550000_p_Instance _d0a93ba477550000_p_Instance _10ab3ba477550000_p_Instance _50ac3ba477550000_p_Instance _90ad3ba477550000_p_Instance _d0ae3ba477550000_p_Instance _80af3ba477550000_p_Instance _40b13ba477550000_p_Instance _80b23ba477550000_p_Instance _50b43ba477550000_p_Instance _90b53ba477550000_p_Instance _40b63ba477550000_p_Instance _a0b73ba477550000_p_Instance _40b93ba477550000_p_Instance _80ba3ba477550000_p_Instance _50bc3ba477550000_p_Instance _90bd3ba477550000_p_Instance _d0be3ba477550000_p_Instance _10c03ba477550000_p_Instance _50c13ba477550000_p_Instance _20c33ba477550000_p_Instance _60c43ba477550000_p_Instance _30c63ba477550000_p_Instance _70c73ba477550000_p_Instance _b0c83ba477550000_p_Instance _f0c93ba477550000_p_Instance _a0ca3ba477550000_p_Instance _00cc3ba477550000_p_Instance _40cd3ba477550000_p_Instance _80ce3ba477550000_p_Instance _c0cf3ba477550000_p_Instance _00d13ba477550000_p_Instance _a0d23ba477550000_p_Instance _e0d33ba477550000_p_Instance _b0d53ba477550000_p_Instance _f0d63ba477550000_p_Instance _c0d83ba477550000_p_Instance _00da3ba477550000_p_Instance _40db3ba477550000_p_Instance _80dc3ba477550000_p_Instance _c0dd3ba477550000_p_Instance _90df3ba477550000_p_Instance _d0e03ba477550000_p_Instance _80e13ba477550000_p_Instance _e0e23ba477550000_p_Instance _80e43ba477550000_p_Instance _c0e53ba477550000_p_Instance _90e73ba477550000_p_Instance _d0e83ba477550000_p_Instance _10ea3ba477550000_p_Instance _50eb3ba477550000_p_Instance _90ec3ba477550000_p_Instance _40ed3ba477550000_p_Instance _a0ee3ba477550000_p_Instance _e0ef3ba477550000_p_Instance _80f13ba477550000_p_Instance _c0f23ba477550000_p_Instance _00f43ba477550000_p_Instance _b0f43ba477550000_p_Instance _10f63ba477550000_p_Instance _50f73ba477550000_p_Instance _90f83ba477550000_p_Instance _10f93ba477550000_p_Instance _b0f93ba477550000_p_Instance _10fb3ba477550000_p_Instance _50fc3ba477550000_p_Instance _90fd3ba477550000_p_Instance _30ff3ba477550000_p_Instance _70003ca477550000_p_Instance _20013ca477550000_p_Instance _80023ca477550000_p_Instance _c0033ca477550000_p_Instance _40043ca477550000_p_Instance _e0043ca477550000_p_Instance _a0063ca477550000_p_Instance _e0073ca477550000_p_Instance _b0093ca477550000_p_Instance _f00a3ca477550000_p_Instance _300c3ca477550000_p_Instance _e00c3ca477550000_p_Instance _400e3ca477550000_p_Instance _c00e3ca477550000_p_Instance _80103ca477550000_p_Instance _c0113ca477550000_p_Instance _00133ca477550000_p_Instance _40143ca477550000_p_Instance _80153ca477550000_p_Instance _c0163ca477550000_p_Instance _70173ca477550000_p_Instance _10183ca477550000_p_Instance _b0183ca477550000_p_Instance _50193ca477550000_p_Instance _b01a3ca477550000_p_Instance _f01b3ca477550000_p_Instance _301d3ca477550000_p_Instance _d01e3ca477550000_p_Instance _10203ca477550000_p_Instance _c0203ca477550000_p_Instance _60213ca477550000_p_Instance _c0223ca477550000_p_Instance _60243ca477550000_p_Instance _a0253ca477550000_p_Instance _70273ca477550000_p_Instance _b0283ca477550000_p_Instance _f0293ca477550000_p_Instance _302b3ca477550000_p_Instance _702c3ca477550000_p_Instance _b02d3ca477550000_p_Instance _602e3ca477550000_p_Instance _20303ca477550000_p_Instance _60313ca477550000_p_Instance _30333ca477550000_p_Instance _70343ca477550000_p_Instance _20353ca477550000_p_Instance _80363ca477550000_p_Instance _20383ca477550000_p_Instance _60393ca477550000_p_Instance _303b3ca477550000_p_Instance _703c3ca477550000_p_Instance _b03d3ca477550000_p_Instance _f03e3ca477550000_p_Instance _30403ca477550000_p_Instance _00423ca477550000_p_Instance _40433ca477550000_p_Instance _10453ca477550000_p_Instance _50463ca477550000_p_Instance _90473ca477550000_p_Instance _d0483ca477550000_p_Instance _80493ca477550000_p_Instance _e04a3ca477550000_p_Instance _204c3ca477550000_p_Instance _604d3ca477550000_p_Instance _a04e3ca477550000_p_Instance _e04f3ca477550000_p_Instance _80513ca477550000_p_Instance _c0523ca477550000_p_Instance _90543ca477550000_p_Instance _d0553ca477550000_p_Instance _a0573ca477550000_p_Instance _e0583ca477550000_p_Instance _205a3ca477550000_p_Instance _605b3ca477550000_p_Instance _a05c3ca477550000_p_Instance _705e3ca477550000_p_Instance _b05f3ca477550000_p_Instance _60603ca477550000_p_Instance _c0613ca477550000_p_Instance _60633ca477550000_p_Instance _a0643ca477550000_p_Instance _70663ca477550000_p_Instance _b0673ca477550000_p_Instance _f0683ca477550000_p_Instance _306a3ca477550000_p_Instance _706b3ca477550000_p_Instance _206c3ca477550000_p_Instance _c06c3ca477550000_p_Instance _206e3ca477550000_p_Instance _606f3ca477550000_p_Instance _00713ca477550000_p_Instance _40723ca477550000_p_Instance _80733ca477550000_p_Instance _c0743ca477550000_p_Instance _00763ca477550000_p_Instance _b0763ca477550000_p_Instance _50773ca477550000_p_Instance _b0783ca477550000_p_Instance _f0793ca477550000_p_Instance _307b3ca477550000_p_Instance _d07c3ca477550000_p_Instance _107e3ca477550000_p_Instance _507f3ca477550000_p_Instance _90803ca477550000_p_Instance _d0813ca477550000_p_Instance _80823ca477550000_p_Instance _20833ca477550000_p_Instance _e0843ca477550000_p_Instance _20863ca477550000_p_Instance _f0873ca477550000_p_Instance _30893ca477550000_p_Instance _708a3ca477550000_p_Instance _208b3ca477550000_p_Instance _c08b3ca477550000_p_Instance _808d3ca477550000_p_Instance _308e3ca477550000_p_Instance _908f3ca477550000_p_Instance _d0903ca477550000_p_Instance _10923ca477550000_p_Instance _50933ca477550000_p_Instance _90943ca477550000_p_Instance _10953ca477550000_p_Instance _b0953ca477550000_p_Instance _50963ca477550000_p_Instance _10983ca477550000_p_Instance _50993ca477550000_p_Instance _909a3ca477550000_p_Instance _d09b3ca477550000_p_Instance _109d3ca477550000_p_Instance _509e3ca477550000_p_Instance _009f3ca477550000_p_Instance _60a03ca477550000_p_Instance _00a23ca477550000_p_Instance _40a33ca477550000_p_Instance _10a53ca477550000_p_Instance _50a63ca477550000_p_Instance _90a73ca477550000_p_Instance _d0a83ca477550000_p_Instance _10aa3ca477550000_p_Instance _50ab3ca477550000_p_Instance _20ad3ca477550000_p_Instance _60ae3ca477550000_p_Instance _a0af3ca477550000_p_Instance _70b13ca477550000_p_Instance _b0b23ca477550000_p_Instance _60b33ca477550000_p_Instance _c0b43ca477550000_p_Instance _60b63ca477550000_p_Instance _a0b73ca477550000_p_Instance _70b93ca477550000_p_Instance _b0ba3ca477550000_p_Instance _f0bb3ca477550000_p_Instance _c0bd3ca477550000_p_Instance _00bf3ca477550000_p_Instance _d0c03ca477550000_p_Instance _10c23ca477550000_p_Instance _e0c33ca477550000_p_Instance _20c53ca477550000_p_Instance _60c63ca477550000_p_Instance _a0c73ca477550000_p_Instance _50c83ca477550000_p_Instance _b0c93ca477550000_p_Instance _f0ca3ca477550000_p_Instance _30cc3ca477550000_p_Instance _70cd3ca477550000_p_Instance _b0ce3ca477550000_p_Instance _50d03ca477550000_p_Instance _90d13ca477550000_p_Instance _60d33ca477550000_p_Instance _a0d43ca477550000_p_Instance _70d63ca477550000_p_Instance _b0d73ca477550000_p_Instance _f0d83ca477550000_p_Instance _30da3ca477550000_p_Instance _70db3ca477550000_p_Instance _40dd3ca477550000_p_Instance _80de3ca477550000_p_Instance _30df3ca477550000_p_Instance _90e03ca477550000_p_Instance _30e23ca477550000_p_Instance _70e33ca477550000_p_Instance _40e53ca477550000_p_Instance _80e63ca477550000_p_Instance _c0e73ca477550000_p_Instance _00e93ca477550000_p_Instance _40ea3ca477550000_p_Instance _f0ea3ca477550000_p_Instance _90eb3ca477550000_p_Instance _30ec3ca477550000_p_Instance _f0ed3ca477550000_p_Instance _30ef3ca477550000_p_Instance _e0ef3ca477550000_p_Instance _40f13ca477550000_p_Instance _c0f13ca477550000_p_Instance _60f23ca477550000_p_Instance _00f33ca477550000_p_Instance _60f43ca477550000_p_Instance _a0f53ca477550000_p_Instance _e0f63ca477550000_p_Instance _80f83ca477550000_p_Instance _c0f93ca477550000_p_Instance _00fb3ca477550000_p_Instance _40fc3ca477550000_p_Instance _f0fc3ca477550000_p_Instance _90fd3ca477550000_p_Instance _30fe3ca477550000_p_Instance _f0ff3ca477550000_p_Instance _30013da477550000_p_Instance _00033da477550000_p_Instance _40043da477550000_p_Instance _80053da477550000_p_Instance _30063da477550000_p_Instance _d0063da477550000_p_Instance _90083da477550000_p_Instance _d0093da477550000_p_Instance _100b3da477550000_p_Instance _500c3da477550000_p_Instance _900d3da477550000_p_Instance _d00e3da477550000_p_Instance _10103da477550000_p_Instance _c0103da477550000_p_Instance _20123da477550000_p_Instance _60133da477550000_p_Instance _00153da477550000_p_Instance _40163da477550000_p_Instance _80173da477550000_p_Instance _c0183da477550000_p_Instance _001a3da477550000_p_Instance _401b3da477550000_p_Instance _801c3da477550000_p_Instance _501e3da477550000_p_Instance _901f3da477550000_p_Instance _60213da477550000_p_Instance _a0223da477550000_p_Instance _e0233da477550000_p_Instance _20253da477550000_p_Instance _60263da477550000_p_Instance _a0273da477550000_p_Instance _70293da477550000_p_Instance _b02a3da477550000_p_Instance _f02b3da477550000_p_Instance _c02d3da477550000_p_Instance _002f3da477550000_p_Instance _b02f3da477550000_p_Instance _10313da477550000_p_Instance _b0323da477550000_p_Instance _f0333da477550000_p_Instance _c0353da477550000_p_Instance _00373da477550000_p_Instance _40383da477550000_p_Instance _103a3da477550000_p_Instance _503b3da477550000_p_Instance _203d3da477550000_p_Instance _603e3da477550000_p_Instance _30403da477550000_p_Instance _70413da477550000_p_Instance _b0423da477550000_p_Instance _f0433da477550000_p_Instance _a0443da477550000_p_Instance _00463da477550000_p_Instance _40473da477550000_p_Instance _80483da477550000_p_Instance _c0493da477550000_p_Instance _004b3da477550000_p_Instance _a04c3da477550000_p_Instance _e04d3da477550000_p_Instance _b04f3da477550000_p_Instance _f0503da477550000_p_Instance _c0523da477550000_p_Instance _00543da477550000_p_Instance _40553da477550000_p_Instance _80563da477550000_p_Instance _c0573da477550000_p_Instance _90593da477550000_p_Instance _d05a3da477550000_p_Instance _805b3da477550000_p_Instance _e05c3da477550000_p_Instance _805e3da477550000_p_Instance _c05f3da477550000_p_Instance _90613da477550000_p_Instance _d0623da477550000_p_Instance _10643da477550000_p_Instance _50653da477550000_p_Instance _90663da477550000_p_Instance _40673da477550000_p_Instance _e0673da477550000_p_Instance _a0693da477550000_p_Instance _e06a3da477550000_p_Instance _906b3da477550000_p_Instance _f06c3da477550000_p_Instance _706d3da477550000_p_Instance _d06e3da477550000_p_Instance _10703da477550000_p_Instance _90703da477550000_p_Instance _30713da477550000_p_Instance _90723da477550000_p_Instance _d0733da477550000_p_Instance _10753da477550000_p_Instance _b0763da477550000_p_Instance _f0773da477550000_p_Instance _30793da477550000_p_Instance _e0793da477550000_p_Instance _407b3da477550000_p_Instance _c07b3da477550000_p_Instance _607c3da477550000_p_Instance _207e3da477550000_p_Instance _607f3da477550000_p_Instance _30813da477550000_p_Instance _70823da477550000_p_Instance _b0833da477550000_p_Instance _60843da477550000_p_Instance _00853da477550000_p_Instance _60863da477550000_p_Instance _00883da477550000_p_Instance _40893da477550000_p_Instance _808a3da477550000_p_Instance _c08b3da477550000_p_Instance _008d3da477550000_p_Instance _408e3da477550000_p_Instance _f08e3da477550000_p_Instance _b0903da477550000_p_Instance _60913da477550000_p_Instance _00923da477550000_p_Instance _c0933da477550000_p_Instance _00953da477550000_p_Instance _40963da477550000_p_Instance _80973da477550000_p_Instance _c0983da477550000_p_Instance _70993da477550000_p_Instance _109a3da477550000_p_Instance _709b3da477550000_p_Instance _109d3da477550000_p_Instance _509e3da477550000_p_Instance _20a03da477550000_p_Instance _60a13da477550000_p_Instance _a0a23da477550000_p_Instance _e0a33da477550000_p_Instance _20a53da477550000_p_Instance _60a63da477550000_p_Instance _10a73da477550000_p_Instance _d0a83da477550000_p_Instance _10aa3da477550000_p_Instance _e0ab3da477550000_p_Instance _20ad3da477550000_p_Instance _d0ad3da477550000_p_Instance _30af3da477550000_p_Instance _d0b03da477550000_p_Instance _10b23da477550000_p_Instance _e0b33da477550000_p_Instance _20b53da477550000_p_Instance _60b63da477550000_p_Instance _a0b73da477550000_p_Instance _e0b83da477550000_p_Instance _b0ba3da477550000_p_Instance _f0bb3da477550000_p_Instance _c0bd3da477550000_p_Instance _00bf3da477550000_p_Instance _40c03da477550000_p_Instance _80c13da477550000_p_Instance _30c23da477550000_p_Instance _90c33da477550000_p_Instance _d0c43da477550000_p_Instance _10c63da477550000_p_Instance _50c73da477550000_p_Instance _90c83da477550000_p_Instance _30ca3da477550000_p_Instance _70cb3da477550000_p_Instance _40cd3da477550000_p_Instance _80ce3da477550000_p_Instance _50d03da477550000_p_Instance _90d13da477550000_p_Instance _d0d23da477550000_p_Instance _10d43da477550000_p_Instance _50d53da477550000_p_Instance _20d73da477550000_p_Instance _60d83da477550000_p_Instance _10d93da477550000_p_Instance _70da3da477550000_p_Instance _10dc3da477550000_p_Instance _50dd3da477550000_p_Instance _20df3da477550000_p_Instance _60e03da477550000_p_Instance _a0e13da477550000_p_Instance _e0e23da477550000_p_Instance _20e43da477550000_p_Instance _d0e43da477550000_p_Instance _30e63da477550000_p_Instance _70e73da477550000_p_Instance _10e93da477550000_p_Instance _50ea3da477550000_p_Instance _90eb3da477550000_p_Instance _40ec3da477550000_p_Instance _e0ec3da477550000_p_Instance _40ee3da477550000_p_Instance _e0ef3da477550000_p_Instance _20f13da477550000_p_Instance _f0f23da477550000_p_Instance _30f43da477550000_p_Instance _70f53da477550000_p_Instance _b0f63da477550000_p_Instance _f0f73da477550000_p_Instance _30f93da477550000_p_Instance _00fb3da477550000_p_Instance _d0fc3da477550000_p_Instance _10fe3da477550000_p_Instance _e0ff3da477550000_p_Instance _20013ea477550000_p_Instance _d0013ea477550000_p_Instance _30033ea477550000_p_Instance _d0043ea477550000_p_Instance _10063ea477550000_p_Instance _e0073ea477550000_p_Instance _20093ea477550000_p_Instance _600a3ea477550000_p_Instance _a00b3ea477550000_p_Instance _e00c3ea477550000_p_Instance _b00e3ea477550000_p_Instance _f00f3ea477550000_p_Instance _c0113ea477550000_p_Instance _00133ea477550000_p_Instance _40143ea477550000_p_Instance _80153ea477550000_p_Instance _30163ea477550000_p_Instance _90173ea477550000_p_Instance _d0183ea477550000_p_Instance _101a3ea477550000_p_Instance _501b3ea477550000_p_Instance _901c3ea477550000_p_Instance _301e3ea477550000_p_Instance _701f3ea477550000_p_Instance _40213ea477550000_p_Instance _80223ea477550000_p_Instance _50243ea477550000_p_Instance _90253ea477550000_p_Instance _d0263ea477550000_p_Instance _10283ea477550000_p_Instance _50293ea477550000_p_Instance _202b3ea477550000_p_Instance _602c3ea477550000_p_Instance _102d3ea477550000_p_Instance _702e3ea477550000_p_Instance _10303ea477550000_p_Instance _50313ea477550000_p_Instance _20333ea477550000_p_Instance _60343ea477550000_p_Instance _a0353ea477550000_p_Instance _e0363ea477550000_p_Instance _20383ea477550000_p_Instance _d0383ea477550000_p_Instance _70393ea477550000_p_Instance _d03a3ea477550000_p_Instance _703c3ea477550000_p_Instance _b03d3ea477550000_p_Instance _f03e3ea477550000_p_Instance _a03f3ea477550000_p_Instance _00413ea477550000_p_Instance _40423ea477550000_p_Instance _80433ea477550000_p_Instance _00443ea477550000_p_Instance _a0443ea477550000_p_Instance _00463ea477550000_p_Instance _40473ea477550000_p_Instance _80483ea477550000_p_Instance _204a3ea477550000_p_Instance _604b3ea477550000_p_Instance _104c3ea477550000_p_Instance _704d3ea477550000_p_Instance _b04e3ea477550000_p_Instance _304f3ea477550000_p_Instance _d04f3ea477550000_p_Instance _90513ea477550000_p_Instance _d0523ea477550000_p_Instance _a0543ea477550000_p_Instance _e0553ea477550000_p_Instance _20573ea477550000_p_Instance _d0573ea477550000_p_Instance _30593ea477550000_p_Instance _b0593ea477550000_p_Instance _705b3ea477550000_p_Instance _b05c3ea477550000_p_Instance _f05d3ea477550000_p_Instance _305f3ea477550000_p_Instance _70603ea477550000_p_Instance _b0613ea477550000_p_Instance _60623ea477550000_p_Instance _00633ea477550000_p_Instance _a0633ea477550000_p_Instance _00653ea477550000_p_Instance _40663ea477550000_p_Instance _80673ea477550000_p_Instance _20693ea477550000_p_Instance _606a3ea477550000_p_Instance _106b3ea477550000_p_Instance _b06b3ea477550000_p_Instance _106d3ea477550000_p_Instance _b06e3ea477550000_p_Instance _f06f3ea477550000_p_Instance _c0713ea477550000_p_Instance _00733ea477550000_p_Instance _40743ea477550000_p_Instance _80753ea477550000_p_Instance _c0763ea477550000_p_Instance _00783ea477550000_p_Instance _b0783ea477550000_p_Instance _707a3ea477550000_p_Instance _b07b3ea477550000_p_Instance _807d3ea477550000_p_Instance _c07e3ea477550000_p_Instance _707f3ea477550000_p_Instance _d0803ea477550000_p_Instance _70823ea477550000_p_Instance _b0833ea477550000_p_Instance _80853ea477550000_p_Instance _c0863ea477550000_p_Instance _00883ea477550000_p_Instance _40893ea477550000_p_Instance _808a3ea477550000_p_Instance _508c3ea477550000_p_Instance _908d3ea477550000_p_Instance _608f3ea477550000_p_Instance _a0903ea477550000_p_Instance _e0913ea477550000_p_Instance _20933ea477550000_p_Instance _d0933ea477550000_p_Instance _30953ea477550000_p_Instance _70963ea477550000_p_Instance _b0973ea477550000_p_Instance _f0983ea477550000_p_Instance _309a3ea477550000_p_Instance _d09b3ea477550000_p_Instance _109d3ea477550000_p_Instance _e09e3ea477550000_p_Instance _20a03ea477550000_p_Instance _f0a13ea477550000_p_Instance _30a33ea477550000_p_Instance _70a43ea477550000_p_Instance _b0a53ea477550000_p_Instance _f0a63ea477550000_p_Instance _c0a83ea477550000_p_Instance _00aa3ea477550000_p_Instance _b0aa3ea477550000_p_Instance _10ac3ea477550000_p_Instance _b0ad3ea477550000_p_Instance _f0ae3ea477550000_p_Instance _c0b03ea477550000_p_Instance _00b23ea477550000_p_Instance _40b33ea477550000_p_Instance _80b43ea477550000_p_Instance _c0b53ea477550000_p_Instance _70b63ea477550000_p_Instance _10b73ea477550000_p_Instance _70b83ea477550000_p_Instance _10ba3ea477550000_p_Instance _50bb3ea477550000_p_Instance _90bc3ea477550000_p_Instance _40bd3ea477550000_p_Instance _a0be3ea477550000_p_Instance _e0bf3ea477550000_p_Instance _20c13ea477550000_p_Instance _a0c13ea477550000_p_Instance _40c23ea477550000_p_Instance _a0c33ea477550000_p_Instance _e0c43ea477550000_p_Instance _20c63ea477550000_p_Instance _c0c73ea477550000_p_Instance _00c93ea477550000_p_Instance _b0c93ea477550000_p_Instance _10cb3ea477550000_p_Instance _50cc3ea477550000_p_Instance _d0cc3ea477550000_p_Instance _70cd3ea477550000_p_Instance _30cf3ea477550000_p_Instance _70d03ea477550000_p_Instance _40d23ea477550000_p_Instance _80d33ea477550000_p_Instance _c0d43ea477550000_p_Instance _70d53ea477550000_p_Instance _d0d63ea477550000_p_Instance _50d73ea477550000_p_Instance _10d93ea477550000_p_Instance _50da3ea477550000_p_Instance _90db3ea477550000_p_Instance _d0dc3ea477550000_p_Instance _10de3ea477550000_p_Instance _50df3ea477550000_p_Instance _00e03ea477550000_p_Instance _c0e13ea477550000_p_Instance _70e23ea477550000_p_Instance _10e33ea477550000_p_Instance _70e43ea477550000_p_Instance _b0e53ea477550000_p_Instance _f0e63ea477550000_p_Instance _90e83ea477550000_p_Instance _d0e93ea477550000_p_Instance _80ea3ea477550000_p_Instance _20eb3ea477550000_p_Instance _80ec3ea477550000_p_Instance _20ee3ea477550000_p_Instance _60ef3ea477550000_p_Instance _30f13ea477550000_p_Instance _70f23ea477550000_p_Instance _b0f33ea477550000_p_Instance _f0f43ea477550000_p_Instance _30f63ea477550000_p_Instance _70f73ea477550000_p_Instance _20f83ea477550000_p_Instance _e0f93ea477550000_p_Instance _20fb3ea477550000_p_Instance _f0fc3ea477550000_p_Instance _30fe3ea477550000_p_Instance _e0fe3ea477550000_p_Instance _40003fa477550000_p_Instance _e0013fa477550000_p_Instance _20033fa477550000_p_Instance _f0043fa477550000_p_Instance _30063fa477550000_p_Instance _70073fa477550000_p_Instance _b0083fa477550000_p_Instance _f0093fa477550000_p_Instance _c00b3fa477550000_p_Instance _000d3fa477550000_p_Instance _d00e3fa477550000_p_Instance _10103fa477550000_p_Instance _50113fa477550000_p_Instance _90123fa477550000_p_Instance _40133fa477550000_p_Instance _a0143fa477550000_p_Instance _e0153fa477550000_p_Instance _20173fa477550000_p_Instance _60183fa477550000_p_Instance _a0193fa477550000_p_Instance _401b3fa477550000_p_Instance _801c3fa477550000_p_Instance _501e3fa477550000_p_Instance _901f3fa477550000_p_Instance _60213fa477550000_p_Instance _a0223fa477550000_p_Instance _e0233fa477550000_p_Instance _20253fa477550000_p_Instance _60263fa477550000_p_Instance _30283fa477550000_p_Instance _70293fa477550000_p_Instance _202a3fa477550000_p_Instance _802b3fa477550000_p_Instance _202d3fa477550000_p_Instance _602e3fa477550000_p_Instance _30303fa477550000_p_Instance _70313fa477550000_p_Instance _b0323fa477550000_p_Instance _f0333fa477550000_p_Instance _30353fa477550000_p_Instance _e0353fa477550000_p_Instance _a0373fa477550000_p_Instance _e0383fa477550000_p_Instance _b03a3fa477550000_p_Instance _f03b3fa477550000_p_Instance _303d3fa477550000_p_Instance _e03d3fa477550000_p_Instance _403f3fa477550000_p_Instance _80403fa477550000_p_Instance _00413fa477550000_p_Instance _a0413fa477550000_p_Instance _00433fa477550000_p_Instance _40443fa477550000_p_Instance _80453fa477550000_p_Instance _20473fa477550000_p_Instance _60483fa477550000_p_Instance _a0493fa477550000_p_Instance _e04a3fa477550000_p_Instance _204c3fa477550000_p_Instance _d04c3fa477550000_p_Instance _704d3fa477550000_p_Instance _304f3fa477550000_p_Instance _70503fa477550000_p_Instance _40523fa477550000_p_Instance _80533fa477550000_p_Instance _c0543fa477550000_p_Instance _70553fa477550000_p_Instance _10563fa477550000_p_Instance _70573fa477550000_p_Instance _10593fa477550000_p_Instance _505a3fa477550000_p_Instance _905b3fa477550000_p_Instance _d05c3fa477550000_p_Instance _105e3fa477550000_p_Instance _505f3fa477550000_p_Instance _00603fa477550000_p_Instance _a0603fa477550000_p_Instance _40613fa477550000_p_Instance _00633fa477550000_p_Instance _40643fa477550000_p_Instance _80653fa477550000_p_Instance _c0663fa477550000_p_Instance _00683fa477550000_p_Instance _b0683fa477550000_p_Instance _50693fa477550000_p_Instance _b06a3fa477550000_p_Instance _506c3fa477550000_p_Instance _906d3fa477550000_p_Instance _606f3fa477550000_p_Instance _a0703fa477550000_p_Instance _e0713fa477550000_p_Instance _20733fa477550000_p_Instance _60743fa477550000_p_Instance _a0753fa477550000_p_Instance _70773fa477550000_p_Instance _40793fa477550000_p_Instance _807a3fa477550000_p_Instance _507c3fa477550000_p_Instance _907d3fa477550000_p_Instance _407e3fa477550000_p_Instance _a07f3fa477550000_p_Instance _40813fa477550000_p_Instance _80823fa477550000_p_Instance _50843fa477550000_p_Instance _90853fa477550000_p_Instance _d0863fa477550000_p_Instance _10883fa477550000_p_Instance _50893fa477550000_p_Instance _208b3fa477550000_p_Instance _608c3fa477550000_p_Instance _308e3fa477550000_p_Instance _708f3fa477550000_p_Instance _b0903fa477550000_p_Instance _f0913fa477550000_p_Instance _a0923fa477550000_p_Instance _00943fa477550000_p_Instance _40953fa477550000_p_Instance _80963fa477550000_p_Instance _c0973fa477550000_p_Instance _00993fa477550000_p_Instance _a09a3fa477550000_p_Instance _e09b3fa477550000_p_Instance _b09d3fa477550000_p_Instance _f09e3fa477550000_p_Instance _c0a03fa477550000_p_Instance _00a23fa477550000_p_Instance _40a33fa477550000_p_Instance _80a43fa477550000_p_Instance _c0a53fa477550000_p_Instance _90a73fa477550000_p_Instance _d0a83fa477550000_p_Instance _80a93fa477550000_p_Instance _e0aa3fa477550000_p_Instance _80ac3fa477550000_p_Instance _c0ad3fa477550000_p_Instance _90af3fa477550000_p_Instance _d0b03fa477550000_p_Instance _10b23fa477550000_p_Instance _50b33fa477550000_p_Instance _90b43fa477550000_p_Instance _40b53fa477550000_p_Instance _e0b53fa477550000_p_Instance _40b73fa477550000_p_Instance _e0b83fa477550000_p_Instance _20ba3fa477550000_p_Instance _60bb3fa477550000_p_Instance _10bc3fa477550000_p_Instance _70bd3fa477550000_p_Instance _b0be3fa477550000_p_Instance _30bf3fa477550000_p_Instance _d0bf3fa477550000_p_Instance _30c13fa477550000_p_Instance _70c23fa477550000_p_Instance _b0c33fa477550000_p_Instance _50c53fa477550000_p_Instance _90c63fa477550000_p_Instance _d0c73fa477550000_p_Instance _80c83fa477550000_p_Instance _e0c93fa477550000_p_Instance _60ca3fa477550000_p_Instance _00cb3fa477550000_p_Instance _c0cc3fa477550000_p_Instance _00ce3fa477550000_p_Instance _d0cf3fa477550000_p_Instance _10d13fa477550000_p_Instance _50d23fa477550000_p_Instance _00d33fa477550000_p_Instance _a0d33fa477550000_p_Instance _00d53fa477550000_p_Instance _a0d63fa477550000_p_Instance _e0d73fa477550000_p_Instance _20d93fa477550000_p_Instance _60da3fa477550000_p_Instance _a0db3fa477550000_p_Instance _e0dc3fa477550000_p_Instance _90dd3fa477550000_p_Instance _50df3fa477550000_p_Instance _00e03fa477550000_p_Instance _a0e03fa477550000_p_Instance _60e23fa477550000_p_Instance _a0e33fa477550000_p_Instance _e0e43fa477550000_p_Instance _20e63fa477550000_p_Instance _60e73fa477550000_p_Instance _10e83fa477550000_p_Instance _b0e83fa477550000_p_Instance _10ea3fa477550000_p_Instance _b0eb3fa477550000_p_Instance _f0ec3fa477550000_p_Instance _c0ee3fa477550000_p_Instance _00f03fa477550000_p_Instance _40f13fa477550000_p_Instance _80f23fa477550000_p_Instance _c0f33fa477550000_p_Instance _00f53fa477550000_p_Instance _b0f53fa477550000_p_Instance _70f73fa477550000_p_Instance _b0f83fa477550000_p_Instance _80fa3fa477550000_p_Instance _c0fb3fa477550000_p_Instance _70fc3fa477550000_p_Instance _d0fd3fa477550000_p_Instance _70ff3fa477550000_p_Instance _b00040a477550000_p_Instance _800240a477550000_p_Instance _c00340a477550000_p_Instance _000540a477550000_p_Instance _400640a477550000_p_Instance _800740a477550000_p_Instance _500940a477550000_p_Instance _900a40a477550000_p_Instance _600c40a477550000_p_Instance _a00d40a477550000_p_Instance _e00e40a477550000_p_Instance _201040a477550000_p_Instance _d01040a477550000_p_Instance _301240a477550000_p_Instance _701340a477550000_p_Instance _b01440a477550000_p_Instance _f01540a477550000_p_Instance _301740a477550000_p_Instance _d01840a477550000_p_Instance _101a40a477550000_p_Instance _e01b40a477550000_p_Instance _201d40a477550000_p_Instance _f01e40a477550000_p_Instance _302040a477550000_p_Instance _702140a477550000_p_Instance _b02240a477550000_p_Instance _f02340a477550000_p_Instance _c02540a477550000_p_Instance _002740a477550000_p_Instance _b02740a477550000_p_Instance _102940a477550000_p_Instance _b02a40a477550000_p_Instance _f02b40a477550000_p_Instance _c02d40a477550000_p_Instance _002f40a477550000_p_Instance _403040a477550000_p_Instance _803140a477550000_p_Instance _c03240a477550000_p_Instance _703340a477550000_p_Instance _d03440a477550000_p_Instance _103640a477550000_p_Instance _b03740a477550000_p_Instance _f03840a477550000_p_Instance _303a40a477550000_p_Instance _e03a40a477550000_p_Instance _403c40a477550000_p_Instance _803d40a477550000_p_Instance _c03e40a477550000_p_Instance _403f40a477550000_p_Instance _e03f40a477550000_p_Instance _404140a477550000_p_Instance _804240a477550000_p_Instance _c04340a477550000_p_Instance _604540a477550000_p_Instance _a04640a477550000_p_Instance _504740a477550000_p_Instance _b04840a477550000_p_Instance _f04940a477550000_p_Instance _704a40a477550000_p_Instance _104b40a477550000_p_Instance _d04c40a477550000_p_Instance _104e40a477550000_p_Instance _e04f40a477550000_p_Instance _205140a477550000_p_Instance _605240a477550000_p_Instance _105340a477550000_p_Instance _705440a477550000_p_Instance _f05440a477550000_p_Instance _b05640a477550000_p_Instance _f05740a477550000_p_Instance _305940a477550000_p_Instance _705a40a477550000_p_Instance _b05b40a477550000_p_Instance _f05c40a477550000_p_Instance _a05d40a477550000_p_Instance _405e40a477550000_p_Instance _e05e40a477550000_p_Instance _805f40a477550000_p_Instance _e06040a477550000_p_Instance _206240a477550000_p_Instance _606340a477550000_p_Instance _006540a477550000_p_Instance _406640a477550000_p_Instance _f06640a477550000_p_Instance _906740a477550000_p_Instance _f06840a477550000_p_Instance _906a40a477550000_p_Instance _d06b40a477550000_p_Instance _a06d40a477550000_p_Instance _e06e40a477550000_p_Instance _207040a477550000_p_Instance _607140a477550000_p_Instance _a07240a477550000_p_Instance _e07340a477550000_p_Instance _907440a477550000_p_Instance _507640a477550000_p_Instance _907740a477550000_p_Instance _607940a477550000_p_Instance _a07a40a477550000_p_Instance _507b40a477550000_p_Instance _b07c40a477550000_p_Instance _507e40a477550000_p_Instance _907f40a477550000_p_Instance _608140a477550000_p_Instance _a08240a477550000_p_Instance _e08340a477550000_p_Instance _208540a477550000_p_Instance _608640a477550000_p_Instance _308840a477550000_p_Instance _708940a477550000_p_Instance _408b40a477550000_p_Instance _808c40a477550000_p_Instance _c08d40a477550000_p_Instance _008f40a477550000_p_Instance _b08f40a477550000_p_Instance _109140a477550000_p_Instance _509240a477550000_p_Instance _909340a477550000_p_Instance _d09440a477550000_p_Instance _109640a477550000_p_Instance _b09740a477550000_p_Instance _f09840a477550000_p_Instance _c09a40a477550000_p_Instance _009c40a477550000_p_Instance _d09d40a477550000_p_Instance _109f40a477550000_p_Instance _50a040a477550000_p_Instance _90a140a477550000_p_Instance _d0a240a477550000_p_Instance _a0a440a477550000_p_Instance _e0a540a477550000_p_Instance _90a640a477550000_p_Instance _f0a740a477550000_p_Instance _90a940a477550000_p_Instance _d0aa40a477550000_p_Instance _a0ac40a477550000_p_Instance _e0ad40a477550000_p_Instance _20af40a477550000_p_Instance _60b040a477550000_p_Instance _a0b140a477550000_p_Instance _50b240a477550000_p_Instance _f0b240a477550000_p_Instance _50b440a477550000_p_Instance _90b540a477550000_p_Instance _30b740a477550000_p_Instance _70b840a477550000_p_Instance _b0b940a477550000_p_Instance _60ba40a477550000_p_Instance _c0bb40a477550000_p_Instance _00bd40a477550000_p_Instance _80bd40a477550000_p_Instance _20be40a477550000_p_Instance _80bf40a477550000_p_Instance _c0c040a477550000_p_Instance _00c240a477550000_p_Instance _a0c340a477550000_p_Instance _e0c440a477550000_p_Instance _20c640a477550000_p_Instance _d0c640a477550000_p_Instance _30c840a477550000_p_Instance _b0c840a477550000_p_Instance _50c940a477550000_p_Instance _10cb40a477550000_p_Instance _50cc40a477550000_p_Instance _20ce40a477550000_p_Instance _60cf40a477550000_p_Instance _a0d040a477550000_p_Instance _50d140a477550000_p_Instance _f0d140a477550000_p_Instance _50d340a477550000_p_Instance _f0d440a477550000_p_Instance _30d640a477550000_p_Instance _70d740a477550000_p_Instance _b0d840a477550000_p_Instance _f0d940a477550000_p_Instance _30db40a477550000_p_Instance _e0db40a477550000_p_Instance _a0dd40a477550000_p_Instance _50de40a477550000_p_Instance _f0de40a477550000_p_Instance _b0e040a477550000_p_Instance _f0e140a477550000_p_Instance _30e340a477550000_p_Instance _70e440a477550000_p_Instance _b0e540a477550000_p_Instance _60e640a477550000_p_Instance _c0e740a477550000_p_Instance _00e940a477550000_p_Instance _40ea40a477550000_p_Instance _c0ea40a477550000_p_Instance _60eb40a477550000_p_Instance _c0ec40a477550000_p_Instance _00ee40a477550000_p_Instance _40ef40a477550000_p_Instance _e0f040a477550000_p_Instance _20f240a477550000_p_Instance _d0f240a477550000_p_Instance _30f440a477550000_p_Instance _70f540a477550000_p_Instance _f0f540a477550000_p_Instance _90f640a477550000_p_Instance _50f840a477550000_p_Instance _90f940a477550000_p_Instance _60fb40a477550000_p_Instance _a0fc40a477550000_p_Instance _e0fd40a477550000_p_Instance _90fe40a477550000_p_Instance _f0ff40a477550000_p_Instance _700041a477550000_p_Instance _300241a477550000_p_Instance _700341a477550000_p_Instance _b00441a477550000_p_Instance _f00541a477550000_p_Instance _300741a477550000_p_Instance _700841a477550000_p_Instance _200941a477550000_p_Instance _c00941a477550000_p_Instance _600a41a477550000_p_Instance _000b41a477550000_p_Instance _600c41a477550000_p_Instance _a00d41a477550000_p_Instance _e00e41a477550000_p_Instance _801041a477550000_p_Instance _c01141a477550000_p_Instance _701241a477550000_p_Instance _101341a477550000_p_Instance _701441a477550000_p_Instance _101641a477550000_p_Instance _501741a477550000_p_Instance _201941a477550000_p_Instance _601a41a477550000_p_Instance _a01b41a477550000_p_Instance _e01c41a477550000_p_Instance _201e41a477550000_p_Instance _601f41a477550000_p_Instance _102041a477550000_p_Instance _d02141a477550000_p_Instance _102341a477550000_p_Instance _e02441a477550000_p_Instance _202641a477550000_p_Instance _d02641a477550000_p_Instance _302841a477550000_p_Instance _d02941a477550000_p_Instance _102b41a477550000_p_Instance _e02c41a477550000_p_Instance _202e41a477550000_p_Instance _602f41a477550000_p_Instance _a03041a477550000_p_Instance _e03141a477550000_p_Instance _b03341a477550000_p_Instance _f03441a477550000_p_Instance _c03641a477550000_p_Instance _003841a477550000_p_Instance _403941a477550000_p_Instance _803a41a477550000_p_Instance _303b41a477550000_p_Instance _903c41a477550000_p_Instance _d03d41a477550000_p_Instance _103f41a477550000_p_Instance _504041a477550000_p_Instance _904141a477550000_p_Instance _304341a477550000_p_Instance _704441a477550000_p_Instance _404641a477550000_p_Instance _804741a477550000_p_Instance _504941a477550000_p_Instance _904a41a477550000_p_Instance _d04b41a477550000_p_Instance _104d41a477550000_p_Instance _504e41a477550000_p_Instance _205041a477550000_p_Instance _605141a477550000_p_Instance _105241a477550000_p_Instance _705341a477550000_p_Instance _105541a477550000_p_Instance _505641a477550000_p_Instance _205841a477550000_p_Instance _605941a477550000_p_Instance _a05a41a477550000_p_Instance _e05b41a477550000_p_Instance _205d41a477550000_p_Instance _d05d41a477550000_p_Instance _705e41a477550000_p_Instance _105f41a477550000_p_Instance _706041a477550000_p_Instance _106241a477550000_p_Instance _506341a477550000_p_Instance _906441a477550000_p_Instance _406541a477550000_p_Instance _a06641a477550000_p_Instance _e06741a477550000_p_Instance _206941a477550000_p_Instance _a06941a477550000_p_Instance _406a41a477550000_p_Instance _a06b41a477550000_p_Instance _e06c41a477550000_p_Instance _206e41a477550000_p_Instance _c06f41a477550000_p_Instance _007141a477550000_p_Instance _b07141a477550000_p_Instance _107341a477550000_p_Instance _507441a477550000_p_Instance _d07441a477550000_p_Instance _707541a477550000_p_Instance _307741a477550000_p_Instance _707841a477550000_p_Instance _407a41a477550000_p_Instance _807b41a477550000_p_Instance _c07c41a477550000_p_Instance _707d41a477550000_p_Instance _d07e41a477550000_p_Instance _507f41a477550000_p_Instance _108141a477550000_p_Instance _508241a477550000_p_Instance _908341a477550000_p_Instance _d08441a477550000_p_Instance _108641a477550000_p_Instance _508741a477550000_p_Instance _008841a477550000_p_Instance _a08841a477550000_p_Instance _008a41a477550000_p_Instance _408b41a477550000_p_Instance _c08b41a477550000_p_Instance _808d41a477550000_p_Instance _308e41a477550000_p_Instance _d08e41a477550000_p_Instance _909041a477550000_p_Instance _d09141a477550000_p_Instance _109341a477550000_p_Instance _509441a477550000_p_Instance _009541a477550000_p_Instance _609641a477550000_p_Instance _e09641a477550000_p_Instance _409841a477550000_p_Instance _e09941a477550000_p_Instance _209b41a477550000_p_Instance _f09c41a477550000_p_Instance _309e41a477550000_p_Instance _709f41a477550000_p_Instance _b0a041a477550000_p_Instance _f0a141a477550000_p_Instance _a0a241a477550000_p_Instance _00a441a477550000_p_Instance _a0a541a477550000_p_Instance _e0a641a477550000_p_Instance _b0a841a477550000_p_Instance _f0a941a477550000_p_Instance _a0aa41a477550000_p_Instance _00ac41a477550000_p_Instance _a0ad41a477550000_p_Instance _e0ae41a477550000_p_Instance _b0b041a477550000_p_Instance _f0b141a477550000_p_Instance _30b341a477550000_p_Instance _70b441a477550000_p_Instance _b0b541a477550000_p_Instance _80b741a477550000_p_Instance _c0b841a477550000_p_Instance _90ba41a477550000_p_Instance _d0bb41a477550000_p_Instance _10bd41a477550000_p_Instance _50be41a477550000_p_Instance _00bf41a477550000_p_Instance _60c041a477550000_p_Instance _a0c141a477550000_p_Instance _e0c241a477550000_p_Instance _20c441a477550000_p_Instance _60c541a477550000_p_Instance _00c741a477550000_p_Instance _40c841a477550000_p_Instance _10ca41a477550000_p_Instance _50cb41a477550000_p_Instance _20cd41a477550000_p_Instance _60ce41a477550000_p_Instance _30d041a477550000_p_Instance _70d141a477550000_p_Instance _b0d241a477550000_p_Instance _80d441a477550000_p_Instance _c0d541a477550000_p_Instance _70d641a477550000_p_Instance _d0d741a477550000_p_Instance _70d941a477550000_p_Instance _b0da41a477550000_p_Instance _80dc41a477550000_p_Instance _c0dd41a477550000_p_Instance _00df41a477550000_p_Instance _40e041a477550000_p_Instance _80e141a477550000_p_Instance _30e241a477550000_p_Instance _d0e241a477550000_p_Instance _30e441a477550000_p_Instance _b0e441a477550000_p_Instance _70e641a477550000_p_Instance _b0e741a477550000_p_Instance _f0e841a477550000_p_Instance _c0ea41a477550000_p_Instance _00ec41a477550000_p_Instance _b0ec41a477550000_p_Instance _10ee41a477550000_p_Instance _50ef41a477550000_p_Instance _90f041a477550000_p_Instance _10f141a477550000_p_Instance _b0f141a477550000_p_Instance _10f341a477550000_p_Instance _50f441a477550000_p_Instance _90f541a477550000_p_Instance _30f741a477550000_p_Instance _70f841a477550000_p_Instance _20f941a477550000_p_Instance _80fa41a477550000_p_Instance _c0fb41a477550000_p_Instance _40fc41a477550000_p_Instance _e0fc41a477550000_p_Instance _a0fe41a477550000_p_Instance _e0ff41a477550000_p_Instance _b00142a477550000_p_Instance _f00242a477550000_p_Instance _300442a477550000_p_Instance _e00442a477550000_p_Instance _400642a477550000_p_Instance _c00642a477550000_p_Instance _800842a477550000_p_Instance _c00942a477550000_p_Instance _000b42a477550000_p_Instance _400c42a477550000_p_Instance _800d42a477550000_p_Instance _c00e42a477550000_p_Instance _700f42a477550000_p_Instance _101042a477550000_p_Instance _701142a477550000_p_Instance _b01242a477550000_p_Instance _301342a477550000_p_Instance _f01442a477550000_p_Instance _a01542a477550000_p_Instance _401642a477550000_p_Instance _001842a477550000_p_Instance _401942a477550000_p_Instance _801a42a477550000_p_Instance _c01b42a477550000_p_Instance _701c42a477550000_p_Instance _d01d42a477550000_p_Instance _501e42a477550000_p_Instance _b01f42a477550000_p_Instance _502142a477550000_p_Instance _902242a477550000_p_Instance _602442a477550000_p_Instance _a02542a477550000_p_Instance _e02642a477550000_p_Instance _202842a477550000_p_Instance _602942a477550000_p_Instance _102a42a477550000_p_Instance _702b42a477550000_p_Instance _102d42a477550000_p_Instance _502e42a477550000_p_Instance _203042a477550000_p_Instance _603142a477550000_p_Instance _103242a477550000_p_Instance _703342a477550000_p_Instance _103542a477550000_p_Instance _503642a477550000_p_Instance _203842a477550000_p_Instance _603942a477550000_p_Instance _a03a42a477550000_p_Instance _e03b42a477550000_p_Instance _203d42a477550000_p_Instance _f03e42a477550000_p_Instance _304042a477550000_p_Instance _004242a477550000_p_Instance _404342a477550000_p_Instance _804442a477550000_p_Instance _c04542a477550000_p_Instance _704642a477550000_p_Instance _d04742a477550000_p_Instance _104942a477550000_p_Instance _504a42a477550000_p_Instance _904b42a477550000_p_Instance _d04c42a477550000_p_Instance _704e42a477550000_p_Instance _b04f42a477550000_p_Instance _805142a477550000_p_Instance _c05242a477550000_p_Instance _905442a477550000_p_Instance _d05542a477550000_p_Instance _a05742a477550000_p_Instance _e05842a477550000_p_Instance _205a42a477550000_p_Instance _f05b42a477550000_p_Instance _305d42a477550000_p_Instance _e05d42a477550000_p_Instance _405f42a477550000_p_Instance _e06042a477550000_p_Instance _206242a477550000_p_Instance _f06342a477550000_p_Instance _306542a477550000_p_Instance _706642a477550000_p_Instance _b06742a477550000_p_Instance _f06842a477550000_p_Instance _a06942a477550000_p_Instance _406a42a477550000_p_Instance _a06b42a477550000_p_Instance _206c42a477550000_p_Instance _e06d42a477550000_p_Instance _206f42a477550000_p_Instance _607042a477550000_p_Instance _307242a477550000_p_Instance _707342a477550000_p_Instance _207442a477550000_p_Instance _807542a477550000_p_Instance _c07642a477550000_p_Instance _007842a477550000_p_Instance _807842a477550000_p_Instance _207942a477550000_p_Instance _807a42a477550000_p_Instance _c07b42a477550000_p_Instance _007d42a477550000_p_Instance _a07e42a477550000_p_Instance _e07f42a477550000_p_Instance _908042a477550000_p_Instance _f08142a477550000_p_Instance _308342a477550000_p_Instance _b08342a477550000_p_Instance _508442a477550000_p_Instance _108642a477550000_p_Instance _508742a477550000_p_Instance _208942a477550000_p_Instance _608a42a477550000_p_Instance _a08b42a477550000_p_Instance _508c42a477550000_p_Instance _b08d42a477550000_p_Instance _308e42a477550000_p_Instance _f08f42a477550000_p_Instance _309142a477550000_p_Instance _709242a477550000_p_Instance _b09342a477550000_p_Instance _f09442a477550000_p_Instance _309642a477550000_p_Instance _e09642a477550000_p_Instance _809742a477550000_p_Instance _e09842a477550000_p_Instance _209a42a477550000_p_Instance _a09a42a477550000_p_Instance _609c42a477550000_p_Instance _109d42a477550000_p_Instance _b09d42a477550000_p_Instance _709f42a477550000_p_Instance _b0a042a477550000_p_Instance _f0a142a477550000_p_Instance _30a342a477550000_p_Instance _e0a342a477550000_p_Instance _40a542a477550000_p_Instance _c0a542a477550000_p_Instance _20a742a477550000_p_Instance _c0a842a477550000_p_Instance _00aa42a477550000_p_Instance _d0ab42a477550000_p_Instance _10ad42a477550000_p_Instance _50ae42a477550000_p_Instance _90af42a477550000_p_Instance _d0b042a477550000_p_Instance _80b142a477550000_p_Instance _e0b242a477550000_p_Instance _80b442a477550000_p_Instance _c0b542a477550000_p_Instance _90b742a477550000_p_Instance _d0b842a477550000_p_Instance _80b942a477550000_p_Instance _e0ba42a477550000_p_Instance _80bc42a477550000_p_Instance _c0bd42a477550000_p_Instance _90bf42a477550000_p_Instance _d0c042a477550000_p_Instance _10c242a477550000_p_Instance _50c342a477550000_p_Instance _90c442a477550000_p_Instance _60c642a477550000_p_Instance _a0c742a477550000_p_Instance _70c942a477550000_p_Instance _b0ca42a477550000_p_Instance _f0cb42a477550000_p_Instance _30cd42a477550000_p_Instance _e0cd42a477550000_p_Instance _40cf42a477550000_p_Instance _80d042a477550000_p_Instance _c0d142a477550000_p_Instance _00d342a477550000_p_Instance _40d442a477550000_p_Instance _e0d542a477550000_p_Instance _20d742a477550000_p_Instance _f0d842a477550000_p_Instance _30da42a477550000_p_Instance _00dc42a477550000_p_Instance _40dd42a477550000_p_Instance _10df42a477550000_p_Instance _50e042a477550000_p_Instance _90e142a477550000_p_Instance _60e342a477550000_p_Instance _a0e442a477550000_p_Instance _50e542a477550000_p_Instance _b0e642a477550000_p_Instance _50e842a477550000_p_Instance _90e942a477550000_p_Instance _60eb42a477550000_p_Instance _a0ec42a477550000_p_Instance _e0ed42a477550000_p_Instance _20ef42a477550000_p_Instance _60f042a477550000_p_Instance _10f142a477550000_p_Instance _b0f142a477550000_p_Instance _10f342a477550000_p_Instance _90f342a477550000_p_Instance _50f542a477550000_p_Instance _90f642a477550000_p_Instance _d0f742a477550000_p_Instance _a0f942a477550000_p_Instance _e0fa42a477550000_p_Instance _90fb42a477550000_p_Instance _f0fc42a477550000_p_Instance _30fe42a477550000_p_Instance _70ff42a477550000_p_Instance _f0ff42a477550000_p_Instance _900043a477550000_p_Instance _f00143a477550000_p_Instance _300343a477550000_p_Instance _700443a477550000_p_Instance _100643a477550000_p_Instance _500743a477550000_p_Instance _000843a477550000_p_Instance _600943a477550000_p_Instance _a00a43a477550000_p_Instance _200b43a477550000_p_Instance _c00b43a477550000_p_Instance _800d43a477550000_p_Instance _c00e43a477550000_p_Instance _901043a477550000_p_Instance _d01143a477550000_p_Instance _101343a477550000_p_Instance _c01343a477550000_p_Instance _201543a477550000_p_Instance _a01543a477550000_p_Instance _601743a477550000_p_Instance _a01843a477550000_p_Instance _e01943a477550000_p_Instance _201b43a477550000_p_Instance _601c43a477550000_p_Instance _a01d43a477550000_p_Instance _501e43a477550000_p_Instance _f01e43a477550000_p_Instance _502043a477550000_p_Instance _902143a477550000_p_Instance _102243a477550000_p_Instance _d02343a477550000_p_Instance _802443a477550000_p_Instance _202543a477550000_p_Instance _e02643a477550000_p_Instance _202843a477550000_p_Instance _602943a477550000_p_Instance _a02a43a477550000_p_Instance _502b43a477550000_p_Instance _b02c43a477550000_p_Instance _302d43a477550000_p_Instance _902e43a477550000_p_Instance _303043a477550000_p_Instance _703143a477550000_p_Instance _403343a477550000_p_Instance _803443a477550000_p_Instance _c03543a477550000_p_Instance _003743a477550000_p_Instance _403843a477550000_p_Instance _f03843a477550000_p_Instance _503a43a477550000_p_Instance _f03b43a477550000_p_Instance _303d43a477550000_p_Instance _003f43a477550000_p_Instance _404043a477550000_p_Instance _f04043a477550000_p_Instance _504243a477550000_p_Instance _f04343a477550000_p_Instance _304543a477550000_p_Instance _004743a477550000_p_Instance _404843a477550000_p_Instance _804943a477550000_p_Instance _c04a43a477550000_p_Instance _004c43a477550000_p_Instance _d04d43a477550000_p_Instance _104f43a477550000_p_Instance _e05043a477550000_p_Instance _205243a477550000_p_Instance _605343a477550000_p_Instance _a05443a477550000_p_Instance _505543a477550000_p_Instance _b05643a477550000_p_Instance _f05743a477550000_p_Instance _305943a477550000_p_Instance _705a43a477550000_p_Instance _b05b43a477550000_p_Instance _505d43a477550000_p_Instance _905e43a477550000_p_Instance _606043a477550000_p_Instance _a06143a477550000_p_Instance _706343a477550000_p_Instance _b06443a477550000_p_Instance _806643a477550000_p_Instance _c06743a477550000_p_Instance _006943a477550000_p_Instance _d06a43a477550000_p_Instance _106c43a477550000_p_Instance _c06c43a477550000_p_Instance _206e43a477550000_p_Instance _c06f43a477550000_p_Instance _007143a477550000_p_Instance _d07243a477550000_p_Instance _107443a477550000_p_Instance _507543a477550000_p_Instance _907643a477550000_p_Instance _d07743a477550000_p_Instance _807843a477550000_p_Instance _207943a477550000_p_Instance _807a43a477550000_p_Instance _007b43a477550000_p_Instance _c07c43a477550000_p_Instance _007e43a477550000_p_Instance _407f43a477550000_p_Instance _108143a477550000_p_Instance _508243a477550000_p_Instance _008343a477550000_p_Instance _a08343a477550000_p_Instance _008543a477550000_p_Instance _a08643a477550000_p_Instance _e08743a477550000_p_Instance _b08943a477550000_p_Instance _f08a43a477550000_p_Instance _308c43a477550000_p_Instance _708d43a477550000_p_Instance _b08e43a477550000_p_Instance _f08f43a477550000_p_Instance _a09043a477550000_p_Instance _609243a477550000_p_Instance _a09343a477550000_p_Instance _709543a477550000_p_Instance _b09643a477550000_p_Instance _609743a477550000_p_Instance _c09843a477550000_p_Instance _609a43a477550000_p_Instance _a09b43a477550000_p_Instance _709d43a477550000_p_Instance _b09e43a477550000_p_Instance _f09f43a477550000_p_Instance _30a143a477550000_p_Instance _70a243a477550000_p_Instance _40a443a477550000_p_Instance _80a543a477550000_p_Instance _50a743a477550000_p_Instance _90a843a477550000_p_Instance _d0a943a477550000_p_Instance _10ab43a477550000_p_Instance _c0ab43a477550000_p_Instance _20ad43a477550000_p_Instance _60ae43a477550000_p_Instance _a0af43a477550000_p_Instance _e0b043a477550000_p_Instance _20b243a477550000_p_Instance _c0b343a477550000_p_Instance _00b543a477550000_p_Instance _d0b643a477550000_p_Instance _10b843a477550000_p_Instance _e0b943a477550000_p_Instance _20bb43a477550000_p_Instance _60bc43a477550000_p_Instance _a0bd43a477550000_p_Instance _e0be43a477550000_p_Instance _b0c043a477550000_p_Instance _f0c143a477550000_p_Instance _a0c243a477550000_p_Instance _00c443a477550000_p_Instance _a0c543a477550000_p_Instance _e0c643a477550000_p_Instance _b0c843a477550000_p_Instance _f0c943a477550000_p_Instance _30cb43a477550000_p_Instance _70cc43a477550000_p_Instance _b0cd43a477550000_p_Instance _60ce43a477550000_p_Instance _c0cf43a477550000_p_Instance _00d143a477550000_p_Instance _a0d243a477550000_p_Instance _e0d343a477550000_p_Instance _20d543a477550000_p_Instance _d0d543a477550000_p_Instance _30d743a477550000_p_Instance _70d843a477550000_p_Instance _b0d943a477550000_p_Instance _30da43a477550000_p_Instance _d0da43a477550000_p_Instance _30dc43a477550000_p_Instance _70dd43a477550000_p_Instance _b0de43a477550000_p_Instance _50e043a477550000_p_Instance _90e143a477550000_p_Instance _40e243a477550000_p_Instance _a0e343a477550000_p_Instance _e0e443a477550000_p_Instance _60e543a477550000_p_Instance _00e643a477550000_p_Instance _c0e743a477550000_p_Instance _00e943a477550000_p_Instance _d0ea43a477550000_p_Instance _10ec43a477550000_p_Instance _50ed43a477550000_p_Instance _00ee43a477550000_p_Instance _60ef43a477550000_p_Instance _e0ef43a477550000_p_Instance _a0f143a477550000_p_Instance _e0f243a477550000_p_Instance _20f443a477550000_p_Instance _60f543a477550000_p_Instance _a0f643a477550000_p_Instance _e0f743a477550000_p_Instance _90f843a477550000_p_Instance _30f943a477550000_p_Instance _90fa43a477550000_p_Instance _d0fb43a477550000_p_Instance _50fc43a477550000_p_Instance _10fe43a477550000_p_Instance _c0fe43a477550000_p_Instance _60ff43a477550000_p_Instance _200144a477550000_p_Instance _600244a477550000_p_Instance _a00344a477550000_p_Instance _e00444a477550000_p_Instance _900544a477550000_p_Instance _f00644a477550000_p_Instance _700744a477550000_p_Instance _d00844a477550000_p_Instance _700a44a477550000_p_Instance _b00b44a477550000_p_Instance _800d44a477550000_p_Instance _c00e44a477550000_p_Instance _001044a477550000_p_Instance _401144a477550000_p_Instance _801244a477550000_p_Instance _301344a477550000_p_Instance _901444a477550000_p_Instance _301644a477550000_p_Instance _701744a477550000_p_Instance _401944a477550000_p_Instance _801a44a477550000_p_Instance _301b44a477550000_p_Instance _901c44a477550000_p_Instance _301e44a477550000_p_Instance _701f44a477550000_p_Instance _402144a477550000_p_Instance _802244a477550000_p_Instance _c02344a477550000_p_Instance _002544a477550000_p_Instance _402644a477550000_p_Instance _102844a477550000_p_Instance _502944a477550000_p_Instance _202b44a477550000_p_Instance _602c44a477550000_p_Instance _a02d44a477550000_p_Instance _e02e44a477550000_p_Instance _902f44a477550000_p_Instance _f03044a477550000_p_Instance _303244a477550000_p_Instance _703344a477550000_p_Instance _b03444a477550000_p_Instance _f03544a477550000_p_Instance _903744a477550000_p_Instance _d03844a477550000_p_Instance _a03a44a477550000_p_Instance _e03b44a477550000_p_Instance _b03d44a477550000_p_Instance _f03e44a477550000_p_Instance _c04044a477550000_p_Instance _004244a477550000_p_Instance _404344a477550000_p_Instance _104544a477550000_p_Instance _504644a477550000_p_Instance _004744a477550000_p_Instance _604844a477550000_p_Instance _004a44a477550000_p_Instance _404b44a477550000_p_Instance _104d44a477550000_p_Instance _504e44a477550000_p_Instance _904f44a477550000_p_Instance _d05044a477550000_p_Instance _105244a477550000_p_Instance _c05244a477550000_p_Instance _605344a477550000_p_Instance _c05444a477550000_p_Instance _405544a477550000_p_Instance _005744a477550000_p_Instance _405844a477550000_p_Instance _805944a477550000_p_Instance _505b44a477550000_p_Instance _905c44a477550000_p_Instance _405d44a477550000_p_Instance _a05e44a477550000_p_Instance _e05f44a477550000_p_Instance _206144a477550000_p_Instance _a06144a477550000_p_Instance _406244a477550000_p_Instance _a06344a477550000_p_Instance _e06444a477550000_p_Instance _206644a477550000_p_Instance _c06744a477550000_p_Instance _006944a477550000_p_Instance _b06944a477550000_p_Instance _106b44a477550000_p_Instance _506c44a477550000_p_Instance _d06c44a477550000_p_Instance _706d44a477550000_p_Instance _306f44a477550000_p_Instance _707044a477550000_p_Instance _407244a477550000_p_Instance _807344a477550000_p_Instance _c07444a477550000_p_Instance _707544a477550000_p_Instance _d07644a477550000_p_Instance _507744a477550000_p_Instance _107944a477550000_p_Instance _507a44a477550000_p_Instance _907b44a477550000_p_Instance _d07c44a477550000_p_Instance _107e44a477550000_p_Instance _507f44a477550000_p_Instance _008044a477550000_p_Instance _a08044a477550000_p_Instance _008244a477550000_p_Instance _408344a477550000_p_Instance _c08344a477550000_p_Instance _808544a477550000_p_Instance _308644a477550000_p_Instance _d08644a477550000_p_Instance _908844a477550000_p_Instance _d08944a477550000_p_Instance _108b44a477550000_p_Instance _508c44a477550000_p_Instance _008d44a477550000_p_Instance _608e44a477550000_p_Instance _e08e44a477550000_p_Instance _409044a477550000_p_Instance _e09144a477550000_p_Instance _209344a477550000_p_Instance _f09444a477550000_p_Instance _309644a477550000_p_Instance _709744a477550000_p_Instance _b09844a477550000_p_Instance _f09944a477550000_p_Instance _a09a44a477550000_p_Instance _009c44a477550000_p_Instance _a09d44a477550000_p_Instance _e09e44a477550000_p_Instance _b0a044a477550000_p_Instance _f0a144a477550000_p_Instance _a0a244a477550000_p_Instance _00a444a477550000_p_Instance _a0a544a477550000_p_Instance _e0a644a477550000_p_Instance _b0a844a477550000_p_Instance _f0a944a477550000_p_Instance _30ab44a477550000_p_Instance _70ac44a477550000_p_Instance _b0ad44a477550000_p_Instance _80af44a477550000_p_Instance _c0b044a477550000_p_Instance _90b244a477550000_p_Instance _d0b344a477550000_p_Instance _10b544a477550000_p_Instance _50b644a477550000_p_Instance _00b744a477550000_p_Instance _60b844a477550000_p_Instance _a0b944a477550000_p_Instance _e0ba44a477550000_p_Instance _20bc44a477550000_p_Instance _60bd44a477550000_p_Instance _00bf44a477550000_p_Instance _40c044a477550000_p_Instance _10c244a477550000_p_Instance _50c344a477550000_p_Instance _20c544a477550000_p_Instance _60c644a477550000_p_Instance _30c844a477550000_p_Instance _70c944a477550000_p_Instance _b0ca44a477550000_p_Instance _80cc44a477550000_p_Instance _c0cd44a477550000_p_Instance _70ce44a477550000_p_Instance _d0cf44a477550000_p_Instance _70d144a477550000_p_Instance _b0d244a477550000_p_Instance _80d444a477550000_p_Instance _c0d544a477550000_p_Instance _00d744a477550000_p_Instance _40d844a477550000_p_Instance _80d944a477550000_p_Instance _30da44a477550000_p_Instance _d0da44a477550000_p_Instance _30dc44a477550000_p_Instance _b0dc44a477550000_p_Instance _70de44a477550000_p_Instance _b0df44a477550000_p_Instance _f0e044a477550000_p_Instance _c0e244a477550000_p_Instance _00e444a477550000_p_Instance _b0e444a477550000_p_Instance _10e644a477550000_p_Instance _50e744a477550000_p_Instance _90e844a477550000_p_Instance _10e944a477550000_p_Instance _b0e944a477550000_p_Instance _10eb44a477550000_p_Instance _50ec44a477550000_p_Instance _90ed44a477550000_p_Instance _30ef44a477550000_p_Instance _70f044a477550000_p_Instance _20f144a477550000_p_Instance _80f244a477550000_p_Instance _c0f344a477550000_p_Instance _40f444a477550000_p_Instance _e0f444a477550000_p_Instance _a0f644a477550000_p_Instance _e0f744a477550000_p_Instance _b0f944a477550000_p_Instance _f0fa44a477550000_p_Instance _30fc44a477550000_p_Instance _e0fc44a477550000_p_Instance _40fe44a477550000_p_Instance _c0fe44a477550000_p_Instance _800045a477550000_p_Instance _c00145a477550000_p_Instance _000345a477550000_p_Instance _400445a477550000_p_Instance _800545a477550000_p_Instance _c00645a477550000_p_Instance _700745a477550000_p_Instance _100845a477550000_p_Instance _700945a477550000_p_Instance _b00a45a477550000_p_Instance _300b45a477550000_p_Instance _f00c45a477550000_p_Instance _a00d45a477550000_p_Instance _400e45a477550000_p_Instance _001045a477550000_p_Instance _401145a477550000_p_Instance _801245a477550000_p_Instance _c01345a477550000_p_Instance _701445a477550000_p_Instance _d01545a477550000_p_Instance _501645a477550000_p_Instance _b01745a477550000_p_Instance _501945a477550000_p_Instance _901a45a477550000_p_Instance _601c45a477550000_p_Instance _a01d45a477550000_p_Instance _e01e45a477550000_p_Instance _202045a477550000_p_Instance _602145a477550000_p_Instance _102245a477550000_p_Instance _702345a477550000_p_Instance _102545a477550000_p_Instance _502645a477550000_p_Instance _202845a477550000_p_Instance _602945a477550000_p_Instance _102a45a477550000_p_Instance _702b45a477550000_p_Instance _102d45a477550000_p_Instance _502e45a477550000_p_Instance _203045a477550000_p_Instance _603145a477550000_p_Instance _a03245a477550000_p_Instance _e03345a477550000_p_Instance _203545a477550000_p_Instance _f03645a477550000_p_Instance _303845a477550000_p_Instance _003a45a477550000_p_Instance _403b45a477550000_p_Instance _803c45a477550000_p_Instance _c03d45a477550000_p_Instance _703e45a477550000_p_Instance _d03f45a477550000_p_Instance _104145a477550000_p_Instance _504245a477550000_p_Instance _904345a477550000_p_Instance _d04445a477550000_p_Instance _704645a477550000_p_Instance _b04745a477550000_p_Instance _804945a477550000_p_Instance _c04a45a477550000_p_Instance _904c45a477550000_p_Instance _d04d45a477550000_p_Instance _a04f45a477550000_p_Instance _e05045a477550000_p_Instance _205245a477550000_p_Instance _f05345a477550000_p_Instance _305545a477550000_p_Instance _e05545a477550000_p_Instance _405745a477550000_p_Instance _e05845a477550000_p_Instance _205a45a477550000_p_Instance _f05b45a477550000_p_Instance _305d45a477550000_p_Instance _705e45a477550000_p_Instance _b05f45a477550000_p_Instance _f06045a477550000_p_Instance _a06145a477550000_p_Instance _406245a477550000_p_Instance _a06345a477550000_p_Instance _206445a477550000_p_Instance _e06545a477550000_p_Instance _206745a477550000_p_Instance _606845a477550000_p_Instance _306a45a477550000_p_Instance _706b45a477550000_p_Instance _206c45a477550000_p_Instance _806d45a477550000_p_Instance _c06e45a477550000_p_Instance _007045a477550000_p_Instance _807045a477550000_p_Instance _207145a477550000_p_Instance _807245a477550000_p_Instance _c07345a477550000_p_Instance _007545a477550000_p_Instance _a07645a477550000_p_Instance _e07745a477550000_p_Instance _907845a477550000_p_Instance _f07945a477550000_p_Instance _307b45a477550000_p_Instance _b07b45a477550000_p_Instance _507c45a477550000_p_Instance _107e45a477550000_p_Instance _507f45a477550000_p_Instance _208145a477550000_p_Instance _608245a477550000_p_Instance _a08345a477550000_p_Instance _508445a477550000_p_Instance _b08545a477550000_p_Instance _308645a477550000_p_Instance _f08745a477550000_p_Instance _308945a477550000_p_Instance _708a45a477550000_p_Instance _b08b45a477550000_p_Instance _f08c45a477550000_p_Instance _308e45a477550000_p_Instance _e08e45a477550000_p_Instance _808f45a477550000_p_Instance _e09045a477550000_p_Instance _209245a477550000_p_Instance _a09245a477550000_p_Instance _609445a477550000_p_Instance _109545a477550000_p_Instance _b09545a477550000_p_Instance _709745a477550000_p_Instance _b09845a477550000_p_Instance _f09945a477550000_p_Instance _309b45a477550000_p_Instance _e09b45a477550000_p_Instance _409d45a477550000_p_Instance _c09d45a477550000_p_Instance _209f45a477550000_p_Instance _c0a045a477550000_p_Instance _00a245a477550000_p_Instance _d0a345a477550000_p_Instance _10a545a477550000_p_Instance _50a645a477550000_p_Instance _90a745a477550000_p_Instance _d0a845a477550000_p_Instance _80a945a477550000_p_Instance _e0aa45a477550000_p_Instance _80ac45a477550000_p_Instance _c0ad45a477550000_p_Instance _90af45a477550000_p_Instance _d0b045a477550000_p_Instance _80b145a477550000_p_Instance _e0b245a477550000_p_Instance _80b445a477550000_p_Instance _c0b545a477550000_p_Instance _90b745a477550000_p_Instance _d0b845a477550000_p_Instance _10ba45a477550000_p_Instance _50bb45a477550000_p_Instance _90bc45a477550000_p_Instance _60be45a477550000_p_Instance _a0bf45a477550000_p_Instance _70c145a477550000_p_Instance _b0c245a477550000_p_Instance _f0c345a477550000_p_Instance _30c545a477550000_p_Instance _e0c545a477550000_p_Instance _40c745a477550000_p_Instance _80c845a477550000_p_Instance _c0c945a477550000_p_Instance _00cb45a477550000_p_Instance _40cc45a477550000_p_Instance _e0cd45a477550000_p_Instance _20cf45a477550000_p_Instance _f0d045a477550000_p_Instance _30d245a477550000_p_Instance _00d445a477550000_p_Instance _40d545a477550000_p_Instance _10d745a477550000_p_Instance _50d845a477550000_p_Instance _90d945a477550000_p_Instance _60db45a477550000_p_Instance _a0dc45a477550000_p_Instance _50dd45a477550000_p_Instance _b0de45a477550000_p_Instance _50e045a477550000_p_Instance _90e145a477550000_p_Instance _60e345a477550000_p_Instance _a0e445a477550000_p_Instance _e0e545a477550000_p_Instance _20e745a477550000_p_Instance _60e845a477550000_p_Instance _10e945a477550000_p_Instance _b0e945a477550000_p_Instance _10eb45a477550000_p_Instance _90eb45a477550000_p_Instance _50ed45a477550000_p_Instance _90ee45a477550000_p_Instance _d0ef45a477550000_p_Instance _a0f145a477550000_p_Instance _e0f245a477550000_p_Instance _90f345a477550000_p_Instance _f0f445a477550000_p_Instance _30f645a477550000_p_Instance _70f745a477550000_p_Instance _f0f745a477550000_p_Instance _90f845a477550000_p_Instance _f0f945a477550000_p_Instance _30fb45a477550000_p_Instance _70fc45a477550000_p_Instance _10fe45a477550000_p_Instance _50ff45a477550000_p_Instance _000046a477550000_p_Instance _600146a477550000_p_Instance _a00246a477550000_p_Instance _200346a477550000_p_Instance _c00346a477550000_p_Instance _800546a477550000_p_Instance _c00646a477550000_p_Instance _900846a477550000_p_Instance _d00946a477550000_p_Instance _100b46a477550000_p_Instance _c00b46a477550000_p_Instance _200d46a477550000_p_Instance _a00d46a477550000_p_Instance _600f46a477550000_p_Instance _a01046a477550000_p_Instance _e01146a477550000_p_Instance _201346a477550000_p_Instance _601446a477550000_p_Instance _a01546a477550000_p_Instance _501646a477550000_p_Instance _f01646a477550000_p_Instance _501846a477550000_p_Instance _901946a477550000_p_Instance _101a46a477550000_p_Instance _d01b46a477550000_p_Instance _801c46a477550000_p_Instance _201d46a477550000_p_Instance _e01e46a477550000_p_Instance _202046a477550000_p_Instance _602146a477550000_p_Instance _a02246a477550000_p_Instance _502346a477550000_p_Instance _b02446a477550000_p_Instance _302546a477550000_p_Instance _902646a477550000_p_Instance _302846a477550000_p_Instance _702946a477550000_p_Instance _402b46a477550000_p_Instance _802c46a477550000_p_Instance _c02d46a477550000_p_Instance _002f46a477550000_p_Instance _403046a477550000_p_Instance _f03046a477550000_p_Instance _503246a477550000_p_Instance _f03346a477550000_p_Instance _303546a477550000_p_Instance _003746a477550000_p_Instance _403846a477550000_p_Instance _f03846a477550000_p_Instance _503a46a477550000_p_Instance _f03b46a477550000_p_Instance _303d46a477550000_p_Instance _003f46a477550000_p_Instance _404046a477550000_p_Instance _804146a477550000_p_Instance _c04246a477550000_p_Instance _004446a477550000_p_Instance _d04546a477550000_p_Instance _104746a477550000_p_Instance _e04846a477550000_p_Instance _204a46a477550000_p_Instance _604b46a477550000_p_Instance _a04c46a477550000_p_Instance _504d46a477550000_p_Instance _b04e46a477550000_p_Instance _f04f46a477550000_p_Instance _305146a477550000_p_Instance _705246a477550000_p_Instance _b05346a477550000_p_Instance _505546a477550000_p_Instance _905646a477550000_p_Instance _605846a477550000_p_Instance _a05946a477550000_p_Instance _705b46a477550000_p_Instance _b05c46a477550000_p_Instance _805e46a477550000_p_Instance _c05f46a477550000_p_Instance _006146a477550000_p_Instance _d06246a477550000_p_Instance _106446a477550000_p_Instance _c06446a477550000_p_Instance _206646a477550000_p_Instance _c06746a477550000_p_Instance _006946a477550000_p_Instance _d06a46a477550000_p_Instance _106c46a477550000_p_Instance _506d46a477550000_p_Instance _906e46a477550000_p_Instance _d06f46a477550000_p_Instance _807046a477550000_p_Instance _207146a477550000_p_Instance _807246a477550000_p_Instance _007346a477550000_p_Instance _c07446a477550000_p_Instance _007646a477550000_p_Instance _407746a477550000_p_Instance _107946a477550000_p_Instance _507a46a477550000_p_Instance _007b46a477550000_p_Instance _607c46a477550000_p_Instance _a07d46a477550000_p_Instance _e07e46a477550000_p_Instance _607f46a477550000_p_Instance _008046a477550000_p_Instance _608146a477550000_p_Instance _a08246a477550000_p_Instance _e08346a477550000_p_Instance _808546a477550000_p_Instance _c08646a477550000_p_Instance _708746a477550000_p_Instance _d08846a477550000_p_Instance _108a46a477550000_p_Instance _908a46a477550000_p_Instance _308b46a477550000_p_Instance _f08c46a477550000_p_Instance _308e46a477550000_p_Instance _009046a477550000_p_Instance _409146a477550000_p_Instance _809246a477550000_p_Instance _309346a477550000_p_Instance _909446a477550000_p_Instance _109546a477550000_p_Instance _d09646a477550000_p_Instance _109846a477550000_p_Instance _509946a477550000_p_Instance _909a46a477550000_p_Instance _d09b46a477550000_p_Instance _109d46a477550000_p_Instance _c09d46a477550000_p_Instance _609e46a477550000_p_Instance _009f46a477550000_p_Instance _60a046a477550000_p_Instance _a0a146a477550000_p_Instance _e0a246a477550000_p_Instance _80a446a477550000_p_Instance _c0a546a477550000_p_Instance _70a646a477550000_p_Instance _d0a746a477550000_p_Instance _10a946a477550000_p_Instance _50aa46a477550000_p_Instance _d0aa46a477550000_p_Instance _70ab46a477550000_p_Instance _d0ac46a477550000_p_Instance _10ae46a477550000_p_Instance _50af46a477550000_p_Instance _f0b046a477550000_p_Instance _30b246a477550000_p_Instance _e0b246a477550000_p_Instance _40b446a477550000_p_Instance _80b546a477550000_p_Instance _00b646a477550000_p_Instance _a0b646a477550000_p_Instance _60b846a477550000_p_Instance _a0b946a477550000_p_Instance _70bb46a477550000_p_Instance _b0bc46a477550000_p_Instance _f0bd46a477550000_p_Instance _a0be46a477550000_p_Instance _00c046a477550000_p_Instance _80c046a477550000_p_Instance _40c246a477550000_p_Instance _80c346a477550000_p_Instance _c0c446a477550000_p_Instance _00c646a477550000_p_Instance _40c746a477550000_p_Instance _80c846a477550000_p_Instance _30c946a477550000_p_Instance _d0c946a477550000_p_Instance _30cb46a477550000_p_Instance _70cc46a477550000_p_Instance _f0cc46a477550000_p_Instance _b0ce46a477550000_p_Instance _60cf46a477550000_p_Instance _00d046a477550000_p_Instance _c0d146a477550000_p_Instance _00d346a477550000_p_Instance _40d446a477550000_p_Instance _80d546a477550000_p_Instance _30d646a477550000_p_Instance _90d746a477550000_p_Instance _10d846a477550000_p_Instance _70d946a477550000_p_Instance _10db46a477550000_p_Instance _50dc46a477550000_p_Instance _20de46a477550000_p_Instance _60df46a477550000_p_Instance _a0e046a477550000_p_Instance _e0e146a477550000_p_Instance _20e346a477550000_p_Instance _d0e346a477550000_p_Instance _30e546a477550000_p_Instance _d0e646a477550000_p_Instance _10e846a477550000_p_Instance _e0e946a477550000_p_Instance _20eb46a477550000_p_Instance _d0eb46a477550000_p_Instance _30ed46a477550000_p_Instance _d0ee46a477550000_p_Instance _10f046a477550000_p_Instance _e0f146a477550000_p_Instance _20f346a477550000_p_Instance _60f446a477550000_p_Instance _a0f546a477550000_p_Instance _e0f646a477550000_p_Instance _b0f846a477550000_p_Instance _f0f946a477550000_p_Instance _c0fb46a477550000_p_Instance _00fd46a477550000_p_Instance _40fe46a477550000_p_Instance _80ff46a477550000_p_Instance _300047a477550000_p_Instance _900147a477550000_p_Instance _d00247a477550000_p_Instance _100447a477550000_p_Instance _500547a477550000_p_Instance _900647a477550000_p_Instance _300847a477550000_p_Instance _700947a477550000_p_Instance _400b47a477550000_p_Instance _800c47a477550000_p_Instance _500e47a477550000_p_Instance _900f47a477550000_p_Instance _601147a477550000_p_Instance _a01247a477550000_p_Instance _e01347a477550000_p_Instance _b01547a477550000_p_Instance _f01647a477550000_p_Instance _a01747a477550000_p_Instance _001947a477550000_p_Instance _a01a47a477550000_p_Instance _e01b47a477550000_p_Instance _b01d47a477550000_p_Instance _f01e47a477550000_p_Instance _302047a477550000_p_Instance _702147a477550000_p_Instance _b02247a477550000_p_Instance _602347a477550000_p_Instance _002447a477550000_p_Instance _602547a477550000_p_Instance _e02547a477550000_p_Instance _a02747a477550000_p_Instance _e02847a477550000_p_Instance _202a47a477550000_p_Instance _f02b47a477550000_p_Instance _302d47a477550000_p_Instance _e02d47a477550000_p_Instance _402f47a477550000_p_Instance _803047a477550000_p_Instance _c03147a477550000_p_Instance _403247a477550000_p_Instance _e03247a477550000_p_Instance _403447a477550000_p_Instance _803547a477550000_p_Instance _c03647a477550000_p_Instance _603847a477550000_p_Instance _a03947a477550000_p_Instance _503a47a477550000_p_Instance _b03b47a477550000_p_Instance _f03c47a477550000_p_Instance _703d47a477550000_p_Instance _103e47a477550000_p_Instance _d03f47a477550000_p_Instance _104147a477550000_p_Instance _e04247a477550000_p_Instance _204447a477550000_p_Instance _604547a477550000_p_Instance _104647a477550000_p_Instance _704747a477550000_p_Instance _f04747a477550000_p_Instance _b04947a477550000_p_Instance _f04a47a477550000_p_Instance _304c47a477550000_p_Instance _704d47a477550000_p_Instance _b04e47a477550000_p_Instance _f04f47a477550000_p_Instance _a05047a477550000_p_Instance _405147a477550000_p_Instance _a05247a477550000_p_Instance _e05347a477550000_p_Instance _605447a477550000_p_Instance _205647a477550000_p_Instance _d05647a477550000_p_Instance _705747a477550000_p_Instance _305947a477550000_p_Instance _705a47a477550000_p_Instance _b05b47a477550000_p_Instance _f05c47a477550000_p_Instance _a05d47a477550000_p_Instance _005f47a477550000_p_Instance _805f47a477550000_p_Instance _e06047a477550000_p_Instance _806247a477550000_p_Instance _c06347a477550000_p_Instance _906547a477550000_p_Instance _d06647a477550000_p_Instance _106847a477550000_p_Instance _506947a477550000_p_Instance _906a47a477550000_p_Instance _406b47a477550000_p_Instance _a06c47a477550000_p_Instance _406e47a477550000_p_Instance _806f47a477550000_p_Instance _507147a477550000_p_Instance _907247a477550000_p_Instance _407347a477550000_p_Instance _a07447a477550000_p_Instance _407647a477550000_p_Instance _807747a477550000_p_Instance _507947a477550000_p_Instance _907a47a477550000_p_Instance _d07b47a477550000_p_Instance _107d47a477550000_p_Instance _507e47a477550000_p_Instance _208047a477550000_p_Instance _608147a477550000_p_Instance _308347a477550000_p_Instance _708447a477550000_p_Instance _b08547a477550000_p_Instance _f08647a477550000_p_Instance _a08747a477550000_p_Instance _008947a477550000_p_Instance _408a47a477550000_p_Instance _808b47a477550000_p_Instance _c08c47a477550000_p_Instance _008e47a477550000_p_Instance _a08f47a477550000_p_Instance _e09047a477550000_p_Instance _b09247a477550000_p_Instance _f09347a477550000_p_Instance _c09547a477550000_p_Instance _009747a477550000_p_Instance _d09847a477550000_p_Instance _109a47a477550000_p_Instance _509b47a477550000_p_Instance _209d47a477550000_p_Instance _609e47a477550000_p_Instance _109f47a477550000_p_Instance _70a047a477550000_p_Instance _10a247a477550000_p_Instance _50a347a477550000_p_Instance _20a547a477550000_p_Instance _60a647a477550000_p_Instance _a0a747a477550000_p_Instance _e0a847a477550000_p_Instance _20aa47a477550000_p_Instance _d0aa47a477550000_p_Instance _70ab47a477550000_p_Instance _d0ac47a477550000_p_Instance _50ad47a477550000_p_Instance _10af47a477550000_p_Instance _50b047a477550000_p_Instance _90b147a477550000_p_Instance _60b347a477550000_p_Instance _a0b447a477550000_p_Instance _50b547a477550000_p_Instance _b0b647a477550000_p_Instance _f0b747a477550000_p_Instance _30b947a477550000_p_Instance _b0b947a477550000_p_Instance _50ba47a477550000_p_Instance _b0bb47a477550000_p_Instance _f0bc47a477550000_p_Instance _30be47a477550000_p_Instance _d0bf47a477550000_p_Instance _10c147a477550000_p_Instance _c0c147a477550000_p_Instance _20c347a477550000_p_Instance _60c447a477550000_p_Instance _e0c447a477550000_p_Instance _80c547a477550000_p_Instance _40c747a477550000_p_Instance _80c847a477550000_p_Instance _50ca47a477550000_p_Instance _90cb47a477550000_p_Instance _d0cc47a477550000_p_Instance _80cd47a477550000_p_Instance _e0ce47a477550000_p_Instance _60cf47a477550000_p_Instance _20d147a477550000_p_Instance _60d247a477550000_p_Instance _a0d347a477550000_p_Instance _e0d447a477550000_p_Instance _20d647a477550000_p_Instance _60d747a477550000_p_Instance _10d847a477550000_p_Instance _b0d847a477550000_p_Instance _10da47a477550000_p_Instance _50db47a477550000_p_Instance _d0db47a477550000_p_Instance _90dd47a477550000_p_Instance _40de47a477550000_p_Instance _e0de47a477550000_p_Instance _a0e047a477550000_p_Instance _e0e147a477550000_p_Instance _20e347a477550000_p_Instance _60e447a477550000_p_Instance _10e547a477550000_p_Instance _70e647a477550000_p_Instance _f0e647a477550000_p_Instance _50e847a477550000_p_Instance _f0e947a477550000_p_Instance _30eb47a477550000_p_Instance _00ed47a477550000_p_Instance _40ee47a477550000_p_Instance _80ef47a477550000_p_Instance _c0f047a477550000_p_Instance _00f247a477550000_p_Instance _b0f247a477550000_p_Instance _10f447a477550000_p_Instance _b0f547a477550000_p_Instance _f0f647a477550000_p_Instance _c0f847a477550000_p_Instance _00fa47a477550000_p_Instance _b0fa47a477550000_p_Instance _10fc47a477550000_p_Instance _b0fd47a477550000_p_Instance _f0fe47a477550000_p_Instance _c00048a477550000_p_Instance _000248a477550000_p_Instance _400348a477550000_p_Instance _800448a477550000_p_Instance _c00548a477550000_p_Instance _900748a477550000_p_Instance _d00848a477550000_p_Instance _a00a48a477550000_p_Instance _e00b48a477550000_p_Instance _200d48a477550000_p_Instance _600e48a477550000_p_Instance _100f48a477550000_p_Instance _701048a477550000_p_Instance _b01148a477550000_p_Instance _f01248a477550000_p_Instance _301448a477550000_p_Instance _701548a477550000_p_Instance _101748a477550000_p_Instance _501848a477550000_p_Instance _201a48a477550000_p_Instance _601b48a477550000_p_Instance _301d48a477550000_p_Instance _701e48a477550000_p_Instance _402048a477550000_p_Instance _802148a477550000_p_Instance _c02248a477550000_p_Instance _902448a477550000_p_Instance _d02548a477550000_p_Instance _802648a477550000_p_Instance _e02748a477550000_p_Instance _802948a477550000_p_Instance _c02a48a477550000_p_Instance _902c48a477550000_p_Instance _d02d48a477550000_p_Instance _102f48a477550000_p_Instance _503048a477550000_p_Instance _903148a477550000_p_Instance _403248a477550000_p_Instance _e03248a477550000_p_Instance _403448a477550000_p_Instance _c03448a477550000_p_Instance _803648a477550000_p_Instance _c03748a477550000_p_Instance _003948a477550000_p_Instance _d03a48a477550000_p_Instance _103c48a477550000_p_Instance _c03c48a477550000_p_Instance _203e48a477550000_p_Instance _603f48a477550000_p_Instance _a04048a477550000_p_Instance _204148a477550000_p_Instance _c04148a477550000_p_Instance _204348a477550000_p_Instance _604448a477550000_p_Instance _a04548a477550000_p_Instance _404748a477550000_p_Instance _804848a477550000_p_Instance _304948a477550000_p_Instance _904a48a477550000_p_Instance _d04b48a477550000_p_Instance _504c48a477550000_p_Instance _f04c48a477550000_p_Instance _b04e48a477550000_p_Instance _f04f48a477550000_p_Instance _c05148a477550000_p_Instance _005348a477550000_p_Instance _405448a477550000_p_Instance _f05448a477550000_p_Instance _505648a477550000_p_Instance _d05648a477550000_p_Instance _905848a477550000_p_Instance _d05948a477550000_p_Instance _105b48a477550000_p_Instance _505c48a477550000_p_Instance _905d48a477550000_p_Instance _d05e48a477550000_p_Instance _805f48a477550000_p_Instance _206048a477550000_p_Instance _806148a477550000_p_Instance _c06248a477550000_p_Instance _406348a477550000_p_Instance _006548a477550000_p_Instance _b06548a477550000_p_Instance _506648a477550000_p_Instance _106848a477550000_p_Instance _506948a477550000_p_Instance _906a48a477550000_p_Instance _d06b48a477550000_p_Instance _806c48a477550000_p_Instance _e06d48a477550000_p_Instance _606e48a477550000_p_Instance _c06f48a477550000_p_Instance _607148a477550000_p_Instance _a07248a477550000_p_Instance _707448a477550000_p_Instance _b07548a477550000_p_Instance _f07648a477550000_p_Instance _307848a477550000_p_Instance _707948a477550000_p_Instance _207a48a477550000_p_Instance _807b48a477550000_p_Instance _207d48a477550000_p_Instance _607e48a477550000_p_Instance _308048a477550000_p_Instance _708148a477550000_p_Instance _208248a477550000_p_Instance _808348a477550000_p_Instance _208548a477550000_p_Instance _608648a477550000_p_Instance _308848a477550000_p_Instance _708948a477550000_p_Instance _b08a48a477550000_p_Instance _f08b48a477550000_p_Instance _308d48a477550000_p_Instance _008f48a477550000_p_Instance _409048a477550000_p_Instance _109248a477550000_p_Instance _509348a477550000_p_Instance _909448a477550000_p_Instance _d09548a477550000_p_Instance _809648a477550000_p_Instance _e09748a477550000_p_Instance _209948a477550000_p_Instance _609a48a477550000_p_Instance _a09b48a477550000_p_Instance _e09c48a477550000_p_Instance _809e48a477550000_p_Instance _c09f48a477550000_p_Instance _90a148a477550000_p_Instance _d0a248a477550000_p_Instance _a0a448a477550000_p_Instance _e0a548a477550000_p_Instance _b0a748a477550000_p_Instance _f0a848a477550000_p_Instance _30aa48a477550000_p_Instance _00ac48a477550000_p_Instance _40ad48a477550000_p_Instance _f0ad48a477550000_p_Instance _50af48a477550000_p_Instance _f0b048a477550000_p_Instance _30b248a477550000_p_Instance _00b448a477550000_p_Instance _40b548a477550000_p_Instance _80b648a477550000_p_Instance _c0b748a477550000_p_Instance _00b948a477550000_p_Instance _b0b948a477550000_p_Instance _50ba48a477550000_p_Instance _b0bb48a477550000_p_Instance _30bc48a477550000_p_Instance _f0bd48a477550000_p_Instance _30bf48a477550000_p_Instance _70c048a477550000_p_Instance _40c248a477550000_p_Instance _80c348a477550000_p_Instance _30c448a477550000_p_Instance _90c548a477550000_p_Instance _d0c648a477550000_p_Instance _10c848a477550000_p_Instance _90c848a477550000_p_Instance _30c948a477550000_p_Instance _90ca48a477550000_p_Instance _d0cb48a477550000_p_Instance _10cd48a477550000_p_Instance _b0ce48a477550000_p_Instance _f0cf48a477550000_p_Instance _a0d048a477550000_p_Instance _00d248a477550000_p_Instance _40d348a477550000_p_Instance _c0d348a477550000_p_Instance _60d448a477550000_p_Instance _20d648a477550000_p_Instance _60d748a477550000_p_Instance _30d948a477550000_p_Instance _70da48a477550000_p_Instance _b0db48a477550000_p_Instance _60dc48a477550000_p_Instance _c0dd48a477550000_p_Instance _40de48a477550000_p_Instance _00e048a477550000_p_Instance _40e148a477550000_p_Instance _80e248a477550000_p_Instance _c0e348a477550000_p_Instance _00e548a477550000_p_Instance _40e648a477550000_p_Instance _f0e648a477550000_p_Instance _90e748a477550000_p_Instance _f0e848a477550000_p_Instance _30ea48a477550000_p_Instance _b0ea48a477550000_p_Instance _70ec48a477550000_p_Instance _20ed48a477550000_p_Instance _c0ed48a477550000_p_Instance _80ef48a477550000_p_Instance _c0f048a477550000_p_Instance _00f248a477550000_p_Instance _40f348a477550000_p_Instance _f0f348a477550000_p_Instance _50f548a477550000_p_Instance _d0f548a477550000_p_Instance _30f748a477550000_p_Instance _d0f848a477550000_p_Instance _10fa48a477550000_p_Instance _e0fb48a477550000_p_Instance _20fd48a477550000_p_Instance _60fe48a477550000_p_Instance _a0ff48a477550000_p_Instance _e00049a477550000_p_Instance _900149a477550000_p_Instance _f00249a477550000_p_Instance _900449a477550000_p_Instance _d00549a477550000_p_Instance _a00749a477550000_p_Instance _e00849a477550000_p_Instance _900949a477550000_p_Instance _f00a49a477550000_p_Instance _900c49a477550000_p_Instance _d00d49a477550000_p_Instance _a00f49a477550000_p_Instance _e01049a477550000_p_Instance _201249a477550000_p_Instance _601349a477550000_p_Instance _a01449a477550000_p_Instance _701649a477550000_p_Instance _b01749a477550000_p_Instance _801949a477550000_p_Instance _c01a49a477550000_p_Instance _001c49a477550000_p_Instance _401d49a477550000_p_Instance _f01d49a477550000_p_Instance _501f49a477550000_p_Instance _902049a477550000_p_Instance _d02149a477550000_p_Instance _102349a477550000_p_Instance _502449a477550000_p_Instance _f02549a477550000_p_Instance _302749a477550000_p_Instance _002949a477550000_p_Instance _402a49a477550000_p_Instance _102c49a477550000_p_Instance _502d49a477550000_p_Instance _202f49a477550000_p_Instance _603049a477550000_p_Instance _a03149a477550000_p_Instance _703349a477550000_p_Instance _b03449a477550000_p_Instance _603549a477550000_p_Instance _c03649a477550000_p_Instance _603849a477550000_p_Instance _a03949a477550000_p_Instance _703b49a477550000_p_Instance _b03c49a477550000_p_Instance _f03d49a477550000_p_Instance _303f49a477550000_p_Instance _704049a477550000_p_Instance _204149a477550000_p_Instance _c04149a477550000_p_Instance _204349a477550000_p_Instance _a04349a477550000_p_Instance _604549a477550000_p_Instance _a04649a477550000_p_Instance _e04749a477550000_p_Instance _b04949a477550000_p_Instance _f04a49a477550000_p_Instance _a04b49a477550000_p_Instance _404c49a477550000_p_Instance _a04d49a477550000_p_Instance _404f49a477550000_p_Instance _805049a477550000_p_Instance _505249a477550000_p_Instance _905349a477550000_p_Instance _d05449a477550000_p_Instance _105649a477550000_p_Instance _505749a477550000_p_Instance _905849a477550000_p_Instance _405949a477550000_p_Instance _005b49a477550000_p_Instance _405c49a477550000_p_Instance _105e49a477550000_p_Instance _505f49a477550000_p_Instance _006049a477550000_p_Instance _606149a477550000_p_Instance _006349a477550000_p_Instance _406449a477550000_p_Instance _106649a477550000_p_Instance _506749a477550000_p_Instance _906849a477550000_p_Instance _d06949a477550000_p_Instance _106b49a477550000_p_Instance _e06c49a477550000_p_Instance _206e49a477550000_p_Instance _f06f49a477550000_p_Instance _307149a477550000_p_Instance _707249a477550000_p_Instance _b07349a477550000_p_Instance _607449a477550000_p_Instance _c07549a477550000_p_Instance _007749a477550000_p_Instance _407849a477550000_p_Instance _807949a477550000_p_Instance _c07a49a477550000_p_Instance _607c49a477550000_p_Instance _a07d49a477550000_p_Instance _707f49a477550000_p_Instance _b08049a477550000_p_Instance _808249a477550000_p_Instance _c08349a477550000_p_Instance _008549a477550000_p_Instance _408649a477550000_p_Instance _808749a477550000_p_Instance _508949a477550000_p_Instance _908a49a477550000_p_Instance _408b49a477550000_p_Instance _a08c49a477550000_p_Instance _408e49a477550000_p_Instance _808f49a477550000_p_Instance _509149a477550000_p_Instance _909249a477550000_p_Instance _d09349a477550000_p_Instance _109549a477550000_p_Instance _509649a477550000_p_Instance _009749a477550000_p_Instance _a09749a477550000_p_Instance _009949a477550000_p_Instance _a09a49a477550000_p_Instance _e09b49a477550000_p_Instance _209d49a477550000_p_Instance _d09d49a477550000_p_Instance _309f49a477550000_p_Instance _70a049a477550000_p_Instance _b0a149a477550000_p_Instance _30a249a477550000_p_Instance _d0a249a477550000_p_Instance _30a449a477550000_p_Instance _70a549a477550000_p_Instance _b0a649a477550000_p_Instance _50a849a477550000_p_Instance _90a949a477550000_p_Instance _40aa49a477550000_p_Instance _a0ab49a477550000_p_Instance _e0ac49a477550000_p_Instance _60ad49a477550000_p_Instance _00ae49a477550000_p_Instance _c0af49a477550000_p_Instance _00b149a477550000_p_Instance _d0b249a477550000_p_Instance _10b449a477550000_p_Instance _50b549a477550000_p_Instance _00b649a477550000_p_Instance _60b749a477550000_p_Instance _e0b749a477550000_p_Instance _a0b949a477550000_p_Instance _e0ba49a477550000_p_Instance _20bc49a477550000_p_Instance _60bd49a477550000_p_Instance _a0be49a477550000_p_Instance _e0bf49a477550000_p_Instance _90c049a477550000_p_Instance _30c149a477550000_p_Instance _90c249a477550000_p_Instance _d0c349a477550000_p_Instance _50c449a477550000_p_Instance _10c649a477550000_p_Instance _c0c649a477550000_p_Instance _60c749a477550000_p_Instance _20c949a477550000_p_Instance _60ca49a477550000_p_Instance _a0cb49a477550000_p_Instance _e0cc49a477550000_p_Instance _90cd49a477550000_p_Instance _f0ce49a477550000_p_Instance _70cf49a477550000_p_Instance _d0d049a477550000_p_Instance _70d249a477550000_p_Instance _b0d349a477550000_p_Instance _80d549a477550000_p_Instance _c0d649a477550000_p_Instance _00d849a477550000_p_Instance _40d949a477550000_p_Instance _80da49a477550000_p_Instance _30db49a477550000_p_Instance _90dc49a477550000_p_Instance _30de49a477550000_p_Instance _70df49a477550000_p_Instance _40e149a477550000_p_Instance _80e249a477550000_p_Instance _30e349a477550000_p_Instance _90e449a477550000_p_Instance _30e649a477550000_p_Instance _70e749a477550000_p_Instance _40e949a477550000_p_Instance _80ea49a477550000_p_Instance _c0eb49a477550000_p_Instance _00ed49a477550000_p_Instance _40ee49a477550000_p_Instance _10f049a477550000_p_Instance _50f149a477550000_p_Instance _20f349a477550000_p_Instance _60f449a477550000_p_Instance _a0f549a477550000_p_Instance _e0f649a477550000_p_Instance _90f749a477550000_p_Instance _f0f849a477550000_p_Instance _30fa49a477550000_p_Instance _70fb49a477550000_p_Instance _b0fc49a477550000_p_Instance _f0fd49a477550000_p_Instance _90ff49a477550000_p_Instance _d0004aa477550000_p_Instance _a0024aa477550000_p_Instance _e0034aa477550000_p_Instance _b0054aa477550000_p_Instance _f0064aa477550000_p_Instance _c0084aa477550000_p_Instance _000a4aa477550000_p_Instance _400b4aa477550000_p_Instance _100d4aa477550000_p_Instance _500e4aa477550000_p_Instance _000f4aa477550000_p_Instance _60104aa477550000_p_Instance _00124aa477550000_p_Instance _40134aa477550000_p_Instance _10154aa477550000_p_Instance _50164aa477550000_p_Instance _90174aa477550000_p_Instance _d0184aa477550000_p_Instance _101a4aa477550000_p_Instance _c01a4aa477550000_p_Instance _601b4aa477550000_p_Instance _c01c4aa477550000_p_Instance _401d4aa477550000_p_Instance _001f4aa477550000_p_Instance _40204aa477550000_p_Instance _80214aa477550000_p_Instance _50234aa477550000_p_Instance _90244aa477550000_p_Instance _40254aa477550000_p_Instance _a0264aa477550000_p_Instance _e0274aa477550000_p_Instance _60284aa477550000_p_Instance _00294aa477550000_p_Instance _602a4aa477550000_p_Instance _a02b4aa477550000_p_Instance _e02c4aa477550000_p_Instance _802e4aa477550000_p_Instance _c02f4aa477550000_p_Instance _00314aa477550000_p_Instance _b0314aa477550000_p_Instance _10334aa477550000_p_Instance _90334aa477550000_p_Instance _30344aa477550000_p_Instance _f0354aa477550000_p_Instance _30374aa477550000_p_Instance _00394aa477550000_p_Instance _403a4aa477550000_p_Instance _803b4aa477550000_p_Instance _303c4aa477550000_p_Instance _d03c4aa477550000_p_Instance _303e4aa477550000_p_Instance _d03f4aa477550000_p_Instance _10414aa477550000_p_Instance _50424aa477550000_p_Instance _90434aa477550000_p_Instance _d0444aa477550000_p_Instance _10464aa477550000_p_Instance _c0464aa477550000_p_Instance _60474aa477550000_p_Instance _c0484aa477550000_p_Instance _40494aa477550000_p_Instance _e0494aa477550000_p_Instance _a04b4aa477550000_p_Instance _504c4aa477550000_p_Instance _f04c4aa477550000_p_Instance _504e4aa477550000_p_Instance _904f4aa477550000_p_Instance _d0504aa477550000_p_Instance _10524aa477550000_p_Instance _90524aa477550000_p_Instance _f0534aa477550000_p_Instance _30554aa477550000_p_Instance _70564aa477550000_p_Instance _f0564aa477550000_p_Instance _90574aa477550000_p_Instance _f0584aa477550000_p_Instance _305a4aa477550000_p_Instance _705b4aa477550000_p_Instance _105d4aa477550000_p_Instance _505e4aa477550000_p_Instance _005f4aa477550000_p_Instance _60604aa477550000_p_Instance _a0614aa477550000_p_Instance _20624aa477550000_p_Instance _c0624aa477550000_p_Instance _80644aa477550000_p_Instance _c0654aa477550000_p_Instance _90674aa477550000_p_Instance _d0684aa477550000_p_Instance _106a4aa477550000_p_Instance _c06a4aa477550000_p_Instance _206c4aa477550000_p_Instance _a06c4aa477550000_p_Instance _606e4aa477550000_p_Instance _a06f4aa477550000_p_Instance _e0704aa477550000_p_Instance _20724aa477550000_p_Instance _60734aa477550000_p_Instance _a0744aa477550000_p_Instance _50754aa477550000_p_Instance _10774aa477550000_p_Instance _c0774aa477550000_p_Instance _60784aa477550000_p_Instance _c0794aa477550000_p_Instance _007b4aa477550000_p_Instance _407c4aa477550000_p_Instance _e07d4aa477550000_p_Instance _207f4aa477550000_p_Instance _d07f4aa477550000_p_Instance _70804aa477550000_p_Instance _d0814aa477550000_p_Instance _70834aa477550000_p_Instance _b0844aa477550000_p_Instance _80864aa477550000_p_Instance _c0874aa477550000_p_Instance _00894aa477550000_p_Instance _408a4aa477550000_p_Instance _808b4aa477550000_p_Instance _c08c4aa477550000_p_Instance _708d4aa477550000_p_Instance _308f4aa477550000_p_Instance _70904aa477550000_p_Instance _40924aa477550000_p_Instance _80934aa477550000_p_Instance _30944aa477550000_p_Instance _90954aa477550000_p_Instance _30974aa477550000_p_Instance _70984aa477550000_p_Instance _409a4aa477550000_p_Instance _809b4aa477550000_p_Instance _c09c4aa477550000_p_Instance _009e4aa477550000_p_Instance _409f4aa477550000_p_Instance _10a14aa477550000_p_Instance _50a24aa477550000_p_Instance _20a44aa477550000_p_Instance _60a54aa477550000_p_Instance _a0a64aa477550000_p_Instance _e0a74aa477550000_p_Instance _90a84aa477550000_p_Instance _f0a94aa477550000_p_Instance _30ab4aa477550000_p_Instance _70ac4aa477550000_p_Instance _b0ad4aa477550000_p_Instance _f0ae4aa477550000_p_Instance _90b04aa477550000_p_Instance _d0b14aa477550000_p_Instance _a0b34aa477550000_p_Instance _e0b44aa477550000_p_Instance _b0b64aa477550000_p_Instance _f0b74aa477550000_p_Instance _30b94aa477550000_p_Instance _70ba4aa477550000_p_Instance _b0bb4aa477550000_p_Instance _80bd4aa477550000_p_Instance _c0be4aa477550000_p_Instance _70bf4aa477550000_p_Instance _d0c04aa477550000_p_Instance _70c24aa477550000_p_Instance _b0c34aa477550000_p_Instance _80c54aa477550000_p_Instance _c0c64aa477550000_p_Instance _00c84aa477550000_p_Instance _40c94aa477550000_p_Instance _80ca4aa477550000_p_Instance _30cb4aa477550000_p_Instance _f0cc4aa477550000_p_Instance _30ce4aa477550000_p_Instance _00d04aa477550000_p_Instance _40d14aa477550000_p_Instance _80d24aa477550000_p_Instance _30d34aa477550000_p_Instance _90d44aa477550000_p_Instance _d0d54aa477550000_p_Instance _50d64aa477550000_p_Instance _f0d64aa477550000_p_Instance _50d84aa477550000_p_Instance _90d94aa477550000_p_Instance _d0da4aa477550000_p_Instance _70dc4aa477550000_p_Instance _b0dd4aa477550000_p_Instance _f0de4aa477550000_p_Instance _30e04aa477550000_p_Instance _70e14aa477550000_p_Instance _20e24aa477550000_p_Instance _c0e24aa477550000_p_Instance _80e44aa477550000_p_Instance _c0e54aa477550000_p_Instance _90e74aa477550000_p_Instance _d0e84aa477550000_p_Instance _10ea4aa477550000_p_Instance _c0ea4aa477550000_p_Instance _60eb4aa477550000_p_Instance _c0ec4aa477550000_p_Instance _60ee4aa477550000_p_Instance _a0ef4aa477550000_p_Instance _e0f04aa477550000_p_Instance _20f24aa477550000_p_Instance _60f34aa477550000_p_Instance _a0f44aa477550000_p_Instance _50f54aa477550000_p_Instance _f0f54aa477550000_p_Instance _90f64aa477550000_p_Instance _50f84aa477550000_p_Instance _90f94aa477550000_p_Instance _d0fa4aa477550000_p_Instance _10fc4aa477550000_p_Instance _50fd4aa477550000_p_Instance _00fe4aa477550000_p_Instance _a0fe4aa477550000_p_Instance _00004ba477550000_p_Instance _a0014ba477550000_p_Instance _e0024ba477550000_p_Instance _b0044ba477550000_p_Instance _f0054ba477550000_p_Instance _30074ba477550000_p_Instance _70084ba477550000_p_Instance _b0094ba477550000_p_Instance _f00a4ba477550000_p_Instance _c00c4ba477550000_p_Instance _900e4ba477550000_p_Instance _d00f4ba477550000_p_Instance _a0114ba477550000_p_Instance _e0124ba477550000_p_Instance _90134ba477550000_p_Instance _f0144ba477550000_p_Instance _90164ba477550000_p_Instance _d0174ba477550000_p_Instance _a0194ba477550000_p_Instance _e01a4ba477550000_p_Instance _201c4ba477550000_p_Instance _601d4ba477550000_p_Instance _a01e4ba477550000_p_Instance _70204ba477550000_p_Instance _b0214ba477550000_p_Instance _80234ba477550000_p_Instance _c0244ba477550000_p_Instance _00264ba477550000_p_Instance _40274ba477550000_p_Instance _f0274ba477550000_p_Instance _50294ba477550000_p_Instance _902a4ba477550000_p_Instance _d02b4ba477550000_p_Instance _102d4ba477550000_p_Instance _502e4ba477550000_p_Instance _f02f4ba477550000_p_Instance _30314ba477550000_p_Instance _00334ba477550000_p_Instance _40344ba477550000_p_Instance _10364ba477550000_p_Instance _50374ba477550000_p_Instance _90384ba477550000_p_Instance _d0394ba477550000_p_Instance _103b4ba477550000_p_Instance _e03c4ba477550000_p_Instance _203e4ba477550000_p_Instance _d03e4ba477550000_p_Instance _30404ba477550000_p_Instance _d0414ba477550000_p_Instance _10434ba477550000_p_Instance _e0444ba477550000_p_Instance _20464ba477550000_p_Instance _60474ba477550000_p_Instance _a0484ba477550000_p_Instance _e0494ba477550000_p_Instance _904a4ba477550000_p_Instance _304b4ba477550000_p_Instance _904c4ba477550000_p_Instance _304e4ba477550000_p_Instance _704f4ba477550000_p_Instance _b0524ba477550000_p_Instance _f0554ba477550000_p_Instance _30594ba477550000_p_Instance _705c4ba477550000_p_Instance _305e4ba477550000_p_Instance _c05f4ba477550000_p_Instance _50614ba477550000_p_Instance _e0624ba477550000_p_Instance _70644ba477550000_p_Instance _00664ba477550000_p_Instance _90674ba477550000_p_Instance _20694ba477550000_p_Instance _b06a4ba477550000_p_Instance _406c4ba477550000_p_Instance _506f4ba477550000_p_Instance _90724ba477550000_p_Instance _50744ba477550000_p_Instance _e0754ba477550000_p_Instance _70774ba477550000_p_Instance _00794ba477550000_p_Instance _907a4ba477550000_p_Instance _207c4ba477550000_p_Instance _b07d4ba477550000_p_Instance _407f4ba477550000_p_Instance _d0804ba477550000_p_Instance _60824ba477550000_p_Instance _f0834ba477550000_p_Instance _80854ba477550000_p_Instance _10874ba477550000_p_Instance _a0884ba477550000_p_Instance _308a4ba477550000_p_Instance _c08b4ba477550000_p_Instance _d08e4ba477550000_p_Instance _10924ba477550000_p_Instance _d0934ba477550000_p_Instance _60954ba477550000_p_Instance _f0964ba477550000_p_Instance _80984ba477550000_p_Instance _109a4ba477550000_p_Instance _a09b4ba477550000_p_Instance _309d4ba477550000_p_Instance _c09e4ba477550000_p_Instance _50a04ba477550000_p_Instance _e0a14ba477550000_p_Instance _70a34ba477550000_p_Instance _00a54ba477550000_p_Instance _90a64ba477550000_p_Instance _20a84ba477550000_p_Instance _b0a94ba477550000_p_Instance _40ab4ba477550000_p_Instance _d0ac4ba477550000_p_Instance _60ae4ba477550000_p_Instance _f0af4ba477550000_p_Instance _80b14ba477550000_p_Instance _10b34ba477550000_p_Instance _a0b44ba477550000_p_Instance _30b64ba477550000_p_Instance _c0b74ba477550000_p_Instance _50b94ba477550000_p_Instance _e0ba4ba477550000_p_Instance _70bc4ba477550000_p_Instance _00be4ba477550000_p_Instance _90bf4ba477550000_p_Instance _20c14ba477550000_p_Instance _60c24ba477550000_p_Instance _a0c34ba477550000_p_Instance _e0c44ba477550000_p_Instance _20c64ba477550000_p_Instance _60c74ba477550000_p_Instance _a0c84ba477550000_p_Instance _e0c94ba477550000_p_Instance _20cb4ba477550000_p_Instance _60cc4ba477550000_p_Instance _a0cd4ba477550000_p_Instance _e0ce4ba477550000_p_Instance _20d04ba477550000_p_Instance _60d14ba477550000_p_Instance _a0d24ba477550000_p_Instance _e0d34ba477550000_p_Instance _20d54ba477550000_p_Instance _60d64ba477550000_p_Instance _a0d74ba477550000_p_Instance _e0d84ba477550000_p_Instance _20da4ba477550000_p_Instance _60db4ba477550000_p_Instance _a0dc4ba477550000_p_Instance _e0dd4ba477550000_p_Instance _20df4ba477550000_p_Instance _60e04ba477550000_p_Instance _a0e14ba477550000_p_Instance _e0e24ba477550000_p_Instance _20e44ba477550000_p_Instance _60e54ba477550000_p_Instance _a0e64ba477550000_p_Instance _e0e74ba477550000_p_Instance _20e94ba477550000_p_Instance _60ea4ba477550000_p_Instance _a0eb4ba477550000_p_Instance _e0ec4ba477550000_p_Instance _20ee4ba477550000_p_Instance _60ef4ba477550000_p_Instance _a0f04ba477550000_p_Instance _e0f14ba477550000_p_Instance _20f34ba477550000_p_Instance _60f44ba477550000_p_Instance _a0f54ba477550000_p_Instance _e0f64ba477550000_p_Instance _20f84ba477550000_p_Instance _60f94ba477550000_p_Instance _a0fa4ba477550000_p_Instance _e0fb4ba477550000_p_Instance _20fd4ba477550000_p_Instance _60fe4ba477550000_p_Instance _a0ff4ba477550000_p_Instance _e0004ca477550000_p_Instance _20024ca477550000_p_Instance _60034ca477550000_p_Instance _a0044ca477550000_p_Instance _e0054ca477550000_p_Instance _20074ca477550000_p_Instance _60084ca477550000_p_Instance _a0094ca477550000_p_Instance _e00a4ca477550000_p_Instance _200c4ca477550000_p_Instance _600d4ca477550000_p_Instance _a00e4ca477550000_p_Instance _e00f4ca477550000_p_Instance _20114ca477550000_p_Instance _60124ca477550000_p_Instance _a0134ca477550000_p_Instance _e0144ca477550000_p_Instance _20164ca477550000_p_Instance _60174ca477550000_p_Instance _a0184ca477550000_p_Instance _e0194ca477550000_p_Instance _201b4ca477550000_p_Instance _601c4ca477550000_p_Instance _a01d4ca477550000_p_Instance _e01e4ca477550000_p_Instance _20204ca477550000_p_Instance _60214ca477550000_p_Instance _a0224ca477550000_p_Instance _e0234ca477550000_p_Instance _20254ca477550000_p_Instance _60264ca477550000_p_Instance _a0274ca477550000_p_Instance _e0284ca477550000_p_Instance _202a4ca477550000_p_Instance _602b4ca477550000_p_Instance _a02c4ca477550000_p_Instance _e02d4ca477550000_p_Instance _202f4ca477550000_p_Instance _60304ca477550000_p_Instance _a0314ca477550000_p_Instance _e0324ca477550000_p_Instance _20344ca477550000_p_Instance _60354ca477550000_p_Instance _a0364ca477550000_p_Instance _e0374ca477550000_p_Instance _20394ca477550000_p_Instance _603a4ca477550000_p_Instance _a03b4ca477550000_p_Instance _e03c4ca477550000_p_Instance _203e4ca477550000_p_Instance _603f4ca477550000_p_Instance _a0404ca477550000_p_Instance _e0414ca477550000_p_Instance _20434ca477550000_p_Instance _60444ca477550000_p_Instance _a0454ca477550000_p_Instance _e0464ca477550000_p_Instance _20484ca477550000_p_Instance _60494ca477550000_p_Instance _a04a4ca477550000_p_Instance _e04b4ca477550000_p_Instance _204d4ca477550000_p_Instance _604e4ca477550000_p_Instance _a04f4ca477550000_p_Instance _e0504ca477550000_p_Instance _20524ca477550000_p_Instance _60534ca477550000_p_Instance _a0544ca477550000_p_Instance _e0554ca477550000_p_Instance _20574ca477550000_p_Instance _60584ca477550000_p_Instance _a0594ca477550000_p_Instance _e05a4ca477550000_p_Instance _205c4ca477550000_p_Instance _605d4ca477550000_p_Instance _a05e4ca477550000_p_Instance _e05f4ca477550000_p_Instance _20614ca477550000_p_Instance _60624ca477550000_p_Instance _a0634ca477550000_p_Instance _e0644ca477550000_p_Instance _20664ca477550000_p_Instance _60674ca477550000_p_Instance _a0684ca477550000_p_Instance _e0694ca477550000_p_Instance _206b4ca477550000_p_Instance _606c4ca477550000_p_Instance _a06d4ca477550000_p_Instance _e06e4ca477550000_p_Instance _20704ca477550000_p_Instance _60714ca477550000_p_Instance _a0724ca477550000_p_Instance _e0734ca477550000_p_Instance _20754ca477550000_p_Instance _60764ca477550000_p_Instance _a0774ca477550000_p_Instance _e0784ca477550000_p_Instance _207a4ca477550000_p_Instance _607b4ca477550000_p_Instance _a07c4ca477550000_p_Instance _e07d4ca477550000_p_Instance _207f4ca477550000_p_Instance _60804ca477550000_p_Instance _a0814ca477550000_p_Instance _e0824ca477550000_p_Instance _20844ca477550000_p_Instance _60854ca477550000_p_Instance _a0864ca477550000_p_Instance _e0874ca477550000_p_Instance _20894ca477550000_p_Instance _608a4ca477550000_p_Instance _a08b4ca477550000_p_Instance _e08c4ca477550000_p_Instance _208e4ca477550000_p_Instance _608f4ca477550000_p_Instance _a0904ca477550000_p_Instance _e0914ca477550000_p_Instance _20934ca477550000_p_Instance _60944ca477550000_p_Instance _a0954ca477550000_p_Instance _e0964ca477550000_p_Instance _20984ca477550000_p_Instance _60994ca477550000_p_Instance _a09a4ca477550000_p_Instance _e09b4ca477550000_p_Instance _209d4ca477550000_p_Instance _609e4ca477550000_p_Instance _a09f4ca477550000_p_Instance _e0a04ca477550000_p_Instance _20a24ca477550000_p_Instance _60a34ca477550000_p_Instance _a0a44ca477550000_p_Instance _e0a54ca477550000_p_Instance _20a74ca477550000_p_Instance _60a84ca477550000_p_Instance _a0a94ca477550000_p_Instance _e0aa4ca477550000_p_Instance _20ac4ca477550000_p_Instance _60ad4ca477550000_p_Instance _a0ae4ca477550000_p_Instance _e0af4ca477550000_p_Instance _20b14ca477550000_p_Instance _60b24ca477550000_p_Instance _a0b34ca477550000_p_Instance _e0b44ca477550000_p_Instance _20b64ca477550000_p_Instance _60b74ca477550000_p_Instance _a0b84ca477550000_p_Instance _e0b94ca477550000_p_Instance _20bb4ca477550000_p_Instance _60bc4ca477550000_p_Instance _a0bd4ca477550000_p_Instance _e0be4ca477550000_p_Instance _20c04ca477550000_p_Instance _60c14ca477550000_p_Instance _a0c24ca477550000_p_Instance _e0c34ca477550000_p_Instance _20c54ca477550000_p_Instance _60c64ca477550000_p_Instance _a0c74ca477550000_p_Instance _e0c84ca477550000_p_Instance _20ca4ca477550000_p_Instance _60cb4ca477550000_p_Instance _a0cc4ca477550000_p_Instance _e0cd4ca477550000_p_Instance _20cf4ca477550000_p_Instance _60d04ca477550000_p_Instance _a0d14ca477550000_p_Instance _e0d24ca477550000_p_Instance _20d44ca477550000_p_Instance _60d54ca477550000_p_Instance _a0d64ca477550000_p_Instance _e0d74ca477550000_p_Instance _20d94ca477550000_p_Instance _60da4ca477550000_p_Instance _a0db4ca477550000_p_Instance _e0dc4ca477550000_p_Instance _20de4ca477550000_p_Instance _60df4ca477550000_p_Instance _a0e04ca477550000_p_Instance _e0e14ca477550000_p_Instance _20e34ca477550000_p_Instance _60e44ca477550000_p_Instance _a0e54ca477550000_p_Instance _e0e64ca477550000_p_Instance _20e84ca477550000_p_Instance _60e94ca477550000_p_Instance _a0ea4ca477550000_p_Instance _e0eb4ca477550000_p_Instance _20ed4ca477550000_p_Instance _60ee4ca477550000_p_Instance _a0ef4ca477550000_p_Instance _e0f04ca477550000_p_Instance _20f24ca477550000_p_Instance _60f34ca477550000_p_Instance _a0f44ca477550000_p_Instance _e0f54ca477550000_p_Instance _20f74ca477550000_p_Instance _60f84ca477550000_p_Instance _a0f94ca477550000_p_Instance _e0fa4ca477550000_p_Instance _20fc4ca477550000_p_Instance _60fd4ca477550000_p_Instance _a0fe4ca477550000_p_Instance _e0ff4ca477550000_p_Instance _20014da477550000_p_Instance _60024da477550000_p_Instance _a0034da477550000_p_Instance _e0044da477550000_p_Instance _20064da477550000_p_Instance _60074da477550000_p_Instance _a0084da477550000_p_Instance _e0094da477550000_p_Instance _200b4da477550000_p_Instance _600c4da477550000_p_Instance _a00d4da477550000_p_Instance _e00e4da477550000_p_Instance _20104da477550000_p_Instance _60114da477550000_p_Instance _a0124da477550000_p_Instance _e0134da477550000_p_Instance _20154da477550000_p_Instance _60164da477550000_p_Instance _a0174da477550000_p_Instance _e0184da477550000_p_Instance _201a4da477550000_p_Instance _601b4da477550000_p_Instance _a01c4da477550000_p_Instance _e01d4da477550000_p_Instance _201f4da477550000_p_Instance _60204da477550000_p_Instance _a0214da477550000_p_Instance _e0224da477550000_p_Instance _20244da477550000_p_Instance _60254da477550000_p_Instance _a0264da477550000_p_Instance _e0274da477550000_p_Instance _20294da477550000_p_Instance _602a4da477550000_p_Instance _a02b4da477550000_p_Instance _e02c4da477550000_p_Instance _202e4da477550000_p_Instance _602f4da477550000_p_Instance _a0304da477550000_p_Instance _e0314da477550000_p_Instance _20334da477550000_p_Instance _60344da477550000_p_Instance _a0354da477550000_p_Instance _e0364da477550000_p_Instance _20384da477550000_p_Instance _60394da477550000_p_Instance _a03a4da477550000_p_Instance _e03b4da477550000_p_Instance _203d4da477550000_p_Instance _603e4da477550000_p_Instance _a03f4da477550000_p_Instance _e0404da477550000_p_Instance _20424da477550000_p_Instance _60434da477550000_p_Instance _a0444da477550000_p_Instance _e0454da477550000_p_Instance _20474da477550000_p_Instance _60484da477550000_p_Instance _a0494da477550000_p_Instance _e04a4da477550000_p_Instance _204c4da477550000_p_Instance _604d4da477550000_p_Instance _a04e4da477550000_p_Instance _e04f4da477550000_p_Instance _20514da477550000_p_Instance _60524da477550000_p_Instance _a0534da477550000_p_Instance _e0544da477550000_p_Instance _20564da477550000_p_Instance _60574da477550000_p_Instance _a0584da477550000_p_Instance _e0594da477550000_p_Instance _205b4da477550000_p_Instance _605c4da477550000_p_Instance _a05d4da477550000_p_Instance _e05e4da477550000_p_Instance _20604da477550000_p_Instance _60614da477550000_p_Instance _a0624da477550000_p_Instance _e0634da477550000_p_Instance _20654da477550000_p_Instance _60664da477550000_p_Instance _a0674da477550000_p_Instance _e0684da477550000_p_Instance _206a4da477550000_p_Instance _606b4da477550000_p_Instance _a06c4da477550000_p_Instance _e06d4da477550000_p_Instance _206f4da477550000_p_Instance _60704da477550000_p_Instance _a0714da477550000_p_Instance _e0724da477550000_p_Instance _20744da477550000_p_Instance _60754da477550000_p_Instance _a0764da477550000_p_Instance _e0774da477550000_p_Instance _20794da477550000_p_Instance _607a4da477550000_p_Instance _a07b4da477550000_p_Instance _e07c4da477550000_p_Instance _207e4da477550000_p_Instance _607f4da477550000_p_Instance _a0804da477550000_p_Instance _e0814da477550000_p_Instance _20834da477550000_p_Instance _60844da477550000_p_Instance _a0854da477550000_p_Instance _e0864da477550000_p_Instance _20884da477550000_p_Instance _60894da477550000_p_Instance _a08a4da477550000_p_Instance _e08b4da477550000_p_Instance _208d4da477550000_p_Instance _608e4da477550000_p_Instance _a08f4da477550000_p_Instance _e0904da477550000_p_Instance _20924da477550000_p_Instance _60934da477550000_p_Instance _a0944da477550000_p_Instance _e0954da477550000_p_Instance _20974da477550000_p_Instance _60984da477550000_p_Instance _a0994da477550000_p_Instance _e09a4da477550000_p_Instance _209c4da477550000_p_Instance _609d4da477550000_p_Instance _a09e4da477550000_p_Instance _e09f4da477550000_p_Instance _20a14da477550000_p_Instance _60a24da477550000_p_Instance _a0a34da477550000_p_Instance _e0a44da477550000_p_Instance _20a64da477550000_p_Instance _60a74da477550000_p_Instance _a0a84da477550000_p_Instance _e0a94da477550000_p_Instance _20ab4da477550000_p_Instance _60ac4da477550000_p_Instance _a0ad4da477550000_p_Instance _e0ae4da477550000_p_Instance _20b04da477550000_p_Instance _60b14da477550000_p_Instance _a0b24da477550000_p_Instance _e0b34da477550000_p_Instance _20b54da477550000_p_Instance _60b64da477550000_p_Instance _a0b74da477550000_p_Instance _e0b84da477550000_p_Instance _20ba4da477550000_p_Instance _60bb4da477550000_p_Instance _a0bc4da477550000_p_Instance _e0bd4da477550000_p_Instance _20bf4da477550000_p_Instance _60c04da477550000_p_Instance _a0c14da477550000_p_Instance _e0c24da477550000_p_Instance _20c44da477550000_p_Instance _60c54da477550000_p_Instance _a0c64da477550000_p_Instance _e0c74da477550000_p_Instance _20c94da477550000_p_Instance _60ca4da477550000_p_Instance _a0cb4da477550000_p_Instance _e0cc4da477550000_p_Instance _20ce4da477550000_p_Instance _60cf4da477550000_p_Instance _a0d04da477550000_p_Instance _e0d14da477550000_p_Instance _20d34da477550000_p_Instance _60d44da477550000_p_Instance _a0d54da477550000_p_Instance _e0d64da477550000_p_Instance _20d84da477550000_p_Instance _60d94da477550000_p_Instance _a0da4da477550000_p_Instance _e0db4da477550000_p_Instance _20dd4da477550000_p_Instance _60de4da477550000_p_Instance _a0df4da477550000_p_Instance _e0e04da477550000_p_Instance _20e24da477550000_p_Instance _60e34da477550000_p_Instance _a0e44da477550000_p_Instance _e0e54da477550000_p_Instance _20e74da477550000_p_Instance _60e84da477550000_p_Instance _a0e94da477550000_p_Instance _e0ea4da477550000_p_Instance _20ec4da477550000_p_Instance _60ed4da477550000_p_Instance _a0ee4da477550000_p_Instance _e0ef4da477550000_p_Instance _20f14da477550000_p_Instance _60f24da477550000_p_Instance _a0f34da477550000_p_Instance _e0f44da477550000_p_Instance _20f64da477550000_p_Instance _60f74da477550000_p_Instance _a0f84da477550000_p_Instance _e0f94da477550000_p_Instance _20fb4da477550000_p_Instance _60fc4da477550000_p_Instance _a0fd4da477550000_p_Instance _e0fe4da477550000_p_Instance _20004ea477550000_p_Instance _60014ea477550000_p_Instance _a0024ea477550000_p_Instance _e0034ea477550000_p_Instance _20054ea477550000_p_Instance _60064ea477550000_p_Instance _a0074ea477550000_p_Instance _e0084ea477550000_p_Instance _200a4ea477550000_p_Instance _600b4ea477550000_p_Instance _a00c4ea477550000_p_Instance _e00d4ea477550000_p_Instance _200f4ea477550000_p_Instance _60104ea477550000_p_Instance _a0114ea477550000_p_Instance _e0124ea477550000_p_Instance _20144ea477550000_p_Instance _60154ea477550000_p_Instance _a0164ea477550000_p_Instance _e0174ea477550000_p_Instance _20194ea477550000_p_Instance _601a4ea477550000_p_Instance _a01b4ea477550000_p_Instance _e01c4ea477550000_p_Instance _201e4ea477550000_p_Instance _601f4ea477550000_p_Instance _a0204ea477550000_p_Instance _e0214ea477550000_p_Instance _20234ea477550000_p_Instance _60244ea477550000_p_Instance _a0254ea477550000_p_Instance _e0264ea477550000_p_Instance _20284ea477550000_p_Instance _60294ea477550000_p_Instance _a02a4ea477550000_p_Instance _e02b4ea477550000_p_Instance _202d4ea477550000_p_Instance _602e4ea477550000_p_Instance _a02f4ea477550000_p_Instance _e0304ea477550000_p_Instance _20324ea477550000_p_Instance _60334ea477550000_p_Instance _a0344ea477550000_p_Instance _e0354ea477550000_p_Instance _20374ea477550000_p_Instance _60384ea477550000_p_Instance _a0394ea477550000_p_Instance _e03a4ea477550000_p_Instance _203c4ea477550000_p_Instance _603d4ea477550000_p_Instance _a03e4ea477550000_p_Instance _e03f4ea477550000_p_Instance _20414ea477550000_p_Instance _60424ea477550000_p_Instance _a0434ea477550000_p_Instance _e0444ea477550000_p_Instance _20464ea477550000_p_Instance _60474ea477550000_p_Instance _a0484ea477550000_p_Instance _e0494ea477550000_p_Instance _204b4ea477550000_p_Instance _604c4ea477550000_p_Instance _a04d4ea477550000_p_Instance _e04e4ea477550000_p_Instance _20504ea477550000_p_Instance _60514ea477550000_p_Instance _a0524ea477550000_p_Instance _e0534ea477550000_p_Instance _20554ea477550000_p_Instance _60564ea477550000_p_Instance _a0574ea477550000_p_Instance _e0584ea477550000_p_Instance _205a4ea477550000_p_Instance _605b4ea477550000_p_Instance _a05c4ea477550000_p_Instance _e05d4ea477550000_p_Instance _205f4ea477550000_p_Instance _60604ea477550000_p_Instance _a0614ea477550000_p_Instance _e0624ea477550000_p_Instance _20644ea477550000_p_Instance _60654ea477550000_p_Instance _a0664ea477550000_p_Instance _e0674ea477550000_p_Instance _20694ea477550000_p_Instance _606a4ea477550000_p_Instance _a06b4ea477550000_p_Instance _e06c4ea477550000_p_Instance _206e4ea477550000_p_Instance _606f4ea477550000_p_Instance _a0704ea477550000_p_Instance _e0714ea477550000_p_Instance _20734ea477550000_p_Instance _60744ea477550000_p_Instance _a0754ea477550000_p_Instance _e0764ea477550000_p_Instance _20784ea477550000_p_Instance _60794ea477550000_p_Instance _a07a4ea477550000_p_Instance _e07b4ea477550000_p_Instance _207d4ea477550000_p_Instance _607e4ea477550000_p_Instance _a07f4ea477550000_p_Instance _e0804ea477550000_p_Instance _20824ea477550000_p_Instance _60834ea477550000_p_Instance _a0844ea477550000_p_Instance _e0854ea477550000_p_Instance _20874ea477550000_p_Instance _60884ea477550000_p_Instance _a0894ea477550000_p_Instance _e08a4ea477550000_p_Instance _208c4ea477550000_p_Instance _608d4ea477550000_p_Instance _a08e4ea477550000_p_Instance _e08f4ea477550000_p_Instance _20914ea477550000_p_Instance _60924ea477550000_p_Instance _a0934ea477550000_p_Instance _e0944ea477550000_p_Instance _20964ea477550000_p_Instance _60974ea477550000_p_Instance _a0984ea477550000_p_Instance _e0994ea477550000_p_Instance _209b4ea477550000_p_Instance _609c4ea477550000_p_Instance _a09d4ea477550000_p_Instance _e09e4ea477550000_p_Instance _20a04ea477550000_p_Instance _60a14ea477550000_p_Instance _a0a24ea477550000_p_Instance _e0a34ea477550000_p_Instance _20a54ea477550000_p_Instance _60a64ea477550000_p_Instance _a0a74ea477550000_p_Instance _e0a84ea477550000_p_Instance _20aa4ea477550000_p_Instance _60ab4ea477550000_p_Instance _a0ac4ea477550000_p_Instance
% Warning: instance 'mprj/mprj/soc.spi_video_ram_1.write_fifo.dffrf/' not found.
% Invalid method. Must be one of: configure cget -acquire -disown -delete parent cell liberty_cell is_leaf child_iterator pin_iterator net_iterator find_pin
%