blob: e7beb41bba7d248b660e727eec1fccdebc42c6c0 [file] [log] [blame]
OpenSTA 2.3.1 61c0f9d73c Copyright (c) 2021, Parallax Software, Inc.
License GPLv3: GNU GPL version 3 <http://gnu.org/licenses/gpl.html>
This is free software, and you are free to change and redistribute it
under certain conditions; type `show_copying' for details.
This program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.
Warning: /home/videogamo/Work/mpw5/pdk/sky130A/libs.ref/sky130_fd_sc_hvl/lib/sky130_fd_sc_hvl__tt_025C_3v30_lv1v80.lib line 1, default_operating_condition tt_025C_3v30_lv1v80 not found.
Warning: ../verilog/gl/DFFRF_2R1W.powered.nl.v line 49, module sky130_fd_sc_hvl__fill_2 not found. Creating black box for FILLER_0_28.
Warning: ../verilog/gl/DFFRF_2R1W.powered.nl.v line 55, module sky130_fd_sc_hvl__fill_1 not found. Creating black box for FILLER_0_30.
Warning: ../verilog/gl/DFFRF_2R1W.powered.nl.v line 705, module sky130_fd_sc_hd__fill_2 not found. Creating black box for FILLER_0_11.
Warning: ../verilog/gl/DFFRF_2R1W.powered.nl.v line 709, module sky130_fd_sc_hd__fill_1 not found. Creating black box for FILLER_0_113.
Warning: ../verilog/gl/DFFRF_2R1W.powered.nl.v line 1224, module sky130_ef_io__corner_pad not found. Creating black box for \mgmt_corner[0] .
Warning: ../verilog/gl/DFFRF_2R1W.powered.nl.v line 1282, module sky130_ef_io__vddio_hvc_clamped_pad not found. Creating black box for \mgmt_vddio_hvclamp_pad[0] .
Warning: ../verilog/gl/DFFRF_2R1W.powered.nl.v line 1342, module sky130_ef_io__vssio_hvc_clamped_pad not found. Creating black box for \mgmt_vssio_hvclamp_pad[0] .
Warning: ../verilog/gl/DFFRF_2R1W.powered.nl.v line 1973, module sky130_fd_sc_hd__tapvpwrvgnd_1 not found. Creating black box for TAP_100.
Warning: ../verilog/gl/DFFRF_2R1W.powered.nl.v line 4766, module simple_por not found. Creating black box for por.
Warning: ./spef/chip_io.spef line 9225, syntax error, unexpected CAP, expecting KW_P or KW_I.
Warning: ./spef/housekeeping.spef line 29368, pwr_ctrl_out[0] not connected to net housekeeping/pwr_ctrl_out[0].
Warning: ./spef/housekeeping.spef line 29375, pwr_ctrl_out[0] not connected to net housekeeping/pwr_ctrl_out[0].
Warning: ./spef/housekeeping.spef line 29383, pwr_ctrl_out[1] not connected to net housekeeping/pwr_ctrl_out[1].
Warning: ./spef/housekeeping.spef line 29390, pwr_ctrl_out[1] not connected to net housekeeping/pwr_ctrl_out[1].
Warning: ./spef/housekeeping.spef line 29398, pwr_ctrl_out[2] not connected to net housekeeping/pwr_ctrl_out[2].
Warning: ./spef/housekeeping.spef line 29404, pwr_ctrl_out[2] not connected to net housekeeping/pwr_ctrl_out[2].
Warning: ./spef/housekeeping.spef line 29412, pwr_ctrl_out[3] not connected to net housekeeping/pwr_ctrl_out[3].
Warning: ./spef/housekeeping.spef line 29420, pwr_ctrl_out[3] not connected to net housekeeping/pwr_ctrl_out[3].
Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_1\[0\]/one.
Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_1\[0\]/one.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_1\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_1\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_1\[1\]/one.
Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_1\[1\]/one.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_1\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_1\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_2\[1\]/one.
Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_2\[1\]/one.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_2\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_2\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_2\[2\]/one.
Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_2\[2\]/one.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_2\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_2\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[10\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[10\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[3\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[3\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[4\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[4\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[5\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[5\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[6\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[6\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[7\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[7\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[8\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[8\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[9\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[9\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[3\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[3\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[4\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[4\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[5\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[5\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[0\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[10\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[10\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[11\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[11\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[12\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[12\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[13\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[13\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[14\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[14\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[15\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[15\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[1\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[2\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[3\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[3\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[4\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[4\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[5\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[5\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[6\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[6\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[7\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[7\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[8\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[8\]/zero.
Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[9\]/zero.
Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[9\]/zero.
Warning: ./spef/gpio_defaults_block_1803.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_0/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_1803.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_0/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_1803.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_0/gpio_defaults_high\[10\].
Warning: ./spef/gpio_defaults_block_1803.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_0/gpio_defaults_high\[10\].
Warning: ./spef/gpio_defaults_block_1803.spef line 139, gpio_defaults[11] not connected to net gpio_defaults_block_0/gpio_defaults_low\[11\].
Warning: ./spef/gpio_defaults_block_1803.spef line 144, gpio_defaults[11] not connected to net gpio_defaults_block_0/gpio_defaults_low\[11\].
Warning: ./spef/gpio_defaults_block_1803.spef line 152, gpio_defaults[12] not connected to net gpio_defaults_block_0/gpio_defaults_low\[12\].
Warning: ./spef/gpio_defaults_block_1803.spef line 156, gpio_defaults[12] not connected to net gpio_defaults_block_0/gpio_defaults_low\[12\].
Warning: ./spef/gpio_defaults_block_1803.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_1/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_1803.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_1/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_1803.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_1/gpio_defaults_high\[10\].
Warning: ./spef/gpio_defaults_block_1803.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_1/gpio_defaults_high\[10\].
Warning: ./spef/gpio_defaults_block_1803.spef line 139, gpio_defaults[11] not connected to net gpio_defaults_block_1/gpio_defaults_low\[11\].
Warning: ./spef/gpio_defaults_block_1803.spef line 144, gpio_defaults[11] not connected to net gpio_defaults_block_1/gpio_defaults_low\[11\].
Warning: ./spef/gpio_defaults_block_1803.spef line 152, gpio_defaults[12] not connected to net gpio_defaults_block_1/gpio_defaults_low\[12\].
Warning: ./spef/gpio_defaults_block_1803.spef line 156, gpio_defaults[12] not connected to net gpio_defaults_block_1/gpio_defaults_low\[12\].
Warning: ./spef/gpio_defaults_block_0403.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_2/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_0403.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_2/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_0403.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_3/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_0403.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_3/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_0403.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_4/gpio_defaults_low\[0\].
Warning: ./spef/gpio_defaults_block_0403.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_4/gpio_defaults_low\[0\].
Warning: ./spef/caravel.spef line 4115, *2810 not connected to net gpio_clock_1\[0\].
Warning: ./spef/caravel.spef line 4116, *2803 not connected to net gpio_clock_1\[0\].
Warning: ./spef/caravel.spef line 4187, *2803 not connected to net gpio_clock_1\[0\].
Warning: ./spef/caravel.spef line 4194, *2810 not connected to net gpio_clock_1\[0\].
Warning: ./spef/caravel.spef line 4218, *2805 not connected to net gpio_clock_1\[10\].
Warning: ./spef/caravel.spef line 4219, *2804 not connected to net gpio_clock_1\[10\].
Warning: ./spef/caravel.spef line 4286, *2804 not connected to net gpio_clock_1\[10\].
Warning: ./spef/caravel.spef line 4298, *2805 not connected to net gpio_clock_1\[10\].
Warning: ./spef/caravel.spef line 4323, *2806 not connected to net gpio_clock_1\[11\].
Warning: ./spef/caravel.spef line 4324, *2805 not connected to net gpio_clock_1\[11\].
Warning: ./spef/caravel.spef line 4415, *2805 not connected to net gpio_clock_1\[11\].
Warning: ./spef/caravel.spef line 4423, *2806 not connected to net gpio_clock_1\[11\].
Warning: ./spef/caravel.spef line 4443, *2807 not connected to net gpio_clock_1\[12\].
Warning: ./spef/caravel.spef line 4446, *2806 not connected to net gpio_clock_1\[12\].
Warning: ./spef/caravel.spef line 4502, *2806 not connected to net gpio_clock_1\[12\].
Warning: ./spef/caravel.spef line 4519, *2807 not connected to net gpio_clock_1\[12\].
Warning: ./spef/caravel.spef line 4531, *2808 not connected to net gpio_clock_1\[13\].
Warning: ./spef/caravel.spef line 4532, *2807 not connected to net gpio_clock_1\[13\].
Warning: ./spef/caravel.spef line 4594, *2807 not connected to net gpio_clock_1\[13\].
Warning: ./spef/caravel.spef line 4603, *2808 not connected to net gpio_clock_1\[13\].
Warning: ./spef/caravel.spef line 4629, *2809 not connected to net gpio_clock_1\[14\].
Warning: ./spef/caravel.spef line 4630, *2808 not connected to net gpio_clock_1\[14\].
Warning: ./spef/caravel.spef line 4685, *2808 not connected to net gpio_clock_1\[14\].
Warning: ./spef/caravel.spef line 4688, *2809 not connected to net gpio_clock_1\[14\].
Warning: ./spef/caravel.spef line 4710, *2783 not connected to net gpio_clock_1\[15\].
Warning: ./spef/caravel.spef line 4711, *2809 not connected to net gpio_clock_1\[15\].
Warning: ./spef/caravel.spef line 4904, *2809 not connected to net gpio_clock_1\[15\].
Warning: ./spef/caravel.spef line 4906, *2783 not connected to net gpio_clock_1\[15\].
Warning: ./spef/caravel.spef line 4935, *2784 not connected to net gpio_clock_1\[16\].
Warning: ./spef/caravel.spef line 4936, *2783 not connected to net gpio_clock_1\[16\].
Warning: ./spef/caravel.spef line 5008, *2783 not connected to net gpio_clock_1\[16\].
Warning: ./spef/caravel.spef line 5009, *2784 not connected to net gpio_clock_1\[16\].
Warning: ./spef/caravel.spef line 5022, *2784 not connected to net gpio_clock_1\[17\].
Warning: ./spef/caravel.spef line 5023, *2785 not connected to net gpio_clock_1\[17\].
Warning: ./spef/caravel.spef line 5121, *2785 not connected to net gpio_clock_1\[17\].
Warning: ./spef/caravel.spef line 5127, *2784 not connected to net gpio_clock_1\[17\].
Warning: ./spef/caravel.spef line 5137, *2785 not connected to net gpio_clock_1\[18\].
Warning: ./spef/caravel.spef line 5190, *2785 not connected to net gpio_clock_1\[18\].
Warning: ./spef/caravel.spef line 5202, *2811 not connected to net gpio_clock_1\[1\].
Warning: ./spef/caravel.spef line 5203, *2810 not connected to net gpio_clock_1\[1\].
Warning: ./spef/caravel.spef line 5289, *2810 not connected to net gpio_clock_1\[1\].
Warning: ./spef/caravel.spef line 5297, *2811 not connected to net gpio_clock_1\[1\].
Warning: ./spef/caravel.spef line 5321, *2812 not connected to net gpio_clock_1\[2\].
Warning: ./spef/caravel.spef line 5324, *2811 not connected to net gpio_clock_1\[2\].
Warning: ./spef/caravel.spef line 5411, *2811 not connected to net gpio_clock_1\[2\].
Warning: ./spef/caravel.spef line 5434, *2812 not connected to net gpio_clock_1\[2\].
Warning: ./spef/caravel.spef line 5446, *2813 not connected to net gpio_clock_1\[3\].
Warning: ./spef/caravel.spef line 5447, *2812 not connected to net gpio_clock_1\[3\].
Warning: ./spef/caravel.spef line 5504, *2812 not connected to net gpio_clock_1\[3\].
Warning: ./spef/caravel.spef line 5511, *2813 not connected to net gpio_clock_1\[3\].
Warning: ./spef/caravel.spef line 5535, *2814 not connected to net gpio_clock_1\[4\].
Warning: ./spef/caravel.spef line 5536, *2813 not connected to net gpio_clock_1\[4\].
Warning: ./spef/caravel.spef line 5599, *2813 not connected to net gpio_clock_1\[4\].
Warning: ./spef/caravel.spef line 5611, *2814 not connected to net gpio_clock_1\[4\].
Warning: ./spef/caravel.spef line 5630, *2815 not connected to net gpio_clock_1\[5\].
Warning: ./spef/caravel.spef line 5631, *2814 not connected to net gpio_clock_1\[5\].
Warning: ./spef/caravel.spef line 5713, *2814 not connected to net gpio_clock_1\[5\].
Warning: ./spef/caravel.spef line 5719, *2815 not connected to net gpio_clock_1\[5\].
Warning: ./spef/caravel.spef line 5737, *2814 not connected to net gpio_clock_1\[5\].
Warning: ./spef/caravel.spef line 5749, *2816 not connected to net gpio_clock_1\[6\].
Warning: ./spef/caravel.spef line 5750, *2815 not connected to net gpio_clock_1\[6\].
Warning: ./spef/caravel.spef line 5835, *2815 not connected to net gpio_clock_1\[6\].
Warning: ./spef/caravel.spef line 5845, *2816 not connected to net gpio_clock_1\[6\].
Warning: ./spef/caravel.spef line 5859, *2815 not connected to net gpio_clock_1\[6\].
Warning: ./spef/caravel.spef line 5871, *2817 not connected to net gpio_clock_1\[7\].
Warning: ./spef/caravel.spef line 5872, *2816 not connected to net gpio_clock_1\[7\].
Warning: ./spef/caravel.spef line 5970, *2816 not connected to net gpio_clock_1\[7\].
Warning: ./spef/caravel.spef line 5977, *2817 not connected to net gpio_clock_1\[7\].
Warning: ./spef/caravel.spef line 6002, *2818 not connected to net gpio_clock_1\[8\].
Warning: ./spef/caravel.spef line 6003, *2817 not connected to net gpio_clock_1\[8\].
Warning: ./spef/caravel.spef line 6077, *2817 not connected to net gpio_clock_1\[8\].
Warning: ./spef/caravel.spef line 6082, *2818 not connected to net gpio_clock_1\[8\].
Warning: ./spef/caravel.spef line 6107, *2804 not connected to net gpio_clock_1\[9\].
Warning: ./spef/caravel.spef line 6108, *2818 not connected to net gpio_clock_1\[9\].
Warning: ./spef/caravel.spef line 6166, *2818 not connected to net gpio_clock_1\[9\].
Warning: ./spef/caravel.spef line 6168, *2804 not connected to net gpio_clock_1\[9\].
Warning: ./spef/caravel.spef line 6189, *2803 not connected to net gpio_clock_1_shifted\[0\].
Warning: ./spef/caravel.spef line 6233, *2803 not connected to net gpio_clock_1_shifted\[0\].
Warning: ./spef/caravel.spef line 6239, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6243, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6248, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6253, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6257, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6265, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6270, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6274, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6284, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6289, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6293, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6302, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6307, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6311, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6318, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 6323, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6327, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6335, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6340, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6344, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6351, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6356, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6360, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6369, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6374, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6378, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6385, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6390, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6394, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6400, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6405, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6409, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6414, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6419, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6423, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6432, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6437, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6441, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6445, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6450, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6454, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6460, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6465, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6469, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6476, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6481, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6485, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6492, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6497, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6501, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6508, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6513, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6517, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6525, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6530, instance gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6534, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6539, net gpio_8_defaults not found.
Warning: ./spef/caravel.spef line 6544, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6548, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6554, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6561, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6565, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6573, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6578, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6582, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6591, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6596, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6600, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6608, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6613, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6617, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6625, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6630, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6634, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6641, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6646, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6650, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6655, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6660, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6664, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6668, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6673, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6677, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6683, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6688, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6692, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6699, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6704, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6708, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6715, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6720, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6724, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6730, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6735, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6739, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6746, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6751, instance gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6755, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6761, net gpio_9_defaults not found.
Warning: ./spef/caravel.spef line 6766, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6770, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6777, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 6782, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6786, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6792, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6797, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6801, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6809, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6814, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6818, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6826, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6831, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6835, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6843, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6848, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6852, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6858, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6863, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6867, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6873, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6878, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6882, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6886, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6891, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6895, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6901, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6906, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6910, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6916, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6921, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6925, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6931, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6936, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 6940, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 6946, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 6953, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6957, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6963, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6968, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6972, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6978, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6983, instance gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6987, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6993, net gpio_10_defaults not found.
Warning: ./spef/caravel.spef line 6998, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7002, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7007, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7012, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7016, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7022, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7027, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7031, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7039, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7044, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7048, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7055, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7060, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7064, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7071, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7076, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7080, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7085, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7090, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7094, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7098, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7103, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7107, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7114, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7119, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7123, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7129, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7134, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7138, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7144, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7149, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7153, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7159, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7164, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7168, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7174, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7179, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7183, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7191, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7196, instance gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7200, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7205, net gpio_11_defaults not found.
Warning: ./spef/caravel.spef line 7211, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7215, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7221, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7226, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7230, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7239, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7244, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7248, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7256, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7261, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7265, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7273, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7278, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7282, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7288, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7293, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7297, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7304, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7309, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7313, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7320, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7325, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7329, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7333, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7338, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7342, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7348, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7353, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7357, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7364, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7369, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7373, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7381, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7386, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7390, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7397, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7402, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7406, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7413, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7418, instance gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7422, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7428, net gpio_12_defaults not found.
Warning: ./spef/caravel.spef line 7433, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7437, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7443, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7448, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7452, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7460, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7465, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7469, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7477, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7482, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7486, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7494, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7499, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7503, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7511, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7516, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7520, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7526, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7531, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7535, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7541, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7546, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7550, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7554, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7559, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7563, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7569, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7574, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7578, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7584, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7589, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7593, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7599, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7604, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7608, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7614, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7619, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7623, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7629, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7634, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7638, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7644, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7649, instance gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7653, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7659, net gpio_13_defaults not found.
Warning: ./spef/caravel.spef line 7664, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7668, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7674, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7681, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7685, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7692, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7697, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7701, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7710, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7715, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7719, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7726, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7731, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7735, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7742, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7747, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7751, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7756, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7761, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7765, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7769, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7774, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7778, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7784, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7789, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7793, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7799, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7804, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7808, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7815, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7820, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7824, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7830, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7835, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7839, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7846, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7851, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7855, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7861, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7866, instance gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7870, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7877, net gpio_14_defaults not found.
Warning: ./spef/caravel.spef line 7882, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7886, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7889, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7894, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7898, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7902, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7907, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7911, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7915, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7920, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7924, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7928, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7933, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7937, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7941, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7946, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7950, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7954, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 7959, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 7963, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 7969, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 7974, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7978, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7982, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7987, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7991, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 7995, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8000, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8004, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8008, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8013, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8017, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8021, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8026, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8030, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8034, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8039, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8043, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8047, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8052, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8056, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8060, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8065, instance gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8069, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8072, net gpio_15_defaults not found.
Warning: ./spef/caravel.spef line 8077, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8081, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8084, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8089, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8093, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8097, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8102, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8106, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8112, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8117, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8121, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8125, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8130, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8134, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8138, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8143, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8147, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8151, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8156, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8160, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8164, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8169, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8173, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8177, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8182, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8186, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8190, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8195, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8199, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8203, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8208, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8212, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8216, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8221, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8225, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8229, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8234, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8238, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8242, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8247, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8251, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8257, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8262, instance gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8266, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8269, net gpio_16_defaults not found.
Warning: ./spef/caravel.spef line 8274, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8278, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8281, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8286, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8290, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8294, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8299, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8303, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8307, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8312, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8316, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8320, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8325, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8329, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8333, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8338, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8342, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8346, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8351, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8355, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8359, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8364, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8368, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8372, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8377, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8381, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8385, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8390, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8394, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8400, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8405, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8409, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8413, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8418, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8422, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8426, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8431, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8435, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8439, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8444, instance gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8448, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8451, net gpio_17_defaults not found.
Warning: ./spef/caravel.spef line 8456, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8460, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8463, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8468, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8472, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8476, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8481, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8485, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8489, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8494, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8498, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8502, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8507, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8511, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8515, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8520, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8524, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8528, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8533, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8537, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8544, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8549, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8553, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8557, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8562, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8566, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8570, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8575, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8579, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8583, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8588, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8592, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8596, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8601, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8605, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8609, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8614, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8618, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8622, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8627, instance gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8631, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8634, net gpio_18_defaults not found.
Warning: ./spef/caravel.spef line 8639, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8643, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8646, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8651, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8655, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8659, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8664, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8668, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8672, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8677, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8681, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8685, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8690, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8694, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8698, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8703, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8707, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8711, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8716, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8720, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8724, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8729, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8733, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8737, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8742, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8746, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8750, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8755, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8759, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8763, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8768, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8772, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8776, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8781, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8785, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8789, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8794, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8798, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8802, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8807, instance gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8811, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8814, net gpio_19_defaults not found.
Warning: ./spef/caravel.spef line 8819, instance gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8823, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8829, net gpio_01_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 8834, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8838, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8841, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8846, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8850, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8854, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8859, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8863, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8867, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8872, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8876, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8880, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8885, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8889, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8893, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8898, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8902, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8906, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8911, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8915, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8919, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8924, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8928, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8932, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8937, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8941, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8945, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8950, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8954, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8958, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8963, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 8967, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 8972, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 8977, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8981, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8985, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8990, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8994, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 8998, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 9003, instance gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 9007, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 9010, net gpio_20_defaults not found.
Warning: ./spef/caravel.spef line 9015, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9019, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9022, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9027, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9031, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9035, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9040, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9044, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9048, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9053, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9057, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9061, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9066, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9070, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9074, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9079, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9083, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9087, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9092, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9096, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9100, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9105, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9109, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9117, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9122, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9126, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9130, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9135, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9139, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9143, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9148, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9152, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9156, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9161, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9165, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9169, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9174, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9178, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9182, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9187, instance gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9191, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9194, net gpio_21_defaults not found.
Warning: ./spef/caravel.spef line 9199, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9203, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9210, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9215, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9219, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9222, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9227, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9231, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9239, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9244, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9248, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9255, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9260, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9264, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9268, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9273, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9277, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9281, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9286, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9290, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9294, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9299, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9303, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9307, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9312, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9316, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9320, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9325, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9329, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9333, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9338, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9342, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9346, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9351, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9355, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9359, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9364, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9368, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9372, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9377, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9381, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9385, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9390, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9394, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9400, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9405, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9409, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9413, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9418, instance gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9422, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9425, net gpio_23_defaults not found.
Warning: ./spef/caravel.spef line 9430, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9434, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9437, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9442, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9446, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9450, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9455, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9459, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9463, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9468, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9472, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9476, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9481, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9485, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9489, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9494, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9498, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9502, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9507, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9511, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9515, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9520, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9524, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9528, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9533, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9537, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9543, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9548, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9552, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9556, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9561, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9565, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9569, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9574, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9578, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9582, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9587, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9591, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9595, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9600, instance gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9604, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9607, net gpio_24_defaults not found.
Warning: ./spef/caravel.spef line 9612, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9616, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9620, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9625, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9629, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9633, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9638, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9642, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9646, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9651, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9655, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9659, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9664, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9668, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9672, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9677, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9681, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9685, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9690, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9694, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9698, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9703, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9707, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9711, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9716, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9720, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9724, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9729, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9733, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9737, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9742, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9746, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9750, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9755, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9759, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9763, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9768, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9772, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9776, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9781, instance gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9785, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9788, net gpio_25_defaults not found.
Warning: ./spef/caravel.spef line 9793, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9797, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9800, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9805, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9809, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9813, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9818, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9822, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9828, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9833, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9837, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9841, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9846, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9850, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9854, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9859, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9863, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9867, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9872, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9876, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9880, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9885, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9889, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9893, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9898, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9902, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9906, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9911, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9915, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9919, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9924, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9928, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9932, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9937, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9941, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9945, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9950, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9954, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9958, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9963, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9967, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9973, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 9978, instance gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9982, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9985, net gpio_26_defaults not found.
Warning: ./spef/caravel.spef line 9990, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 9994, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 9997, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10002, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10006, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10010, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10015, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10019, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10023, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10028, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10032, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10036, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10041, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10045, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10049, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10054, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10058, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10062, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10067, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10071, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10075, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10080, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10084, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10088, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10093, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10097, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10101, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10106, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10110, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10117, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10122, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10126, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10130, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10135, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10139, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10143, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10148, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10152, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10156, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10161, instance gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10165, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10168, net gpio_27_defaults not found.
Warning: ./spef/caravel.spef line 10173, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10177, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10180, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10185, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10189, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10193, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10198, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10202, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10206, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10211, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10215, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10219, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10224, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10228, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10232, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10237, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10241, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10245, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10250, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10254, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10260, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10265, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10269, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10273, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10278, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10282, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10286, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10291, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10295, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10299, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10304, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10308, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10312, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10317, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10321, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10325, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10330, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10334, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10338, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10343, instance gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10347, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10350, net gpio_28_defaults not found.
Warning: ./spef/caravel.spef line 10355, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10359, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10363, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10368, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10372, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10376, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10381, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10385, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10389, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10394, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10398, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10404, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10409, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10413, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10417, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10422, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10426, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10430, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10435, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10439, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10443, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10448, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10452, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10456, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10461, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10465, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10469, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10474, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10478, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10482, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10487, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10491, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10495, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10500, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10504, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10508, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10513, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10517, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10521, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10526, instance gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10530, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10533, net gpio_29_defaults not found.
Warning: ./spef/caravel.spef line 10538, instance gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10542, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10547, net gpio_234_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10552, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10556, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10560, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10565, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10569, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10573, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10578, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10582, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10586, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10591, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10595, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10599, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10604, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10608, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10612, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10617, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10621, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10625, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10630, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10634, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10638, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10643, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10647, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10651, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10656, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10660, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10664, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10669, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10673, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10677, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10682, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10686, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10690, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10695, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10699, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10707, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 10712, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10716, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10720, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10725, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10729, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10733, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10738, instance gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10742, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10745, net gpio_30_defaults not found.
Warning: ./spef/caravel.spef line 10750, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10754, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10757, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10762, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10766, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10770, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10775, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10779, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10783, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10788, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10792, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10796, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10801, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10805, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10809, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10814, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10818, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10822, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10827, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10831, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10835, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10840, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10844, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10851, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10856, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10860, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10864, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10869, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10873, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10877, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10882, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10886, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10890, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10895, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10899, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10903, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10908, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10912, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10916, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10921, instance gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10925, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10928, net gpio_31_defaults not found.
Warning: ./spef/caravel.spef line 10933, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10937, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10940, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10945, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10949, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10953, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10958, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10962, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10966, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10971, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10975, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10979, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 10984, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10988, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 10996, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11001, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11005, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11009, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11014, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11018, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11022, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11027, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11031, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11035, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11040, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11044, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11048, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11053, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11057, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11061, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11066, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11070, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11074, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11079, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11083, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11087, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11092, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11096, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11100, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11105, instance gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11109, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11112, net gpio_32_defaults not found.
Warning: ./spef/caravel.spef line 11117, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11121, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11124, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11129, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11133, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11141, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11146, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11150, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11154, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11159, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11163, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11167, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11172, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11176, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11180, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11185, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11189, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11193, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11198, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11202, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11206, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11211, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11215, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11219, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11224, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11228, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11232, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11237, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11241, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11245, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11250, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11254, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11258, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11263, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11267, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11271, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11276, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11280, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11286, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11291, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11295, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11299, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11304, instance gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11308, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11311, net gpio_33_defaults not found.
Warning: ./spef/caravel.spef line 11316, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11320, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11323, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11328, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11332, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11336, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11341, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11345, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11349, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11354, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11358, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11362, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11367, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11371, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11375, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11380, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11384, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11388, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11393, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11397, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11401, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11406, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11410, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11414, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11419, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11423, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11428, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11433, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11437, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11441, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11446, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11450, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11454, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11459, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11463, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11467, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11472, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11476, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11480, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11485, instance gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11489, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11492, net gpio_34_defaults not found.
Warning: ./spef/caravel.spef line 11497, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11501, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11504, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11509, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11513, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11517, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11522, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11526, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11530, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11535, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11539, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11543, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11548, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11552, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11556, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11561, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11565, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11569, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11574, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11578, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11582, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11587, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11591, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11595, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11600, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11604, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11608, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11613, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11617, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11621, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11626, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11630, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11634, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11639, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11643, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11647, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11652, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11656, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11660, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11665, instance gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11669, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11672, net gpio_35_defaults not found.
Warning: ./spef/caravel.spef line 11677, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11681, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11685, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11690, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11694, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11696, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11701, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11705, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11711, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11716, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11720, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11722, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11727, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11731, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11733, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11738, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11742, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11744, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11749, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11753, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11755, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11760, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11764, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11766, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11771, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11775, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11777, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11782, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11786, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11788, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11793, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11797, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11799, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11804, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11808, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11810, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11815, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11819, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11821, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11826, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11830, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11837, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11842, instance gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11846, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11848, net gpio_36_defaults not found.
Warning: ./spef/caravel.spef line 11853, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11857, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11860, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11865, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11869, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11873, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11878, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11882, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11886, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11891, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11895, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11899, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11904, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11908, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11912, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11917, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11921, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11925, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11930, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11934, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11938, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11943, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11947, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11951, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11956, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11960, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11964, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11969, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11973, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11979, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 11984, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11988, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11992, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 11997, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12001, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12005, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12010, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12014, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12018, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12023, instance gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12027, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12030, net gpio_37_defaults not found.
Warning: ./spef/caravel.spef line 12035, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12039, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12045, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12050, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12054, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12061, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12066, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12070, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12077, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12082, instance gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12086, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12090, net gpio_234_defaults\[1\] not found.
Warning: ./spef/caravel.spef line 12095, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12099, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12104, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12109, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12113, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12119, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12124, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12128, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12133, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12138, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12142, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12150, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12155, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12159, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12165, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12170, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12174, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12180, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12185, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12189, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12193, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12198, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12202, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12208, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12213, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12217, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12223, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12228, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12232, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12238, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12243, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12247, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12253, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12258, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12262, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12268, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12273, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12277, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12283, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12288, instance gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12292, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12296, net gpio_234_defaults\[2\] not found.
Warning: ./spef/caravel.spef line 12301, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12305, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12310, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12315, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12319, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12326, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12331, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12335, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12341, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12346, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12350, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12358, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12363, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12367, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12373, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12378, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12382, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12386, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12391, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12395, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12401, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12406, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12410, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12414, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12419, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12423, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12429, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12434, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12438, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12444, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12449, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12453, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12459, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12464, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12468, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12475, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12480, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12484, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12489, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12494, instance gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12498, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12504, net gpio_5_defaults not found.
Warning: ./spef/caravel.spef line 12509, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12513, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12519, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12524, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12528, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12536, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12541, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12545, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12551, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12556, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12560, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12568, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12573, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12577, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12585, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12590, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12594, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12600, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12605, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12609, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12614, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12619, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12623, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12627, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12632, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12636, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12642, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12647, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12651, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12658, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12663, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12667, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12674, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12679, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12683, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12689, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12694, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12698, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12705, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12710, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12714, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12720, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12725, instance gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12729, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12733, net gpio_6_defaults not found.
Warning: ./spef/caravel.spef line 12738, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12742, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12749, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12754, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12758, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12764, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12769, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12773, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12781, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12786, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12790, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12799, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12804, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12808, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12816, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12821, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12825, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12831, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12836, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12840, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12844, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12849, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12853, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12859, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12864, instance gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12868, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12874, net gpio_7_defaults not found.
Warning: ./spef/caravel.spef line 12879, instance gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12883, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12890, net gpio_01_defaults\[0\] not found.
Warning: ./spef/caravel.spef line 12953, *2810 not connected to net gpio_load_1\[0\].
Warning: ./spef/caravel.spef line 12954, *2803 not connected to net gpio_load_1\[0\].
Warning: ./spef/caravel.spef line 13023, *2803 not connected to net gpio_load_1\[0\].
Warning: ./spef/caravel.spef line 13029, *2810 not connected to net gpio_load_1\[0\].
Warning: ./spef/caravel.spef line 13051, *2805 not connected to net gpio_load_1\[10\].
Warning: ./spef/caravel.spef line 13054, *2804 not connected to net gpio_load_1\[10\].
Warning: ./spef/caravel.spef line 13171, *2804 not connected to net gpio_load_1\[10\].
Warning: ./spef/caravel.spef line 13193, *2805 not connected to net gpio_load_1\[10\].
Warning: ./spef/caravel.spef line 13205, *2806 not connected to net gpio_load_1\[11\].
Warning: ./spef/caravel.spef line 13206, *2805 not connected to net gpio_load_1\[11\].
Warning: ./spef/caravel.spef line 13337, *2805 not connected to net gpio_load_1\[11\].
Warning: ./spef/caravel.spef line 13344, *2806 not connected to net gpio_load_1\[11\].
Warning: ./spef/caravel.spef line 13366, *2807 not connected to net gpio_load_1\[12\].
Warning: ./spef/caravel.spef line 13367, *2806 not connected to net gpio_load_1\[12\].
Warning: ./spef/caravel.spef line 13471, *2806 not connected to net gpio_load_1\[12\].
Warning: ./spef/caravel.spef line 13474, *2807 not connected to net gpio_load_1\[12\].
Warning: ./spef/caravel.spef line 13497, *2808 not connected to net gpio_load_1\[13\].
Warning: ./spef/caravel.spef line 13498, *2807 not connected to net gpio_load_1\[13\].
Warning: ./spef/caravel.spef line 13569, *2807 not connected to net gpio_load_1\[13\].
Warning: ./spef/caravel.spef line 13577, *2808 not connected to net gpio_load_1\[13\].
Warning: ./spef/caravel.spef line 13594, *2807 not connected to net gpio_load_1\[13\].
Warning: ./spef/caravel.spef line 13606, *2809 not connected to net gpio_load_1\[14\].
Warning: ./spef/caravel.spef line 13607, *2808 not connected to net gpio_load_1\[14\].
Warning: ./spef/caravel.spef line 13675, *2808 not connected to net gpio_load_1\[14\].
Warning: ./spef/caravel.spef line 13678, *2809 not connected to net gpio_load_1\[14\].
Warning: ./spef/caravel.spef line 13706, *2783 not connected to net gpio_load_1\[15\].
Warning: ./spef/caravel.spef line 13707, *2809 not connected to net gpio_load_1\[15\].
Warning: ./spef/caravel.spef line 13766, *2809 not connected to net gpio_load_1\[15\].
Warning: ./spef/caravel.spef line 13768, *2783 not connected to net gpio_load_1\[15\].
Warning: ./spef/caravel.spef line 13791, *2784 not connected to net gpio_load_1\[16\].
Warning: ./spef/caravel.spef line 13792, *2783 not connected to net gpio_load_1\[16\].
Warning: ./spef/caravel.spef line 13853, *2783 not connected to net gpio_load_1\[16\].
Warning: ./spef/caravel.spef line 13854, *2784 not connected to net gpio_load_1\[16\].
Warning: ./spef/caravel.spef line 13867, *2785 not connected to net gpio_load_1\[17\].
Warning: ./spef/caravel.spef line 13868, *2784 not connected to net gpio_load_1\[17\].
Warning: ./spef/caravel.spef line 13939, *2784 not connected to net gpio_load_1\[17\].
Warning: ./spef/caravel.spef line 13940, *2785 not connected to net gpio_load_1\[17\].
Warning: ./spef/caravel.spef line 13950, *2785 not connected to net gpio_load_1\[18\].
Warning: ./spef/caravel.spef line 13989, *2785 not connected to net gpio_load_1\[18\].
Warning: ./spef/caravel.spef line 14001, *2811 not connected to net gpio_load_1\[1\].
Warning: ./spef/caravel.spef line 14002, *2810 not connected to net gpio_load_1\[1\].
Warning: ./spef/caravel.spef line 14080, *2810 not connected to net gpio_load_1\[1\].
Warning: ./spef/caravel.spef line 14088, *2811 not connected to net gpio_load_1\[1\].
Warning: ./spef/caravel.spef line 14114, *2812 not connected to net gpio_load_1\[2\].
Warning: ./spef/caravel.spef line 14117, *2811 not connected to net gpio_load_1\[2\].
Warning: ./spef/caravel.spef line 14211, *2811 not connected to net gpio_load_1\[2\].
Warning: ./spef/caravel.spef line 14234, *2812 not connected to net gpio_load_1\[2\].
Warning: ./spef/caravel.spef line 14246, *2813 not connected to net gpio_load_1\[3\].
Warning: ./spef/caravel.spef line 14247, *2812 not connected to net gpio_load_1\[3\].
Warning: ./spef/caravel.spef line 14313, *2812 not connected to net gpio_load_1\[3\].
Warning: ./spef/caravel.spef line 14319, *2813 not connected to net gpio_load_1\[3\].
Warning: ./spef/caravel.spef line 14344, *2814 not connected to net gpio_load_1\[4\].
Warning: ./spef/caravel.spef line 14345, *2813 not connected to net gpio_load_1\[4\].
Warning: ./spef/caravel.spef line 14412, *2813 not connected to net gpio_load_1\[4\].
Warning: ./spef/caravel.spef line 14422, *2814 not connected to net gpio_load_1\[4\].
Warning: ./spef/caravel.spef line 14442, *2815 not connected to net gpio_load_1\[5\].
Warning: ./spef/caravel.spef line 14443, *2814 not connected to net gpio_load_1\[5\].
Warning: ./spef/caravel.spef line 14525, *2814 not connected to net gpio_load_1\[5\].
Warning: ./spef/caravel.spef line 14527, *2815 not connected to net gpio_load_1\[5\].
Warning: ./spef/caravel.spef line 14554, *2816 not connected to net gpio_load_1\[6\].
Warning: ./spef/caravel.spef line 14555, *2815 not connected to net gpio_load_1\[6\].
Warning: ./spef/caravel.spef line 14608, *2815 not connected to net gpio_load_1\[6\].
Warning: ./spef/caravel.spef line 14609, *2816 not connected to net gpio_load_1\[6\].
Warning: ./spef/caravel.spef line 14634, *2817 not connected to net gpio_load_1\[7\].
Warning: ./spef/caravel.spef line 14635, *2816 not connected to net gpio_load_1\[7\].
Warning: ./spef/caravel.spef line 14766, *2816 not connected to net gpio_load_1\[7\].
Warning: ./spef/caravel.spef line 14769, *2817 not connected to net gpio_load_1\[7\].
Warning: ./spef/caravel.spef line 14801, *2818 not connected to net gpio_load_1\[8\].
Warning: ./spef/caravel.spef line 14802, *2817 not connected to net gpio_load_1\[8\].
Warning: ./spef/caravel.spef line 14917, *2817 not connected to net gpio_load_1\[8\].
Warning: ./spef/caravel.spef line 14919, *2818 not connected to net gpio_load_1\[8\].
Warning: ./spef/caravel.spef line 14943, *2804 not connected to net gpio_load_1\[9\].
Warning: ./spef/caravel.spef line 14946, *2818 not connected to net gpio_load_1\[9\].
Warning: ./spef/caravel.spef line 15070, *2818 not connected to net gpio_load_1\[9\].
Warning: ./spef/caravel.spef line 15092, *2804 not connected to net gpio_load_1\[9\].
Warning: ./spef/caravel.spef line 15102, *2803 not connected to net gpio_load_1_shifted\[0\].
Warning: ./spef/caravel.spef line 15151, *2803 not connected to net gpio_load_1_shifted\[0\].
Warning: ./spef/caravel.spef line 15268, *2810 not connected to net gpio_resetn_1\[0\].
Warning: ./spef/caravel.spef line 15271, *2803 not connected to net gpio_resetn_1\[0\].
Warning: ./spef/caravel.spef line 15345, *2803 not connected to net gpio_resetn_1\[0\].
Warning: ./spef/caravel.spef line 15366, *2810 not connected to net gpio_resetn_1\[0\].
Warning: ./spef/caravel.spef line 15378, *2805 not connected to net gpio_resetn_1\[10\].
Warning: ./spef/caravel.spef line 15379, *2804 not connected to net gpio_resetn_1\[10\].
Warning: ./spef/caravel.spef line 15455, *2804 not connected to net gpio_resetn_1\[10\].
Warning: ./spef/caravel.spef line 15465, *2805 not connected to net gpio_resetn_1\[10\].
Warning: ./spef/caravel.spef line 15490, *2806 not connected to net gpio_resetn_1\[11\].
Warning: ./spef/caravel.spef line 15491, *2805 not connected to net gpio_resetn_1\[11\].
Warning: ./spef/caravel.spef line 15578, *2805 not connected to net gpio_resetn_1\[11\].
Warning: ./spef/caravel.spef line 15589, *2806 not connected to net gpio_resetn_1\[11\].
Warning: ./spef/caravel.spef line 15614, *2807 not connected to net gpio_resetn_1\[12\].
Warning: ./spef/caravel.spef line 15615, *2806 not connected to net gpio_resetn_1\[12\].
Warning: ./spef/caravel.spef line 15679, *2806 not connected to net gpio_resetn_1\[12\].
Warning: ./spef/caravel.spef line 15682, *2807 not connected to net gpio_resetn_1\[12\].
Warning: ./spef/caravel.spef line 15708, *2808 not connected to net gpio_resetn_1\[13\].
Warning: ./spef/caravel.spef line 15709, *2807 not connected to net gpio_resetn_1\[13\].
Warning: ./spef/caravel.spef line 15770, *2807 not connected to net gpio_resetn_1\[13\].
Warning: ./spef/caravel.spef line 15779, *2808 not connected to net gpio_resetn_1\[13\].
Warning: ./spef/caravel.spef line 15805, *2809 not connected to net gpio_resetn_1\[14\].
Warning: ./spef/caravel.spef line 15806, *2808 not connected to net gpio_resetn_1\[14\].
Warning: ./spef/caravel.spef line 15866, *2808 not connected to net gpio_resetn_1\[14\].
Warning: ./spef/caravel.spef line 15870, *2809 not connected to net gpio_resetn_1\[14\].
Warning: ./spef/caravel.spef line 15892, *2783 not connected to net gpio_resetn_1\[15\].
Warning: ./spef/caravel.spef line 15893, *2809 not connected to net gpio_resetn_1\[15\].
Warning: ./spef/caravel.spef line 15950, *2809 not connected to net gpio_resetn_1\[15\].
Warning: ./spef/caravel.spef line 15953, *2783 not connected to net gpio_resetn_1\[15\].
Warning: ./spef/caravel.spef line 15976, *2784 not connected to net gpio_resetn_1\[16\].
Warning: ./spef/caravel.spef line 15977, *2783 not connected to net gpio_resetn_1\[16\].
Warning: ./spef/caravel.spef line 16051, *2783 not connected to net gpio_resetn_1\[16\].
Warning: ./spef/caravel.spef line 16052, *2784 not connected to net gpio_resetn_1\[16\].
Warning: ./spef/caravel.spef line 16065, *2785 not connected to net gpio_resetn_1\[17\].
Warning: ./spef/caravel.spef line 16066, *2784 not connected to net gpio_resetn_1\[17\].
Warning: ./spef/caravel.spef line 16154, *2784 not connected to net gpio_resetn_1\[17\].
Warning: ./spef/caravel.spef line 16158, *2785 not connected to net gpio_resetn_1\[17\].
Warning: ./spef/caravel.spef line 16168, *2785 not connected to net gpio_resetn_1\[18\].
Warning: ./spef/caravel.spef line 16255, *2785 not connected to net gpio_resetn_1\[18\].
Warning: ./spef/caravel.spef line 16267, *2811 not connected to net gpio_resetn_1\[1\].
Warning: ./spef/caravel.spef line 16268, *2810 not connected to net gpio_resetn_1\[1\].
Warning: ./spef/caravel.spef line 16336, *2810 not connected to net gpio_resetn_1\[1\].
Warning: ./spef/caravel.spef line 16344, *2811 not connected to net gpio_resetn_1\[1\].
Warning: ./spef/caravel.spef line 16366, *2812 not connected to net gpio_resetn_1\[2\].
Warning: ./spef/caravel.spef line 16369, *2811 not connected to net gpio_resetn_1\[2\].
Warning: ./spef/caravel.spef line 16421, *2811 not connected to net gpio_resetn_1\[2\].
Warning: ./spef/caravel.spef line 16437, *2812 not connected to net gpio_resetn_1\[2\].
Warning: ./spef/caravel.spef line 16449, *2813 not connected to net gpio_resetn_1\[3\].
Warning: ./spef/caravel.spef line 16450, *2812 not connected to net gpio_resetn_1\[3\].
Warning: ./spef/caravel.spef line 16518, *2812 not connected to net gpio_resetn_1\[3\].
Warning: ./spef/caravel.spef line 16525, *2813 not connected to net gpio_resetn_1\[3\].
Warning: ./spef/caravel.spef line 16549, *2814 not connected to net gpio_resetn_1\[4\].
Warning: ./spef/caravel.spef line 16550, *2813 not connected to net gpio_resetn_1\[4\].
Warning: ./spef/caravel.spef line 16609, *2813 not connected to net gpio_resetn_1\[4\].
Warning: ./spef/caravel.spef line 16621, *2814 not connected to net gpio_resetn_1\[4\].
Warning: ./spef/caravel.spef line 16640, *2815 not connected to net gpio_resetn_1\[5\].
Warning: ./spef/caravel.spef line 16641, *2814 not connected to net gpio_resetn_1\[5\].
Warning: ./spef/caravel.spef line 16724, *2814 not connected to net gpio_resetn_1\[5\].
Warning: ./spef/caravel.spef line 16730, *2815 not connected to net gpio_resetn_1\[5\].
Warning: ./spef/caravel.spef line 16757, *2816 not connected to net gpio_resetn_1\[6\].
Warning: ./spef/caravel.spef line 16758, *2815 not connected to net gpio_resetn_1\[6\].
Warning: ./spef/caravel.spef line 16848, *2815 not connected to net gpio_resetn_1\[6\].
Warning: ./spef/caravel.spef line 16853, *2816 not connected to net gpio_resetn_1\[6\].
Warning: ./spef/caravel.spef line 16878, *2817 not connected to net gpio_resetn_1\[7\].
Warning: ./spef/caravel.spef line 16879, *2816 not connected to net gpio_resetn_1\[7\].
Warning: ./spef/caravel.spef line 16957, *2816 not connected to net gpio_resetn_1\[7\].
Warning: ./spef/caravel.spef line 16959, *2817 not connected to net gpio_resetn_1\[7\].
Warning: ./spef/caravel.spef line 16984, *2818 not connected to net gpio_resetn_1\[8\].
Warning: ./spef/caravel.spef line 16987, *2817 not connected to net gpio_resetn_1\[8\].
Warning: ./spef/caravel.spef line 17065, *2817 not connected to net gpio_resetn_1\[8\].
Warning: ./spef/caravel.spef line 17085, *2818 not connected to net gpio_resetn_1\[8\].
Warning: ./spef/caravel.spef line 17097, *2804 not connected to net gpio_resetn_1\[9\].
Warning: ./spef/caravel.spef line 17098, *2818 not connected to net gpio_resetn_1\[9\].
Warning: ./spef/caravel.spef line 17176, *2818 not connected to net gpio_resetn_1\[9\].
Warning: ./spef/caravel.spef line 17185, *2804 not connected to net gpio_resetn_1\[9\].
Warning: ./spef/caravel.spef line 17207, *2803 not connected to net gpio_resetn_1_shifted\[0\].
Warning: ./spef/caravel.spef line 17259, *2803 not connected to net gpio_resetn_1_shifted\[0\].
Warning: ./spef/caravel.spef line 53740, *2819 not connected to net mprj_cyc_o_core.
Warning: ./spef/caravel.spef line 53775, *2819 not connected to net mprj_cyc_o_core.
Warning: ./spef/caravel.spef line 75154, *2823 not connected to net rstb_h.
Warning: ./spef/caravel.spef line 75155, *2742 not connected to net rstb_h.
Warning: ./spef/caravel.spef line 75179, *2742 not connected to net rstb_h.
Warning: ./spef/caravel.spef line 75184, *2823 not connected to net rstb_h.
set ::env(IO_PCT) "0.2"
set ::env(SYNTH_MAX_FANOUT) "5"
set ::env(SYNTH_CAP_LOAD) "33"
set ::env(SYNTH_TIMING_DERATE) 0.05
set ::env(SYNTH_CLOCK_UNCERTAINITY) 0.25
set ::env(SYNTH_CLOCK_TRANSITION) 0.15
## MASTER CLOCKS
create_clock [get_ports {"clock"} ] -name "clock" -period 25
set_propagated_clock [get_clocks {"clock"}]
## INPUT/OUTPUT DELAYS
set input_delay_value 1
set output_delay_value [expr 25 * $::env(IO_PCT)]
puts "\[INFO\]: Setting output delay to: $output_delay_value"
[INFO]: Setting output delay to: 5.0
puts "\[INFO\]: Setting input delay to: $input_delay_value"
[INFO]: Setting input delay to: 1
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {gpio}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[0]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[1]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[2]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[3]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[4]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[5]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[6]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[7]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[8]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[9]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[10]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[11]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[12]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[13]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[14]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[15]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[16]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[17]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[18]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[19]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[20]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[21]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[22]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[23]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[24]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[25]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[26]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[27]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[28]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[29]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[30]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[31]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[32]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[33]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[34]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[35]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[36]}]
set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[37]}]
set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_csb}]
set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_clk}]
set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io0}]
set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io1}]
set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design]
## Set system monitoring mux select to zero so that the clock/user_clk monitoring is disabled
set_case_analysis 0 [get_pins housekeeping/_4449_/S]
set_case_analysis 0 [get_pins housekeeping/_4450_/S]
## FALSE PATHS (ASYNCHRONOUS INPUTS)
set_false_path -from [get_ports {resetb}]
set_false_path -from [get_ports mprj_io[*]]
set_false_path -from [get_ports gpio]
# TODO set this as parameter
set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]
puts "\[INFO\]: Setting load to: $cap_load"
[INFO]: Setting load to: 0.033
set_load $cap_load [all_outputs]
puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %"
[INFO]: Setting timing derate to: 0.5 %
set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}]
set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}]
puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)"
[INFO]: Setting clock uncertainity to: 0.25
set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks {clock}]
puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)"
[INFO]: Setting clock transition to: 0.15
set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {clock}]
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9640_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.38 0.13 17.34 ^ housekeeping/_9640_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
17.34 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.01 5.74 ^ housekeeping/_9640_/CLK (sky130_fd_sc_hd__dfrtp_2)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.87 library removal time
5.87 data required time
-----------------------------------------------------------------------------
5.87 data required time
-17.34 data arrival time
-----------------------------------------------------------------------------
11.46 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9759_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.14 17.34 ^ housekeeping/_9759_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
17.34 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.01 5.74 ^ housekeeping/_9759_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.34 data arrival time
-----------------------------------------------------------------------------
11.46 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9587_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.14 17.34 ^ housekeeping/_9587_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
17.34 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.01 5.74 ^ housekeeping/_9587_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.34 data arrival time
-----------------------------------------------------------------------------
11.47 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9062_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.14 17.34 ^ housekeeping/_9062_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.34 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9062_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.87 library removal time
5.87 data required time
-----------------------------------------------------------------------------
5.87 data required time
-17.34 data arrival time
-----------------------------------------------------------------------------
11.47 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9119_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.14 17.35 ^ housekeeping/_9119_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.25 5.99 clock uncertainty
-0.66 5.33 clock reconvergence pessimism
0.54 5.87 library removal time
5.87 data required time
-----------------------------------------------------------------------------
5.87 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.47 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9067_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9067_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9067_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.47 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9064_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9064_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9064_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.47 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9060_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9060_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9060_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.33 clock reconvergence pessimism
0.54 5.87 library removal time
5.87 data required time
-----------------------------------------------------------------------------
5.87 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.47 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9066_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9066_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9066_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.25 5.99 clock uncertainty
-0.66 5.33 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.48 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9063_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9063_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9063_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.33 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.48 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9068_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9068_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9068_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.25 5.99 clock uncertainty
-0.66 5.33 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.48 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9061_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9061_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9061_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.33 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.48 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9666_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9666_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9666_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.48 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9665_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.15 17.35 ^ housekeeping/_9665_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9665_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.48 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9659_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.15 17.36 ^ housekeeping/_9659_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.36 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9659_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.99 clock uncertainty
-0.66 5.34 clock reconvergence pessimism
0.54 5.88 library removal time
5.88 data required time
-----------------------------------------------------------------------------
5.88 data required time
-17.36 data arrival time
-----------------------------------------------------------------------------
11.48 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9680_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.07 17.28 ^ housekeeping/_9680_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.28 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 5.68 ^ housekeeping/_9680_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.48 5.75 library removal time
5.75 data required time
-----------------------------------------------------------------------------
5.75 data required time
-17.28 data arrival time
-----------------------------------------------------------------------------
11.53 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9676_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.08 17.28 ^ housekeeping/_9676_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.28 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 5.68 ^ housekeeping/_9676_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.48 5.75 library removal time
5.75 data required time
-----------------------------------------------------------------------------
5.75 data required time
-17.28 data arrival time
-----------------------------------------------------------------------------
11.53 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9681_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.08 17.28 ^ housekeeping/_9681_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.28 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 5.68 ^ housekeeping/_9681_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.48 5.75 library removal time
5.75 data required time
-----------------------------------------------------------------------------
5.75 data required time
-17.28 data arrival time
-----------------------------------------------------------------------------
11.53 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9679_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.08 17.28 ^ housekeeping/_9679_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.28 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 5.68 ^ housekeeping/_9679_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.48 5.75 library removal time
5.75 data required time
-----------------------------------------------------------------------------
5.75 data required time
-17.28 data arrival time
-----------------------------------------------------------------------------
11.53 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9677_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.32 0.08 17.29 ^ housekeeping/_9677_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.29 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 5.68 ^ housekeeping/_9677_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.49 5.75 library removal time
5.75 data required time
-----------------------------------------------------------------------------
5.75 data required time
-17.29 data arrival time
-----------------------------------------------------------------------------
11.53 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9675_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.32 0.08 17.29 ^ housekeeping/_9675_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.29 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 5.68 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.49 5.76 library removal time
5.76 data required time
-----------------------------------------------------------------------------
5.76 data required time
-17.29 data arrival time
-----------------------------------------------------------------------------
11.53 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9678_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.32 0.09 17.29 ^ housekeeping/_9678_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.29 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 5.68 ^ housekeeping/_9678_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.49 5.76 library removal time
5.76 data required time
-----------------------------------------------------------------------------
5.76 data required time
-17.29 data arrival time
-----------------------------------------------------------------------------
11.54 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9663_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.15 17.36 ^ housekeeping/_9663_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.36 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 5.68 ^ housekeeping/_9663_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.28 clock reconvergence pessimism
0.52 5.79 library removal time
5.79 data required time
-----------------------------------------------------------------------------
5.79 data required time
-17.36 data arrival time
-----------------------------------------------------------------------------
11.56 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9664_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.15 17.36 ^ housekeeping/_9664_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.36 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 5.68 ^ housekeeping/_9664_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.52 5.79 library removal time
5.79 data required time
-----------------------------------------------------------------------------
5.79 data required time
-17.36 data arrival time
-----------------------------------------------------------------------------
11.56 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9660_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.15 17.36 ^ housekeeping/_9660_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.36 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 5.68 ^ housekeeping/_9660_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.28 clock reconvergence pessimism
0.52 5.79 library removal time
5.79 data required time
-----------------------------------------------------------------------------
5.79 data required time
-17.36 data arrival time
-----------------------------------------------------------------------------
11.56 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9661_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.15 17.36 ^ housekeeping/_9661_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.36 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 5.68 ^ housekeeping/_9661_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.52 5.79 library removal time
5.79 data required time
-----------------------------------------------------------------------------
5.79 data required time
-17.36 data arrival time
-----------------------------------------------------------------------------
11.56 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9662_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.15 17.36 ^ housekeeping/_9662_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.36 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 5.68 ^ housekeeping/_9662_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.93 clock uncertainty
-0.66 5.27 clock reconvergence pessimism
0.52 5.79 library removal time
5.79 data required time
-----------------------------------------------------------------------------
5.79 data required time
-17.36 data arrival time
-----------------------------------------------------------------------------
11.56 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9065_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.14 17.35 ^ housekeeping/_9065_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.00 5.67 ^ housekeeping/_9065_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.25 5.92 clock uncertainty
-0.66 5.26 clock reconvergence pessimism
0.52 5.78 library removal time
5.78 data required time
-----------------------------------------------------------------------------
5.78 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.57 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9059_ (removal check against rising-edge clock clock)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.15 17.35 ^ housekeeping/_9059_/SET_B (sky130_fd_sc_hd__dfstp_1)
17.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 5.74 ^ housekeeping/_9059_/CLK (sky130_fd_sc_hd__dfstp_1)
0.25 5.99 clock uncertainty
-0.66 5.33 clock reconvergence pessimism
0.25 5.58 library removal time
5.58 data required time
-----------------------------------------------------------------------------
5.58 data required time
-17.35 data arrival time
-----------------------------------------------------------------------------
11.77 slack (MET)
Startpoint: mprj/mprj/_5157_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5158_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.27 0.24 4.69 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.05 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.27 0.01 4.70 ^ mprj/mprj/clkbuf_leaf_10_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.20 4.90 ^ mprj/mprj/clkbuf_leaf_10_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
19 0.04 mprj/mprj/clknet_leaf_10_wb_clk_i (net)
0.06 0.00 4.90 ^ mprj/mprj/_5157_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.32 5.22 v mprj/mprj/_5157_/Q (sky130_fd_sc_hd__dfxtp_1)
5 0.01 mprj/mprj/soc.spi_video_ram_1.write_fifo.read_pointer[1] (net)
0.07 0.00 5.22 v mprj/mprj/_4101_/A (sky130_fd_sc_hd__and3_1)
0.06 0.17 5.39 v mprj/mprj/_4101_/X (sky130_fd_sc_hd__and3_1)
4 0.01 mprj/mprj/_1714_ (net)
0.06 0.00 5.39 v mprj/mprj/_4105_/A2 (sky130_fd_sc_hd__o21ai_1)
0.07 0.11 5.50 ^ mprj/mprj/_4105_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.00 mprj/mprj/_1717_ (net)
0.07 0.00 5.50 ^ mprj/mprj/_4106_/B (sky130_fd_sc_hd__nor2_1)
0.02 0.04 5.54 v mprj/mprj/_4106_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 mprj/mprj/_0186_ (net)
0.03 0.00 5.55 v mprj/mprj/_5158_/D (sky130_fd_sc_hd__dfxtp_1)
5.55 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.27 0.27 5.47 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.05 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.27 0.01 5.48 ^ mprj/mprj/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.56 0.60 6.08 ^ mprj/mprj/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
129 0.56 mprj/mprj/clknet_leaf_11_wb_clk_i (net)
0.56 0.00 6.08 ^ mprj/mprj/_5158_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.33 clock uncertainty
-0.78 5.55 clock reconvergence pessimism
0.06 5.61 library hold time
5.61 data required time
-----------------------------------------------------------------------------
5.61 data required time
-5.55 data arrival time
-----------------------------------------------------------------------------
-0.07 slack (VIOLATED)
Startpoint: mprj/mprj/_5153_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5154_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.27 0.24 4.69 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.05 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.27 0.01 4.70 ^ mprj/mprj/clkbuf_leaf_12_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.20 4.90 ^ mprj/mprj/clkbuf_leaf_12_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
19 0.04 mprj/mprj/clknet_leaf_12_wb_clk_i (net)
0.06 0.00 4.90 ^ mprj/mprj/_5153_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.04 0.33 5.23 v mprj/mprj/_5153_/Q (sky130_fd_sc_hd__dfxtp_4)
4 0.01 mprj/mprj/soc.spi_video_ram_1.write_fifo.write_pointer[2] (net)
0.04 0.00 5.23 v mprj/mprj/_2779_/A (sky130_fd_sc_hd__and3_1)
0.07 0.16 5.40 v mprj/mprj/_2779_/X (sky130_fd_sc_hd__and3_1)
4 0.01 mprj/mprj/_0587_ (net)
0.07 0.00 5.40 v mprj/mprj/_4091_/A1 (sky130_fd_sc_hd__a21oi_1)
0.09 0.12 5.52 ^ mprj/mprj/_4091_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 mprj/mprj/_1707_ (net)
0.09 0.00 5.52 ^ mprj/mprj/_4093_/B (sky130_fd_sc_hd__nor3_1)
0.03 0.05 5.57 v mprj/mprj/_4093_/Y (sky130_fd_sc_hd__nor3_1)
1 0.00 mprj/mprj/_0182_ (net)
0.03 0.00 5.58 v mprj/mprj/_5154_/D (sky130_fd_sc_hd__dfxtp_4)
5.58 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.27 0.27 5.47 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.05 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.27 0.01 5.48 ^ mprj/mprj/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.56 0.60 6.08 ^ mprj/mprj/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
129 0.56 mprj/mprj/clknet_leaf_11_wb_clk_i (net)
0.56 0.00 6.08 ^ mprj/mprj/_5154_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.25 6.33 clock uncertainty
-0.78 5.55 clock reconvergence pessimism
0.06 5.61 library hold time
5.61 data required time
-----------------------------------------------------------------------------
5.61 data required time
-5.58 data arrival time
-----------------------------------------------------------------------------
-0.03 slack (VIOLATED)
Startpoint: mprj/mprj/_5157_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5159_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.27 0.24 4.69 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.05 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.27 0.01 4.70 ^ mprj/mprj/clkbuf_leaf_10_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.20 4.90 ^ mprj/mprj/clkbuf_leaf_10_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
19 0.04 mprj/mprj/clknet_leaf_10_wb_clk_i (net)
0.06 0.00 4.90 ^ mprj/mprj/_5157_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.32 5.22 v mprj/mprj/_5157_/Q (sky130_fd_sc_hd__dfxtp_1)
5 0.01 mprj/mprj/soc.spi_video_ram_1.write_fifo.read_pointer[1] (net)
0.07 0.00 5.22 v mprj/mprj/_4101_/A (sky130_fd_sc_hd__and3_1)
0.06 0.17 5.39 v mprj/mprj/_4101_/X (sky130_fd_sc_hd__and3_1)
4 0.01 mprj/mprj/_1714_ (net)
0.06 0.00 5.39 v mprj/mprj/_4104_/B (sky130_fd_sc_hd__and2_1)
0.04 0.16 5.55 v mprj/mprj/_4104_/X (sky130_fd_sc_hd__and2_1)
2 0.01 mprj/mprj/_1716_ (net)
0.04 0.00 5.55 v mprj/mprj/_4108_/A2 (sky130_fd_sc_hd__o21ai_1)
0.07 0.10 5.66 ^ mprj/mprj/_4108_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.00 mprj/mprj/_1719_ (net)
0.07 0.00 5.66 ^ mprj/mprj/_4109_/B (sky130_fd_sc_hd__nor2_1)
0.02 0.04 5.70 v mprj/mprj/_4109_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 mprj/mprj/_0187_ (net)
0.03 0.00 5.70 v mprj/mprj/_5159_/D (sky130_fd_sc_hd__dfxtp_1)
5.70 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.27 0.27 5.47 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.05 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.27 0.01 5.48 ^ mprj/mprj/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.56 0.60 6.08 ^ mprj/mprj/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
129 0.56 mprj/mprj/clknet_leaf_11_wb_clk_i (net)
0.56 0.00 6.08 ^ mprj/mprj/_5159_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.33 clock uncertainty
-0.78 5.55 clock reconvergence pessimism
0.06 5.61 library hold time
5.61 data required time
-----------------------------------------------------------------------------
5.61 data required time
-5.70 data arrival time
-----------------------------------------------------------------------------
0.09 slack (MET)
Startpoint: mprj/mprj/_5157_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5160_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.27 0.24 4.69 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.05 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.27 0.01 4.70 ^ mprj/mprj/clkbuf_leaf_10_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.20 4.90 ^ mprj/mprj/clkbuf_leaf_10_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
19 0.04 mprj/mprj/clknet_leaf_10_wb_clk_i (net)
0.06 0.00 4.90 ^ mprj/mprj/_5157_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.32 5.22 v mprj/mprj/_5157_/Q (sky130_fd_sc_hd__dfxtp_1)
5 0.01 mprj/mprj/soc.spi_video_ram_1.write_fifo.read_pointer[1] (net)
0.07 0.00 5.22 v mprj/mprj/_4101_/A (sky130_fd_sc_hd__and3_1)
0.06 0.17 5.39 v mprj/mprj/_4101_/X (sky130_fd_sc_hd__and3_1)
4 0.01 mprj/mprj/_1714_ (net)
0.06 0.00 5.39 v mprj/mprj/_4107_/C (sky130_fd_sc_hd__and3_1)
0.06 0.19 5.58 v mprj/mprj/_4107_/X (sky130_fd_sc_hd__and3_1)
3 0.01 mprj/mprj/_1718_ (net)
0.06 0.00 5.58 v mprj/mprj/_4111_/A2 (sky130_fd_sc_hd__o21a_1)
0.03 0.15 5.74 v mprj/mprj/_4111_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/mprj/_0188_ (net)
0.03 0.00 5.74 v mprj/mprj/_5160_/D (sky130_fd_sc_hd__dfxtp_1)
5.74 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.27 0.27 5.47 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.05 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.27 0.01 5.48 ^ mprj/mprj/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.56 0.60 6.08 ^ mprj/mprj/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
129 0.56 mprj/mprj/clknet_leaf_11_wb_clk_i (net)
0.56 0.00 6.08 ^ mprj/mprj/_5160_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.33 clock uncertainty
-0.78 5.55 clock reconvergence pessimism
0.06 5.61 library hold time
5.61 data required time
-----------------------------------------------------------------------------
5.61 data required time
-5.74 data arrival time
-----------------------------------------------------------------------------
0.13 slack (MET)
Startpoint: mprj/mprj/_5133_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5155_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.27 0.24 4.69 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.05 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.27 0.01 4.70 ^ mprj/mprj/clkbuf_leaf_10_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.20 4.90 ^ mprj/mprj/clkbuf_leaf_10_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
19 0.04 mprj/mprj/clknet_leaf_10_wb_clk_i (net)
0.06 0.00 4.90 ^ mprj/mprj/_5133_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.29 5.19 ^ mprj/mprj/_5133_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.00 mprj/mprj/soc.spi_video_ram_1.fifo_write_request (net)
0.04 0.00 5.20 ^ mprj/mprj/_4078_/B1 (sky130_fd_sc_hd__o21a_1)
0.05 0.11 5.30 ^ mprj/mprj/_4078_/X (sky130_fd_sc_hd__o21a_1)
2 0.00 mprj/mprj/_1697_ (net)
0.05 0.00 5.30 ^ mprj/mprj/_4080_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.14 0.15 5.45 ^ mprj/mprj/_4080_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.01 mprj/mprj/_1699_ (net)
0.14 0.00 5.45 ^ mprj/mprj/_4092_/B (sky130_fd_sc_hd__and2_1)
0.09 0.17 5.62 ^ mprj/mprj/_4092_/X (sky130_fd_sc_hd__and2_1)
3 0.01 mprj/mprj/_1708_ (net)
0.09 0.00 5.63 ^ mprj/mprj/_4096_/A2 (sky130_fd_sc_hd__o21a_1)
0.03 0.10 5.73 ^ mprj/mprj/_4096_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/mprj/_0183_ (net)
0.03 0.00 5.73 ^ mprj/mprj/_5155_/D (sky130_fd_sc_hd__dfxtp_4)
5.73 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.27 0.27 5.47 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.05 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.27 0.01 5.48 ^ mprj/mprj/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.56 0.60 6.08 ^ mprj/mprj/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
129 0.56 mprj/mprj/clknet_leaf_11_wb_clk_i (net)
0.56 0.00 6.08 ^ mprj/mprj/_5155_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.25 6.33 clock uncertainty
-0.78 5.55 clock reconvergence pessimism
0.03 5.58 library hold time
5.58 data required time
-----------------------------------------------------------------------------
5.58 data required time
-5.73 data arrival time
-----------------------------------------------------------------------------
0.15 slack (MET)
Startpoint: mprj/mprj/_5350_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5173_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.32 4.77 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.40 0.01 4.78 ^ mprj/mprj/clkbuf_leaf_28_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.20 4.98 ^ mprj/mprj/clkbuf_leaf_28_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
4 0.01 mprj/mprj/clknet_leaf_28_wb_clk_i (net)
0.04 0.00 4.98 ^ mprj/mprj/_5350_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.28 5.26 ^ mprj/mprj/_5350_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.00 mprj/mprj/soc.rom_encoder_0.data_out[12] (net)
0.04 0.00 5.26 ^ mprj/mprj/_4144_/A0 (sky130_fd_sc_hd__mux2_1)
0.04 0.10 5.36 ^ mprj/mprj/_4144_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 mprj/mprj/_1741_ (net)
0.04 0.00 5.37 ^ mprj/mprj/_4145_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 5.44 ^ mprj/mprj/_4145_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 mprj/mprj/_0201_ (net)
0.04 0.00 5.44 ^ mprj/mprj/_5173_/D (sky130_fd_sc_hd__dfxtp_2)
5.44 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.36 5.56 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.40 0.01 5.57 ^ mprj/mprj/clkbuf_leaf_27_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.26 5.83 ^ mprj/mprj/clkbuf_leaf_27_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
23 0.05 mprj/mprj/clknet_leaf_27_wb_clk_i (net)
0.07 0.00 5.83 ^ mprj/mprj/_5173_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 6.08 clock uncertainty
-0.79 5.29 clock reconvergence pessimism
-0.03 5.26 library hold time
5.26 data required time
-----------------------------------------------------------------------------
5.26 data required time
-5.44 data arrival time
-----------------------------------------------------------------------------
0.18 slack (MET)
Startpoint: mprj/mprj/_5352_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5175_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.32 4.77 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.40 0.01 4.78 ^ mprj/mprj/clkbuf_leaf_28_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.20 4.98 ^ mprj/mprj/clkbuf_leaf_28_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
4 0.01 mprj/mprj/clknet_leaf_28_wb_clk_i (net)
0.04 0.00 4.98 ^ mprj/mprj/_5352_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.28 5.26 ^ mprj/mprj/_5352_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.00 mprj/mprj/soc.rom_encoder_0.data_out[14] (net)
0.04 0.00 5.26 ^ mprj/mprj/_4148_/A0 (sky130_fd_sc_hd__mux2_1)
0.04 0.10 5.36 ^ mprj/mprj/_4148_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 mprj/mprj/_1743_ (net)
0.04 0.00 5.37 ^ mprj/mprj/_4149_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 5.44 ^ mprj/mprj/_4149_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 mprj/mprj/_0203_ (net)
0.04 0.00 5.44 ^ mprj/mprj/_5175_/D (sky130_fd_sc_hd__dfxtp_2)
5.44 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.36 5.56 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.40 0.01 5.57 ^ mprj/mprj/clkbuf_leaf_27_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.26 5.83 ^ mprj/mprj/clkbuf_leaf_27_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
23 0.05 mprj/mprj/clknet_leaf_27_wb_clk_i (net)
0.07 0.00 5.83 ^ mprj/mprj/_5175_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 6.08 clock uncertainty
-0.79 5.29 clock reconvergence pessimism
-0.03 5.26 library hold time
5.26 data required time
-----------------------------------------------------------------------------
5.26 data required time
-5.44 data arrival time
-----------------------------------------------------------------------------
0.18 slack (MET)
Startpoint: mprj/mprj/_5420_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5420_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.22 4.94 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.04 mprj/mprj/clknet_leaf_20_wb_clk_i (net)
0.07 0.00 4.94 ^ mprj/mprj/_5420_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.31 5.25 ^ mprj/mprj/_5420_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.synch_hack_writeM (net)
0.06 0.00 5.25 ^ mprj/mprj/_4909_/A1 (sky130_fd_sc_hd__a21o_1)
0.03 0.09 5.35 ^ mprj/mprj/_4909_/X (sky130_fd_sc_hd__a21o_1)
1 0.00 mprj/mprj/_0417_ (net)
0.03 0.00 5.35 ^ mprj/mprj/_5420_/D (sky130_fd_sc_hd__dfxtp_1)
5.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.24 5.75 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.04 mprj/mprj/clknet_leaf_20_wb_clk_i (net)
0.07 0.00 5.75 ^ mprj/mprj/_5420_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.00 clock uncertainty
-0.81 5.19 clock reconvergence pessimism
-0.03 5.17 library hold time
5.17 data required time
-----------------------------------------------------------------------------
5.17 data required time
-5.35 data arrival time
-----------------------------------------------------------------------------
0.18 slack (MET)
Startpoint: mprj/mprj/_5445_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5445_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.32 4.77 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.40 0.01 4.78 ^ mprj/mprj/clkbuf_leaf_23_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.23 5.00 ^ mprj/mprj/clkbuf_leaf_23_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
19 0.04 mprj/mprj/clknet_leaf_23_wb_clk_i (net)
0.07 0.00 5.01 ^ mprj/mprj/_5445_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.29 5.30 v mprj/mprj/_5445_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 mprj/mprj/soc.hack_wait_clocks[0] (net)
0.04 0.00 5.30 v mprj/mprj/_4958_/A (sky130_fd_sc_hd__nand2_1)
0.04 0.05 5.35 ^ mprj/mprj/_4958_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 mprj/mprj/_2315_ (net)
0.04 0.00 5.36 ^ mprj/mprj/_4960_/A1 (sky130_fd_sc_hd__a21oi_1)
0.03 0.04 5.40 v mprj/mprj/_4960_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 mprj/mprj/_0442_ (net)
0.03 0.00 5.40 v mprj/mprj/_5445_/D (sky130_fd_sc_hd__dfxtp_1)
5.40 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.36 5.56 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.40 0.01 5.57 ^ mprj/mprj/clkbuf_leaf_23_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.25 5.82 ^ mprj/mprj/clkbuf_leaf_23_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
19 0.04 mprj/mprj/clknet_leaf_23_wb_clk_i (net)
0.07 0.00 5.82 ^ mprj/mprj/_5445_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.07 clock uncertainty
-0.82 5.26 clock reconvergence pessimism
-0.04 5.22 library hold time
5.22 data required time
-----------------------------------------------------------------------------
5.22 data required time
-5.40 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: mprj/mprj/_5446_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5446_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.32 4.77 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_1_0_wb_clk_i (net)
0.40 0.01 4.78 ^ mprj/mprj/clkbuf_leaf_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.23 5.01 ^ mprj/mprj/clkbuf_leaf_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.05 mprj/mprj/clknet_leaf_1_wb_clk_i (net)
0.08 0.00 5.01 ^ mprj/mprj/_5446_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.31 5.33 ^ mprj/mprj/_5446_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 mprj/mprj/soc.hack_wait_clocks[1] (net)
0.07 0.00 5.33 ^ mprj/mprj/_4961_/A1 (sky130_fd_sc_hd__a21o_1)
0.03 0.10 5.43 ^ mprj/mprj/_4961_/X (sky130_fd_sc_hd__a21o_1)
1 0.00 mprj/mprj/_0443_ (net)
0.03 0.00 5.43 ^ mprj/mprj/_5446_/D (sky130_fd_sc_hd__dfxtp_1)
5.43 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.36 5.56 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_1_0_wb_clk_i (net)
0.40 0.01 5.57 ^ mprj/mprj/clkbuf_leaf_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.26 5.83 ^ mprj/mprj/clkbuf_leaf_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.05 mprj/mprj/clknet_leaf_1_wb_clk_i (net)
0.08 0.00 5.83 ^ mprj/mprj/_5446_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.08 clock uncertainty
-0.82 5.26 clock reconvergence pessimism
-0.02 5.24 library hold time
5.24 data required time
-----------------------------------------------------------------------------
5.24 data required time
-5.43 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: mprj/mprj/_5277_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5011_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.22 4.94 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.04 mprj/mprj/clknet_leaf_20_wb_clk_i (net)
0.07 0.00 4.94 ^ mprj/mprj/_5277_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.24 ^ mprj/mprj/_5277_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 mprj/mprj/soc.ram_encoder_0.request_address[3] (net)
0.06 0.00 5.25 ^ mprj/mprj/_3701_/B2 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.35 ^ mprj/mprj/_3701_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0046_ (net)
0.04 0.00 5.36 ^ mprj/mprj/_5011_/D (sky130_fd_sc_hd__dfxtp_1)
5.36 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.24 5.75 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.04 mprj/mprj/clknet_leaf_20_wb_clk_i (net)
0.07 0.00 5.75 ^ mprj/mprj/_5011_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.00 clock uncertainty
-0.81 5.19 clock reconvergence pessimism
-0.03 5.16 library hold time
5.16 data required time
-----------------------------------------------------------------------------
5.16 data required time
-5.36 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: mprj/mprj/_5276_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5012_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.22 4.94 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.04 mprj/mprj/clknet_leaf_20_wb_clk_i (net)
0.07 0.00 4.94 ^ mprj/mprj/_5276_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.24 ^ mprj/mprj/_5276_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 mprj/mprj/soc.ram_encoder_0.request_address[2] (net)
0.06 0.00 5.25 ^ mprj/mprj/_3702_/B2 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.35 ^ mprj/mprj/_3702_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0047_ (net)
0.04 0.00 5.36 ^ mprj/mprj/_5012_/D (sky130_fd_sc_hd__dfxtp_1)
5.36 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.24 5.75 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.04 mprj/mprj/clknet_leaf_20_wb_clk_i (net)
0.07 0.00 5.75 ^ mprj/mprj/_5012_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.00 clock uncertainty
-0.81 5.19 clock reconvergence pessimism
-0.03 5.16 library hold time
5.16 data required time
-----------------------------------------------------------------------------
5.16 data required time
-5.36 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: mprj/mprj/_5275_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5013_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.22 4.94 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.04 mprj/mprj/clknet_leaf_20_wb_clk_i (net)
0.07 0.00 4.94 ^ mprj/mprj/_5275_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.24 ^ mprj/mprj/_5275_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 mprj/mprj/soc.ram_encoder_0.request_address[1] (net)
0.06 0.00 5.25 ^ mprj/mprj/_3703_/B2 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.35 ^ mprj/mprj/_3703_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0048_ (net)
0.04 0.00 5.36 ^ mprj/mprj/_5013_/D (sky130_fd_sc_hd__dfxtp_1)
5.36 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.24 5.75 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.04 mprj/mprj/clknet_leaf_20_wb_clk_i (net)
0.07 0.00 5.75 ^ mprj/mprj/_5013_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.00 clock uncertainty
-0.81 5.19 clock reconvergence pessimism
-0.03 5.16 library hold time
5.16 data required time
-----------------------------------------------------------------------------
5.16 data required time
-5.36 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: mprj/mprj/_5181_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5009_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.32 4.77 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.40 0.01 4.78 ^ mprj/mprj/clkbuf_leaf_26_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.22 5.00 ^ mprj/mprj/clkbuf_leaf_26_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
16 0.03 mprj/mprj/clknet_leaf_26_wb_clk_i (net)
0.06 0.00 5.00 ^ mprj/mprj/_5181_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.30 ^ mprj/mprj/_5181_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 mprj/mprj/soc.rom_encoder_0.request_address[1] (net)
0.06 0.00 5.31 ^ mprj/mprj/_3685_/B2 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.41 ^ mprj/mprj/_3685_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0044_ (net)
0.04 0.00 5.42 ^ mprj/mprj/_5009_/D (sky130_fd_sc_hd__dfxtp_1)
5.42 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.36 5.56 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.40 0.01 5.57 ^ mprj/mprj/clkbuf_leaf_26_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.25 5.81 ^ mprj/mprj/clkbuf_leaf_26_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
16 0.03 mprj/mprj/clknet_leaf_26_wb_clk_i (net)
0.06 0.00 5.82 ^ mprj/mprj/_5009_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.07 clock uncertainty
-0.81 5.25 clock reconvergence pessimism
-0.03 5.22 library hold time
5.22 data required time
-----------------------------------------------------------------------------
5.22 data required time
-5.42 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: mprj/mprj/_5179_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5179_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.32 4.77 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_1_0_wb_clk_i (net)
0.40 0.01 4.78 ^ mprj/mprj/clkbuf_leaf_30_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.20 4.98 ^ mprj/mprj/clkbuf_leaf_30_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
4 0.01 mprj/mprj/clknet_leaf_30_wb_clk_i (net)
0.04 0.00 4.98 ^ mprj/mprj/_5179_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.31 5.29 ^ mprj/mprj/_5179_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 mprj/mprj/soc.rom_encoder_0.input_bits_left[4] (net)
0.08 0.00 5.29 ^ mprj/mprj/_4168_/A1 (sky130_fd_sc_hd__a21o_1)
0.03 0.10 5.39 ^ mprj/mprj/_4168_/X (sky130_fd_sc_hd__a21o_1)
1 0.00 mprj/mprj/_0207_ (net)
0.03 0.00 5.39 ^ mprj/mprj/_5179_/D (sky130_fd_sc_hd__dfxtp_1)
5.39 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.36 5.56 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_1_0_wb_clk_i (net)
0.40 0.01 5.57 ^ mprj/mprj/clkbuf_leaf_30_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.22 5.79 ^ mprj/mprj/clkbuf_leaf_30_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
4 0.01 mprj/mprj/clknet_leaf_30_wb_clk_i (net)
0.04 0.00 5.79 ^ mprj/mprj/_5179_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.04 clock uncertainty
-0.81 5.23 clock reconvergence pessimism
-0.03 5.20 library hold time
5.20 data required time
-----------------------------------------------------------------------------
5.20 data required time
-5.39 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: mprj/mprj/_5180_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5010_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.32 4.77 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.40 0.01 4.78 ^ mprj/mprj/clkbuf_leaf_25_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.22 5.00 ^ mprj/mprj/clkbuf_leaf_25_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
18 0.04 mprj/mprj/clknet_leaf_25_wb_clk_i (net)
0.06 0.00 5.00 ^ mprj/mprj/_5180_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.31 ^ mprj/mprj/_5180_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 mprj/mprj/soc.rom_encoder_0.request_address[0] (net)
0.06 0.00 5.31 ^ mprj/mprj/_3686_/B2 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.42 ^ mprj/mprj/_3686_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0045_ (net)
0.04 0.00 5.42 ^ mprj/mprj/_5010_/D (sky130_fd_sc_hd__dfxtp_1)
5.42 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.36 5.56 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.40 0.01 5.57 ^ mprj/mprj/clkbuf_leaf_25_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.25 5.82 ^ mprj/mprj/clkbuf_leaf_25_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
18 0.04 mprj/mprj/clknet_leaf_25_wb_clk_i (net)
0.06 0.00 5.82 ^ mprj/mprj/_5010_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.07 clock uncertainty
-0.81 5.25 clock reconvergence pessimism
-0.03 5.23 library hold time
5.23 data required time
-----------------------------------------------------------------------------
5.23 data required time
-5.42 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: mprj/mprj/_5086_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5086_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.92 ^ mprj/mprj/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
11 0.02 mprj/mprj/clknet_leaf_17_wb_clk_i (net)
0.05 0.00 4.92 ^ mprj/mprj/_5086_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.23 ^ mprj/mprj/_5086_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.output_buffer[13] (net)
0.06 0.00 5.23 ^ mprj/mprj/_3935_/A1 (sky130_fd_sc_hd__o21a_1)
0.03 0.11 5.34 ^ mprj/mprj/_3935_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/mprj/_0116_ (net)
0.03 0.00 5.34 ^ mprj/mprj/_5086_/D (sky130_fd_sc_hd__dfxtp_1)
5.34 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 5.73 ^ mprj/mprj/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
11 0.02 mprj/mprj/clknet_leaf_17_wb_clk_i (net)
0.05 0.00 5.73 ^ mprj/mprj/_5086_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.98 clock uncertainty
-0.81 5.17 clock reconvergence pessimism
-0.03 5.15 library hold time
5.15 data required time
-----------------------------------------------------------------------------
5.15 data required time
-5.34 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: mprj/mprj/_5081_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5081_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.93 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.05 0.00 4.93 ^ mprj/mprj/_5081_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.23 ^ mprj/mprj/_5081_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.output_buffer[8] (net)
0.06 0.00 5.23 ^ mprj/mprj/_3918_/A1 (sky130_fd_sc_hd__o21a_1)
0.04 0.11 5.34 ^ mprj/mprj/_3918_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/mprj/_0111_ (net)
0.04 0.00 5.34 ^ mprj/mprj/_5081_/D (sky130_fd_sc_hd__dfxtp_1)
5.34 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 5.73 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.05 0.00 5.74 ^ mprj/mprj/_5081_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.99 clock uncertainty
-0.81 5.18 clock reconvergence pessimism
-0.03 5.15 library hold time
5.15 data required time
-----------------------------------------------------------------------------
5.15 data required time
-5.34 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: mprj/mprj/_5083_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5083_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.93 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.05 0.00 4.93 ^ mprj/mprj/_5083_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.23 ^ mprj/mprj/_5083_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.output_buffer[10] (net)
0.06 0.00 5.23 ^ mprj/mprj/_3925_/A1 (sky130_fd_sc_hd__o21a_1)
0.04 0.11 5.34 ^ mprj/mprj/_3925_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/mprj/_0113_ (net)
0.04 0.00 5.34 ^ mprj/mprj/_5083_/D (sky130_fd_sc_hd__dfxtp_1)
5.34 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 5.73 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.05 0.00 5.74 ^ mprj/mprj/_5083_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.99 clock uncertainty
-0.81 5.18 clock reconvergence pessimism
-0.03 5.15 library hold time
5.15 data required time
-----------------------------------------------------------------------------
5.15 data required time
-5.34 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: mprj/mprj/_5082_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5082_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.93 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.05 0.00 4.93 ^ mprj/mprj/_5082_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.23 ^ mprj/mprj/_5082_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.output_buffer[9] (net)
0.07 0.00 5.23 ^ mprj/mprj/_3922_/A1 (sky130_fd_sc_hd__o21a_1)
0.04 0.11 5.34 ^ mprj/mprj/_3922_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/mprj/_0112_ (net)
0.04 0.00 5.35 ^ mprj/mprj/_5082_/D (sky130_fd_sc_hd__dfxtp_1)
5.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 5.73 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.05 0.00 5.74 ^ mprj/mprj/_5082_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.99 clock uncertainty
-0.81 5.18 clock reconvergence pessimism
-0.03 5.15 library hold time
5.15 data required time
-----------------------------------------------------------------------------
5.15 data required time
-5.35 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: mprj/mprj/_5085_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5085_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.93 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.05 0.00 4.93 ^ mprj/mprj/_5085_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.23 ^ mprj/mprj/_5085_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.output_buffer[12] (net)
0.07 0.00 5.23 ^ mprj/mprj/_3931_/A1 (sky130_fd_sc_hd__o21a_1)
0.04 0.11 5.34 ^ mprj/mprj/_3931_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/mprj/_0115_ (net)
0.04 0.00 5.35 ^ mprj/mprj/_5085_/D (sky130_fd_sc_hd__dfxtp_1)
5.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 5.73 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.05 0.00 5.74 ^ mprj/mprj/_5085_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.99 clock uncertainty
-0.81 5.18 clock reconvergence pessimism
-0.03 5.15 library hold time
5.15 data required time
-----------------------------------------------------------------------------
5.15 data required time
-5.35 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: mprj/mprj/_5093_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5093_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.19 4.92 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.02 mprj/mprj/clknet_leaf_22_wb_clk_i (net)
0.04 0.00 4.92 ^ mprj/mprj/_5093_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.22 ^ mprj/mprj/_5093_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 mprj/mprj/soc.ram_encoder_0.data_out[0] (net)
0.06 0.00 5.22 ^ mprj/mprj/_3969_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.33 ^ mprj/mprj/_3969_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0123_ (net)
0.04 0.00 5.33 ^ mprj/mprj/_5093_/D (sky130_fd_sc_hd__dfxtp_1)
5.33 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.21 5.72 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.02 mprj/mprj/clknet_leaf_22_wb_clk_i (net)
0.04 0.00 5.73 ^ mprj/mprj/_5093_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.98 clock uncertainty
-0.81 5.17 clock reconvergence pessimism
-0.03 5.14 library hold time
5.14 data required time
-----------------------------------------------------------------------------
5.14 data required time
-5.33 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5094_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5094_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.19 4.92 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.02 mprj/mprj/clknet_leaf_22_wb_clk_i (net)
0.04 0.00 4.92 ^ mprj/mprj/_5094_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.22 ^ mprj/mprj/_5094_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 mprj/mprj/soc.ram_encoder_0.data_out[1] (net)
0.06 0.00 5.22 ^ mprj/mprj/_3970_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.33 ^ mprj/mprj/_3970_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0124_ (net)
0.04 0.00 5.33 ^ mprj/mprj/_5094_/D (sky130_fd_sc_hd__dfxtp_1)
5.33 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.21 5.72 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.02 mprj/mprj/clknet_leaf_22_wb_clk_i (net)
0.04 0.00 5.73 ^ mprj/mprj/_5094_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.98 clock uncertainty
-0.81 5.17 clock reconvergence pessimism
-0.03 5.14 library hold time
5.14 data required time
-----------------------------------------------------------------------------
5.14 data required time
-5.33 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5096_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5096_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.19 4.92 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.02 mprj/mprj/clknet_leaf_22_wb_clk_i (net)
0.04 0.00 4.92 ^ mprj/mprj/_5096_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.22 ^ mprj/mprj/_5096_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 mprj/mprj/soc.ram_encoder_0.data_out[3] (net)
0.06 0.00 5.22 ^ mprj/mprj/_3974_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.33 ^ mprj/mprj/_3974_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0126_ (net)
0.04 0.00 5.33 ^ mprj/mprj/_5096_/D (sky130_fd_sc_hd__dfxtp_1)
5.33 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.21 5.72 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.02 mprj/mprj/clknet_leaf_22_wb_clk_i (net)
0.04 0.00 5.73 ^ mprj/mprj/_5096_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.98 clock uncertainty
-0.81 5.17 clock reconvergence pessimism
-0.03 5.14 library hold time
5.14 data required time
-----------------------------------------------------------------------------
5.14 data required time
-5.33 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5273_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5273_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.27 0.24 4.69 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.05 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.27 0.01 4.70 ^ mprj/mprj/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 4.89 ^ mprj/mprj/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
10 0.02 mprj/mprj/clknet_leaf_13_wb_clk_i (net)
0.05 0.00 4.89 ^ mprj/mprj/_5273_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.31 5.20 ^ mprj/mprj/_5273_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 mprj/mprj/soc.ram_encoder_0.input_bits_left[4] (net)
0.08 0.00 5.20 ^ mprj/mprj/_4518_/A1 (sky130_fd_sc_hd__a21o_1)
0.03 0.10 5.30 ^ mprj/mprj/_4518_/X (sky130_fd_sc_hd__a21o_1)
1 0.00 mprj/mprj/_0301_ (net)
0.03 0.00 5.30 ^ mprj/mprj/_5273_/D (sky130_fd_sc_hd__dfxtp_1)
5.30 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.27 0.27 5.47 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.05 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.27 0.01 5.48 ^ mprj/mprj/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 5.69 ^ mprj/mprj/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
10 0.02 mprj/mprj/clknet_leaf_13_wb_clk_i (net)
0.05 0.00 5.69 ^ mprj/mprj/_5273_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.94 clock uncertainty
-0.80 5.14 clock reconvergence pessimism
-0.03 5.11 library hold time
5.11 data required time
-----------------------------------------------------------------------------
5.11 data required time
-5.30 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5279_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5079_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.22 4.94 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.04 mprj/mprj/clknet_leaf_20_wb_clk_i (net)
0.07 0.00 4.94 ^ mprj/mprj/_5279_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.24 ^ mprj/mprj/_5279_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 mprj/mprj/soc.ram_encoder_0.request_address[5] (net)
0.06 0.00 5.25 ^ mprj/mprj/_3905_/B2 (sky130_fd_sc_hd__a221o_1)
0.04 0.12 5.37 ^ mprj/mprj/_3905_/X (sky130_fd_sc_hd__a221o_1)
1 0.00 mprj/mprj/_0109_ (net)
0.04 0.00 5.37 ^ mprj/mprj/_5079_/D (sky130_fd_sc_hd__dfxtp_1)
5.37 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 5.73 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.05 0.00 5.74 ^ mprj/mprj/_5079_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.99 clock uncertainty
-0.78 5.20 clock reconvergence pessimism
-0.03 5.17 library hold time
5.17 data required time
-----------------------------------------------------------------------------
5.17 data required time
-5.37 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5302_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5302_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.27 0.24 4.69 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.05 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.27 0.01 4.70 ^ mprj/mprj/clkbuf_leaf_12_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.20 4.90 ^ mprj/mprj/clkbuf_leaf_12_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
19 0.04 mprj/mprj/clknet_leaf_12_wb_clk_i (net)
0.06 0.00 4.90 ^ mprj/mprj/_5302_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.04 0.30 5.21 ^ mprj/mprj/_5302_/Q (sky130_fd_sc_hd__dfxtp_2)
2 0.01 mprj/mprj/soc.ram_encoder_0.initialized (net)
0.04 0.00 5.21 ^ mprj/mprj/_4593_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.32 ^ mprj/mprj/_4593_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0330_ (net)
0.04 0.00 5.32 ^ mprj/mprj/_5302_/D (sky130_fd_sc_hd__dfxtp_2)
5.32 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.27 0.27 5.47 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.05 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.27 0.01 5.48 ^ mprj/mprj/clkbuf_leaf_12_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.22 5.70 ^ mprj/mprj/clkbuf_leaf_12_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
19 0.04 mprj/mprj/clknet_leaf_12_wb_clk_i (net)
0.06 0.00 5.71 ^ mprj/mprj/_5302_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 5.96 clock uncertainty
-0.80 5.15 clock reconvergence pessimism
-0.03 5.12 library hold time
5.12 data required time
-----------------------------------------------------------------------------
5.12 data required time
-5.32 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5178_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5178_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.32 4.77 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.40 0.01 4.78 ^ mprj/mprj/clkbuf_leaf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 5.00 ^ mprj/mprj/clkbuf_leaf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_0_wb_clk_i (net)
0.05 0.00 5.00 ^ mprj/mprj/_5178_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.31 5.31 ^ mprj/mprj/_5178_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 mprj/mprj/soc.rom_encoder_0.input_bits_left[3] (net)
0.07 0.00 5.31 ^ mprj/mprj/_4166_/A1 (sky130_fd_sc_hd__a211o_1)
0.03 0.10 5.41 ^ mprj/mprj/_4166_/X (sky130_fd_sc_hd__a211o_1)
1 0.00 mprj/mprj/_0206_ (net)
0.03 0.00 5.42 ^ mprj/mprj/_5178_/D (sky130_fd_sc_hd__dfxtp_1)
5.42 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.36 5.56 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.40 0.01 5.57 ^ mprj/mprj/clkbuf_leaf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.24 5.81 ^ mprj/mprj/clkbuf_leaf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_0_wb_clk_i (net)
0.05 0.00 5.81 ^ mprj/mprj/_5178_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.06 clock uncertainty
-0.81 5.25 clock reconvergence pessimism
-0.03 5.22 library hold time
5.22 data required time
-----------------------------------------------------------------------------
5.22 data required time
-5.42 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5108_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5108_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.93 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_15_wb_clk_i (net)
0.05 0.00 4.93 ^ mprj/mprj/_5108_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.23 ^ mprj/mprj/_5108_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 mprj/mprj/soc.ram_encoder_0.data_out[15] (net)
0.06 0.00 5.23 ^ mprj/mprj/_3989_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.34 ^ mprj/mprj/_3989_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0138_ (net)
0.04 0.00 5.35 ^ mprj/mprj/_5108_/D (sky130_fd_sc_hd__dfxtp_1)
5.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 5.73 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_15_wb_clk_i (net)
0.05 0.00 5.74 ^ mprj/mprj/_5108_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.99 clock uncertainty
-0.81 5.18 clock reconvergence pessimism
-0.03 5.15 library hold time
5.15 data required time
-----------------------------------------------------------------------------
5.15 data required time
-5.35 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5100_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5100_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.93 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_15_wb_clk_i (net)
0.05 0.00 4.93 ^ mprj/mprj/_5100_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.23 ^ mprj/mprj/_5100_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 mprj/mprj/soc.ram_encoder_0.data_out[7] (net)
0.06 0.00 5.23 ^ mprj/mprj/_3979_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.34 ^ mprj/mprj/_3979_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0130_ (net)
0.04 0.00 5.35 ^ mprj/mprj/_5100_/D (sky130_fd_sc_hd__dfxtp_1)
5.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 5.73 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_15_wb_clk_i (net)
0.05 0.00 5.74 ^ mprj/mprj/_5100_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.99 clock uncertainty
-0.81 5.18 clock reconvergence pessimism
-0.03 5.15 library hold time
5.15 data required time
-----------------------------------------------------------------------------
5.15 data required time
-5.35 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5102_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5102_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.93 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_15_wb_clk_i (net)
0.05 0.00 4.93 ^ mprj/mprj/_5102_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.23 ^ mprj/mprj/_5102_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 mprj/mprj/soc.ram_encoder_0.data_out[9] (net)
0.06 0.00 5.23 ^ mprj/mprj/_3982_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.34 ^ mprj/mprj/_3982_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0132_ (net)
0.04 0.00 5.35 ^ mprj/mprj/_5102_/D (sky130_fd_sc_hd__dfxtp_1)
5.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 5.73 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_15_wb_clk_i (net)
0.05 0.00 5.74 ^ mprj/mprj/_5102_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.99 clock uncertainty
-0.81 5.18 clock reconvergence pessimism
-0.03 5.15 library hold time
5.15 data required time
-----------------------------------------------------------------------------
5.15 data required time
-5.35 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5103_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5103_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.93 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_15_wb_clk_i (net)
0.05 0.00 4.93 ^ mprj/mprj/_5103_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.23 ^ mprj/mprj/_5103_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 mprj/mprj/soc.ram_encoder_0.data_out[10] (net)
0.06 0.00 5.23 ^ mprj/mprj/_3984_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.34 ^ mprj/mprj/_3984_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0133_ (net)
0.04 0.00 5.35 ^ mprj/mprj/_5103_/D (sky130_fd_sc_hd__dfxtp_1)
5.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 5.73 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_15_wb_clk_i (net)
0.05 0.00 5.74 ^ mprj/mprj/_5103_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.99 clock uncertainty
-0.81 5.18 clock reconvergence pessimism
-0.03 5.15 library hold time
5.15 data required time
-----------------------------------------------------------------------------
5.15 data required time
-5.35 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5104_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5104_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.93 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_15_wb_clk_i (net)
0.05 0.00 4.93 ^ mprj/mprj/_5104_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.23 ^ mprj/mprj/_5104_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 mprj/mprj/soc.ram_encoder_0.data_out[11] (net)
0.06 0.00 5.23 ^ mprj/mprj/_3985_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.34 ^ mprj/mprj/_3985_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0134_ (net)
0.04 0.00 5.35 ^ mprj/mprj/_5104_/D (sky130_fd_sc_hd__dfxtp_1)
5.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 5.73 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_15_wb_clk_i (net)
0.05 0.00 5.74 ^ mprj/mprj/_5104_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.99 clock uncertainty
-0.81 5.18 clock reconvergence pessimism
-0.03 5.15 library hold time
5.15 data required time
-----------------------------------------------------------------------------
5.15 data required time
-5.35 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5101_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5101_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.93 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_15_wb_clk_i (net)
0.05 0.00 4.93 ^ mprj/mprj/_5101_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.23 ^ mprj/mprj/_5101_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 mprj/mprj/soc.ram_encoder_0.data_out[8] (net)
0.06 0.00 5.23 ^ mprj/mprj/_3981_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.34 ^ mprj/mprj/_3981_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0131_ (net)
0.04 0.00 5.35 ^ mprj/mprj/_5101_/D (sky130_fd_sc_hd__dfxtp_1)
5.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 5.73 ^ mprj/mprj/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_15_wb_clk_i (net)
0.05 0.00 5.74 ^ mprj/mprj/_5101_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.99 clock uncertainty
-0.81 5.18 clock reconvergence pessimism
-0.03 5.15 library hold time
5.15 data required time
-----------------------------------------------------------------------------
5.15 data required time
-5.35 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5353_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5176_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.32 4.77 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.40 0.01 4.78 ^ mprj/mprj/clkbuf_leaf_28_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.20 4.98 ^ mprj/mprj/clkbuf_leaf_28_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
4 0.01 mprj/mprj/clknet_leaf_28_wb_clk_i (net)
0.04 0.00 4.98 ^ mprj/mprj/_5353_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.28 5.26 ^ mprj/mprj/_5353_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 mprj/mprj/soc.rom_encoder_0.data_out[15] (net)
0.04 0.00 5.27 ^ mprj/mprj/_4151_/A0 (sky130_fd_sc_hd__mux2_1)
0.04 0.11 5.37 ^ mprj/mprj/_4151_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 mprj/mprj/_1745_ (net)
0.04 0.00 5.37 ^ mprj/mprj/_4152_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 5.44 ^ mprj/mprj/_4152_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 mprj/mprj/_0204_ (net)
0.04 0.00 5.45 ^ mprj/mprj/_5176_/D (sky130_fd_sc_hd__dfxtp_1)
5.45 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.36 5.56 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.40 0.01 5.57 ^ mprj/mprj/clkbuf_leaf_26_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.25 5.81 ^ mprj/mprj/clkbuf_leaf_26_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
16 0.03 mprj/mprj/clknet_leaf_26_wb_clk_i (net)
0.06 0.00 5.82 ^ mprj/mprj/_5176_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.07 clock uncertainty
-0.79 5.28 clock reconvergence pessimism
-0.03 5.25 library hold time
5.25 data required time
-----------------------------------------------------------------------------
5.25 data required time
-5.45 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5105_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5105_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.27 0.24 4.69 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.05 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.27 0.01 4.70 ^ mprj/mprj/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.20 4.90 ^ mprj/mprj/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
20 0.04 mprj/mprj/clknet_leaf_14_wb_clk_i (net)
0.06 0.00 4.90 ^ mprj/mprj/_5105_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.21 ^ mprj/mprj/_5105_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 mprj/mprj/soc.ram_encoder_0.data_out[12] (net)
0.06 0.00 5.21 ^ mprj/mprj/_3986_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.32 ^ mprj/mprj/_3986_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0135_ (net)
0.04 0.00 5.33 ^ mprj/mprj/_5105_/D (sky130_fd_sc_hd__dfxtp_1)
5.33 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.27 0.27 5.47 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.05 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.27 0.01 5.48 ^ mprj/mprj/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 5.71 ^ mprj/mprj/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
20 0.04 mprj/mprj/clknet_leaf_14_wb_clk_i (net)
0.06 0.00 5.71 ^ mprj/mprj/_5105_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.96 clock uncertainty
-0.80 5.15 clock reconvergence pessimism
-0.03 5.13 library hold time
5.13 data required time
-----------------------------------------------------------------------------
5.13 data required time
-5.33 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5106_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5106_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.27 0.24 4.69 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.05 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.27 0.01 4.70 ^ mprj/mprj/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.20 4.90 ^ mprj/mprj/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
20 0.04 mprj/mprj/clknet_leaf_14_wb_clk_i (net)
0.06 0.00 4.90 ^ mprj/mprj/_5106_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.21 ^ mprj/mprj/_5106_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 mprj/mprj/soc.ram_encoder_0.data_out[13] (net)
0.06 0.00 5.21 ^ mprj/mprj/_3987_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.32 ^ mprj/mprj/_3987_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0136_ (net)
0.04 0.00 5.33 ^ mprj/mprj/_5106_/D (sky130_fd_sc_hd__dfxtp_1)
5.33 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.27 0.27 5.47 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.05 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.27 0.01 5.48 ^ mprj/mprj/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 5.71 ^ mprj/mprj/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
20 0.04 mprj/mprj/clknet_leaf_14_wb_clk_i (net)
0.06 0.00 5.71 ^ mprj/mprj/_5106_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.96 clock uncertainty
-0.80 5.15 clock reconvergence pessimism
-0.03 5.13 library hold time
5.13 data required time
-----------------------------------------------------------------------------
5.13 data required time
-5.33 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5107_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5107_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.27 0.24 4.69 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.05 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.27 0.01 4.70 ^ mprj/mprj/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.20 4.90 ^ mprj/mprj/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
20 0.04 mprj/mprj/clknet_leaf_14_wb_clk_i (net)
0.06 0.00 4.90 ^ mprj/mprj/_5107_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.21 ^ mprj/mprj/_5107_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 mprj/mprj/soc.ram_encoder_0.data_out[14] (net)
0.06 0.00 5.21 ^ mprj/mprj/_3988_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.32 ^ mprj/mprj/_3988_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0137_ (net)
0.04 0.00 5.33 ^ mprj/mprj/_5107_/D (sky130_fd_sc_hd__dfxtp_1)
5.33 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.27 0.27 5.47 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.05 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.27 0.01 5.48 ^ mprj/mprj/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 5.71 ^ mprj/mprj/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
20 0.04 mprj/mprj/clknet_leaf_14_wb_clk_i (net)
0.06 0.00 5.71 ^ mprj/mprj/_5107_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.96 clock uncertainty
-0.80 5.15 clock reconvergence pessimism
-0.03 5.13 library hold time
5.13 data required time
-----------------------------------------------------------------------------
5.13 data required time
-5.33 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5087_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5087_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.22 4.94 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.05 mprj/mprj/clknet_leaf_16_wb_clk_i (net)
0.07 0.00 4.95 ^ mprj/mprj/_5087_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.31 5.26 ^ mprj/mprj/_5087_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.output_buffer[14] (net)
0.07 0.00 5.26 ^ mprj/mprj/_3938_/A1 (sky130_fd_sc_hd__o21a_1)
0.03 0.11 5.37 ^ mprj/mprj/_3938_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 mprj/mprj/_0117_ (net)
0.03 0.00 5.37 ^ mprj/mprj/_5087_/D (sky130_fd_sc_hd__dfxtp_1)
5.37 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.24 5.75 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.05 mprj/mprj/clknet_leaf_16_wb_clk_i (net)
0.07 0.00 5.75 ^ mprj/mprj/_5087_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.00 clock uncertainty
-0.81 5.20 clock reconvergence pessimism
-0.03 5.17 library hold time
5.17 data required time
-----------------------------------------------------------------------------
5.17 data required time
-5.37 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5095_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5095_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.19 4.92 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.02 mprj/mprj/clknet_leaf_22_wb_clk_i (net)
0.04 0.00 4.92 ^ mprj/mprj/_5095_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.22 ^ mprj/mprj/_5095_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.data_out[2] (net)
0.06 0.00 5.22 ^ mprj/mprj/_3971_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.34 ^ mprj/mprj/_3971_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0125_ (net)
0.04 0.00 5.34 ^ mprj/mprj/_5095_/D (sky130_fd_sc_hd__dfxtp_1)
5.34 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.21 5.72 ^ mprj/mprj/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
8 0.02 mprj/mprj/clknet_leaf_22_wb_clk_i (net)
0.04 0.00 5.73 ^ mprj/mprj/_5095_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.98 clock uncertainty
-0.81 5.17 clock reconvergence pessimism
-0.03 5.14 library hold time
5.14 data required time
-----------------------------------------------------------------------------
5.14 data required time
-5.34 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: soc/core/_37568_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/core/_36378_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.01 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.14 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_0_0_core_clk (net)
0.05 0.00 4.14 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.27 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_0_1_core_clk (net)
0.05 0.00 4.27 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.13 4.40 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_0_2_core_clk (net)
0.05 0.00 4.40 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.11 4.51 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_1_0_3_core_clk (net)
0.03 0.00 4.51 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.24 0.24 4.75 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.08 soc/core/clknet_1_0_4_core_clk (net)
0.24 0.03 4.78 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.17 4.95 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_2_0_0_core_clk (net)
0.03 0.00 4.95 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.46 0.38 5.33 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.15 soc/core/clknet_2_0_1_core_clk (net)
0.46 0.03 5.36 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.21 5.57 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_3_1_0_core_clk (net)
0.04 0.00 5.57 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.10 5.68 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 soc/core/clknet_3_1_1_core_clk (net)
0.03 0.00 5.68 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.08 0.14 5.82 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.02 soc/core/clknet_3_1_2_core_clk (net)
0.08 0.00 5.82 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.09 0.17 6.00 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_3_0_core_clk (net)
0.09 0.00 6.00 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 6.13 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_7_0_core_clk (net)
0.04 0.00 6.13 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.52 0.43 6.57 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
22 0.18 soc/core/clknet_5_7_1_core_clk (net)
0.52 0.00 6.57 ^ soc/core/clkbuf_leaf_383_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.28 6.85 ^ soc/core/clkbuf_leaf_383_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.08 soc/core/clknet_leaf_383_core_clk (net)
0.10 0.00 6.85 ^ soc/core/_37568_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.09 0.36 7.21 ^ soc/core/_37568_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.02 soc/core/VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[0] (net)
0.09 0.00 7.21 ^ soc/core/_33651_/A0 (sky130_fd_sc_hd__mux2_2)
0.14 0.23 7.43 ^ soc/core/_33651_/X (sky130_fd_sc_hd__mux2_2)
2 0.02 soc/core/_01775_ (net)
0.14 0.00 7.43 ^ soc/core/_33652_/A1 (sky130_fd_sc_hd__mux2_1)
0.04 0.14 7.58 ^ soc/core/_33652_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 soc/core/_00033_ (net)
0.04 0.00 7.58 ^ soc/core/_28173_/A1 (sky130_fd_sc_hd__a21o_1)
0.03 0.09 7.66 ^ soc/core/_28173_/X (sky130_fd_sc_hd__a21o_1)
1 0.00 soc/core/_03844_ (net)
0.03 0.00 7.66 ^ soc/core/_36378_/D (sky130_fd_sc_hd__dfxtp_1)
7.66 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_2_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_2_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.18 6.15 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_4_0_core_clk (net)
0.05 0.00 6.15 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.29 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_4_1_core_clk (net)
0.04 0.00 6.29 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.14 0.21 6.50 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_3_4_2_core_clk (net)
0.14 0.01 6.50 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.73 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_9_0_core_clk (net)
0.10 0.00 6.73 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.16 6.89 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_18_0_core_clk (net)
0.04 0.00 6.89 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.78 0.64 7.52 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
32 0.26 soc/core/clknet_5_18_1_core_clk (net)
0.79 0.09 7.61 ^ soc/core/clkbuf_leaf_373_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.34 7.94 ^ soc/core/clkbuf_leaf_373_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.07 soc/core/clknet_leaf_373_core_clk (net)
0.10 0.00 7.95 ^ soc/core/_36378_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 8.20 clock uncertainty
-0.71 7.48 clock reconvergence pessimism
-0.02 7.46 library hold time
7.46 data required time
-----------------------------------------------------------------------------
7.46 data required time
-7.66 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5097_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5097_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.22 4.94 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.05 mprj/mprj/clknet_leaf_16_wb_clk_i (net)
0.07 0.00 4.95 ^ mprj/mprj/_5097_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.31 5.25 ^ mprj/mprj/_5097_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 mprj/mprj/soc.ram_encoder_0.data_out[4] (net)
0.06 0.00 5.26 ^ mprj/mprj/_3975_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.37 ^ mprj/mprj/_3975_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0127_ (net)
0.04 0.00 5.37 ^ mprj/mprj/_5097_/D (sky130_fd_sc_hd__dfxtp_1)
5.37 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.24 5.75 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.05 mprj/mprj/clknet_leaf_16_wb_clk_i (net)
0.07 0.00 5.75 ^ mprj/mprj/_5097_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.00 clock uncertainty
-0.81 5.20 clock reconvergence pessimism
-0.03 5.17 library hold time
5.17 data required time
-----------------------------------------------------------------------------
5.17 data required time
-5.37 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5098_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5098_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.22 4.94 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.05 mprj/mprj/clknet_leaf_16_wb_clk_i (net)
0.07 0.00 4.95 ^ mprj/mprj/_5098_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.31 5.25 ^ mprj/mprj/_5098_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 mprj/mprj/soc.ram_encoder_0.data_out[5] (net)
0.06 0.00 5.26 ^ mprj/mprj/_3977_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.37 ^ mprj/mprj/_3977_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0128_ (net)
0.04 0.00 5.37 ^ mprj/mprj/_5098_/D (sky130_fd_sc_hd__dfxtp_1)
5.37 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.24 5.75 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.05 mprj/mprj/clknet_leaf_16_wb_clk_i (net)
0.07 0.00 5.75 ^ mprj/mprj/_5098_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.00 clock uncertainty
-0.81 5.20 clock reconvergence pessimism
-0.03 5.17 library hold time
5.17 data required time
-----------------------------------------------------------------------------
5.17 data required time
-5.37 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5099_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5099_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.22 4.94 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.05 mprj/mprj/clknet_leaf_16_wb_clk_i (net)
0.07 0.00 4.95 ^ mprj/mprj/_5099_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.31 5.25 ^ mprj/mprj/_5099_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.00 mprj/mprj/soc.ram_encoder_0.data_out[6] (net)
0.06 0.00 5.26 ^ mprj/mprj/_3978_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.11 5.37 ^ mprj/mprj/_3978_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0129_ (net)
0.04 0.00 5.37 ^ mprj/mprj/_5099_/D (sky130_fd_sc_hd__dfxtp_1)
5.37 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.24 5.75 ^ mprj/mprj/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.05 mprj/mprj/clknet_leaf_16_wb_clk_i (net)
0.07 0.00 5.75 ^ mprj/mprj/_5099_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.00 clock uncertainty
-0.81 5.20 clock reconvergence pessimism
-0.03 5.17 library hold time
5.17 data required time
-----------------------------------------------------------------------------
5.17 data required time
-5.37 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5014_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5014_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.93 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.05 0.00 4.93 ^ mprj/mprj/_5014_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.30 5.23 ^ mprj/mprj/_5014_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.ram_encoder_0.output_buffer[1] (net)
0.06 0.00 5.23 ^ mprj/mprj/_3704_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.12 5.35 ^ mprj/mprj/_3704_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0049_ (net)
0.04 0.00 5.35 ^ mprj/mprj/_5014_/D (sky130_fd_sc_hd__dfxtp_1)
5.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 5.73 ^ mprj/mprj/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
13 0.03 mprj/mprj/clknet_leaf_18_wb_clk_i (net)
0.05 0.00 5.74 ^ mprj/mprj/_5014_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.99 clock uncertainty
-0.81 5.18 clock reconvergence pessimism
-0.03 5.15 library hold time
5.15 data required time
-----------------------------------------------------------------------------
5.15 data required time
-5.35 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5420_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5301_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.27 4.72 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 4.72 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.22 4.94 ^ mprj/mprj/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
22 0.04 mprj/mprj/clknet_leaf_20_wb_clk_i (net)
0.07 0.00 4.94 ^ mprj/mprj/_5420_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.31 5.25 ^ mprj/mprj/_5420_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.synch_hack_writeM (net)
0.06 0.00 5.25 ^ mprj/mprj/_4584_/A1 (sky130_fd_sc_hd__a31o_1)
0.04 0.12 5.37 ^ mprj/mprj/_4584_/X (sky130_fd_sc_hd__a31o_1)
1 0.00 mprj/mprj/_0329_ (net)
0.04 0.00 5.37 ^ mprj/mprj/_5301_/D (sky130_fd_sc_hd__dfxtp_1)
5.37 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.30 5.50 ^ mprj/mprj/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
7 0.06 mprj/mprj/clknet_2_2_0_wb_clk_i (net)
0.31 0.01 5.51 ^ mprj/mprj/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 5.73 ^ mprj/mprj/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
11 0.02 mprj/mprj/clknet_leaf_17_wb_clk_i (net)
0.05 0.00 5.73 ^ mprj/mprj/_5301_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 5.98 clock uncertainty
-0.78 5.20 clock reconvergence pessimism
-0.03 5.17 library hold time
5.17 data required time
-----------------------------------------------------------------------------
5.17 data required time
-5.37 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_4984_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_4984_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.32 4.77 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_1_0_wb_clk_i (net)
0.40 0.01 4.78 ^ mprj/mprj/clkbuf_leaf_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.23 5.01 ^ mprj/mprj/clkbuf_leaf_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.05 mprj/mprj/clknet_leaf_1_wb_clk_i (net)
0.08 0.00 5.01 ^ mprj/mprj/_4984_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.04 0.33 5.34 ^ mprj/mprj/_4984_/Q (sky130_fd_sc_hd__dfxtp_4)
2 0.01 mprj/mprj/soc.rom_encoder_0.output_buffer[21] (net)
0.04 0.00 5.35 ^ mprj/mprj/_3540_/A1 (sky130_fd_sc_hd__a211o_1)
0.03 0.09 5.44 ^ mprj/mprj/_3540_/X (sky130_fd_sc_hd__a211o_1)
1 0.00 mprj/mprj/_0019_ (net)
0.03 0.00 5.44 ^ mprj/mprj/_4984_/D (sky130_fd_sc_hd__dfxtp_4)
5.44 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.36 5.56 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_1_0_wb_clk_i (net)
0.40 0.01 5.57 ^ mprj/mprj/clkbuf_leaf_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.26 5.83 ^ mprj/mprj/clkbuf_leaf_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.05 mprj/mprj/clknet_leaf_1_wb_clk_i (net)
0.08 0.00 5.83 ^ mprj/mprj/_4984_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.25 6.08 clock uncertainty
-0.82 5.26 clock reconvergence pessimism
-0.02 5.24 library hold time
5.24 data required time
-----------------------------------------------------------------------------
5.24 data required time
-5.44 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_4985_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_4985_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.32 4.77 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_1_0_wb_clk_i (net)
0.40 0.01 4.78 ^ mprj/mprj/clkbuf_leaf_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.23 5.01 ^ mprj/mprj/clkbuf_leaf_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.05 mprj/mprj/clknet_leaf_1_wb_clk_i (net)
0.08 0.00 5.01 ^ mprj/mprj/_4985_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.04 0.33 5.34 ^ mprj/mprj/_4985_/Q (sky130_fd_sc_hd__dfxtp_4)
2 0.01 mprj/mprj/soc.rom_encoder_0.output_buffer[22] (net)
0.04 0.00 5.35 ^ mprj/mprj/_3546_/A1 (sky130_fd_sc_hd__a211o_1)
0.03 0.09 5.44 ^ mprj/mprj/_3546_/X (sky130_fd_sc_hd__a211o_1)
1 0.00 mprj/mprj/_0020_ (net)
0.03 0.00 5.44 ^ mprj/mprj/_4985_/D (sky130_fd_sc_hd__dfxtp_4)
5.44 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.36 5.56 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_1_0_wb_clk_i (net)
0.40 0.01 5.57 ^ mprj/mprj/clkbuf_leaf_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.26 5.83 ^ mprj/mprj/clkbuf_leaf_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.05 mprj/mprj/clknet_leaf_1_wb_clk_i (net)
0.08 0.00 5.83 ^ mprj/mprj/_4985_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.25 6.08 clock uncertainty
-0.82 5.26 clock reconvergence pessimism
-0.02 5.24 library hold time
5.24 data required time
-----------------------------------------------------------------------------
5.24 data required time
-5.44 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_4986_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_4986_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.32 4.77 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_1_0_wb_clk_i (net)
0.40 0.01 4.78 ^ mprj/mprj/clkbuf_leaf_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.23 5.01 ^ mprj/mprj/clkbuf_leaf_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.05 mprj/mprj/clknet_leaf_1_wb_clk_i (net)
0.08 0.00 5.01 ^ mprj/mprj/_4986_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.04 0.33 5.34 ^ mprj/mprj/_4986_/Q (sky130_fd_sc_hd__dfxtp_4)
2 0.01 mprj/mprj/soc.rom_encoder_0.output_buffer[23] (net)
0.04 0.00 5.35 ^ mprj/mprj/_3550_/A1 (sky130_fd_sc_hd__a211o_1)
0.03 0.09 5.44 ^ mprj/mprj/_3550_/X (sky130_fd_sc_hd__a211o_1)
1 0.00 mprj/mprj/_0021_ (net)
0.03 0.00 5.44 ^ mprj/mprj/_4986_/D (sky130_fd_sc_hd__dfxtp_4)
5.44 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.36 5.56 ^ mprj/mprj/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_1_0_wb_clk_i (net)
0.40 0.01 5.57 ^ mprj/mprj/clkbuf_leaf_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.26 5.83 ^ mprj/mprj/clkbuf_leaf_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
25 0.05 mprj/mprj/clknet_leaf_1_wb_clk_i (net)
0.08 0.00 5.83 ^ mprj/mprj/_4986_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.25 6.08 clock uncertainty
-0.82 5.26 clock reconvergence pessimism
-0.02 5.24 library hold time
5.24 data required time
-----------------------------------------------------------------------------
5.24 data required time
-5.44 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: mprj/mprj/_5008_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5008_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.32 4.77 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.40 0.01 4.78 ^ mprj/mprj/clkbuf_leaf_25_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.22 5.00 ^ mprj/mprj/clkbuf_leaf_25_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
18 0.04 mprj/mprj/clknet_leaf_25_wb_clk_i (net)
0.06 0.00 5.00 ^ mprj/mprj/_5008_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.31 5.31 ^ mprj/mprj/_5008_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 mprj/mprj/soc.rom_encoder_0.output_buffer[3] (net)
0.06 0.00 5.31 ^ mprj/mprj/_3684_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.12 5.43 ^ mprj/mprj/_3684_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 mprj/mprj/_0043_ (net)
0.04 0.00 5.43 ^ mprj/mprj/_5008_/D (sky130_fd_sc_hd__dfxtp_1)
5.43 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_0_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.40 0.36 5.56 ^ mprj/mprj/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 mprj/mprj/clknet_2_0_0_wb_clk_i (net)
0.40 0.01 5.57 ^ mprj/mprj/clkbuf_leaf_25_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.25 5.82 ^ mprj/mprj/clkbuf_leaf_25_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
18 0.04 mprj/mprj/clknet_leaf_25_wb_clk_i (net)
0.06 0.00 5.82 ^ mprj/mprj/_5008_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.07 clock uncertainty
-0.81 5.25 clock reconvergence pessimism
-0.03 5.23 library hold time
5.23 data required time
-----------------------------------------------------------------------------
5.23 data required time
-5.43 data arrival time
-----------------------------------------------------------------------------
0.21 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9661_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.17 18.19 ^ housekeeping/_9661_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 29.88 ^ housekeeping/_9661_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.66 30.29 clock reconvergence pessimism
0.25 30.54 library recovery time
30.54 data required time
-----------------------------------------------------------------------------
30.54 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.35 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9662_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.17 18.19 ^ housekeeping/_9662_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 29.88 ^ housekeeping/_9662_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.66 30.29 clock reconvergence pessimism
0.25 30.54 library recovery time
30.54 data required time
-----------------------------------------------------------------------------
30.54 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.35 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9660_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.17 18.19 ^ housekeeping/_9660_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 29.88 ^ housekeeping/_9660_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.66 30.29 clock reconvergence pessimism
0.25 30.54 library recovery time
30.54 data required time
-----------------------------------------------------------------------------
30.54 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.35 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9663_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.17 18.19 ^ housekeeping/_9663_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 29.88 ^ housekeeping/_9663_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.66 30.29 clock reconvergence pessimism
0.25 30.54 library recovery time
30.54 data required time
-----------------------------------------------------------------------------
30.54 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.35 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9664_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.17 18.19 ^ housekeeping/_9664_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.01 29.88 ^ housekeeping/_9664_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.66 30.29 clock reconvergence pessimism
0.25 30.54 library recovery time
30.54 data required time
-----------------------------------------------------------------------------
30.54 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.35 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9065_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.16 18.18 ^ housekeeping/_9065_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.18 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.41 0.00 29.87 ^ housekeeping/_9065_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.62 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.26 30.54 library recovery time
30.54 data required time
-----------------------------------------------------------------------------
30.54 data required time
-18.18 data arrival time
-----------------------------------------------------------------------------
12.36 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9059_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.19 ^ housekeeping/_9059_/SET_B (sky130_fd_sc_hd__dfstp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9059_/CLK (sky130_fd_sc_hd__dfstp_1)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.23 30.57 library recovery time
30.57 data required time
-----------------------------------------------------------------------------
30.57 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.39 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9067_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.19 ^ housekeeping/_9067_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.94 ^ housekeeping/_9067_/CLK (sky130_fd_sc_hd__dfrtp_4)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.25 30.59 library recovery time
30.59 data required time
-----------------------------------------------------------------------------
30.59 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.41 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9066_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.19 ^ housekeeping/_9066_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9066_/CLK (sky130_fd_sc_hd__dfrtp_4)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.25 30.59 library recovery time
30.59 data required time
-----------------------------------------------------------------------------
30.59 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.41 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9068_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.19 ^ housekeeping/_9068_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9068_/CLK (sky130_fd_sc_hd__dfrtp_4)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.25 30.59 library recovery time
30.59 data required time
-----------------------------------------------------------------------------
30.59 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.41 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9119_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.18 ^ housekeeping/_9119_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
18.18 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.25 30.59 library recovery time
30.59 data required time
-----------------------------------------------------------------------------
30.59 data required time
-18.18 data arrival time
-----------------------------------------------------------------------------
12.41 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9587_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.15 18.17 ^ housekeeping/_9587_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
18.17 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.01 29.94 ^ housekeeping/_9587_/CLK (sky130_fd_sc_hd__dfrtp_4)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.25 30.60 library recovery time
30.60 data required time
-----------------------------------------------------------------------------
30.60 data required time
-18.17 data arrival time
-----------------------------------------------------------------------------
12.42 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9678_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.32 0.10 18.12 ^ housekeeping/_9678_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.12 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.88 ^ housekeeping/_9678_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.26 30.55 library recovery time
30.55 data required time
-----------------------------------------------------------------------------
30.55 data required time
-18.12 data arrival time
-----------------------------------------------------------------------------
12.43 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9759_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.15 18.17 ^ housekeeping/_9759_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
18.17 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.01 29.94 ^ housekeeping/_9759_/CLK (sky130_fd_sc_hd__dfrtp_4)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.26 30.60 library recovery time
30.60 data required time
-----------------------------------------------------------------------------
30.60 data required time
-18.17 data arrival time
-----------------------------------------------------------------------------
12.43 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9675_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.32 0.09 18.11 ^ housekeeping/_9675_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.11 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.88 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.27 30.55 library recovery time
30.55 data required time
-----------------------------------------------------------------------------
30.55 data required time
-18.11 data arrival time
-----------------------------------------------------------------------------
12.43 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9677_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.32 0.09 18.11 ^ housekeeping/_9677_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.11 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.87 ^ housekeeping/_9677_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.62 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.27 30.55 library recovery time
30.55 data required time
-----------------------------------------------------------------------------
30.55 data required time
-18.11 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9659_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.17 18.19 ^ housekeeping/_9659_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.94 ^ housekeeping/_9659_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.28 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9665_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.41 0.17 18.19 ^ housekeeping/_9665_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.94 ^ housekeeping/_9665_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.28 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9679_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.09 18.11 ^ housekeeping/_9679_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.11 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.87 ^ housekeeping/_9679_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.62 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.27 30.55 library recovery time
30.55 data required time
-----------------------------------------------------------------------------
30.55 data required time
-18.11 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9666_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.17 18.19 ^ housekeeping/_9666_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.94 ^ housekeeping/_9666_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.28 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9681_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.09 18.11 ^ housekeeping/_9681_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.11 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.87 ^ housekeeping/_9681_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.62 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.27 30.55 library recovery time
30.55 data required time
-----------------------------------------------------------------------------
30.55 data required time
-18.11 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9064_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.19 ^ housekeeping/_9064_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9064_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.28 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9063_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.19 ^ housekeeping/_9063_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9063_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.28 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9061_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.19 ^ housekeeping/_9061_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.19 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9061_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.28 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.19 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9640_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.38 0.15 18.17 ^ housekeeping/_9640_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
18.17 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.01 29.94 ^ housekeeping/_9640_/CLK (sky130_fd_sc_hd__dfrtp_2)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.27 30.61 library recovery time
30.61 data required time
-----------------------------------------------------------------------------
30.61 data required time
-18.17 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9060_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.40 0.16 18.18 ^ housekeeping/_9060_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.18 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.93 ^ housekeeping/_9060_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.68 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.29 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.18 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9676_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.08 18.10 ^ housekeeping/_9676_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.10 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.87 ^ housekeeping/_9676_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.62 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.27 30.55 library recovery time
30.55 data required time
-----------------------------------------------------------------------------
30.55 data required time
-18.10 data arrival time
-----------------------------------------------------------------------------
12.44 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9680_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.31 0.08 18.10 ^ housekeeping/_9680_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.10 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.87 ^ housekeeping/_9680_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.62 clock uncertainty
0.66 30.28 clock reconvergence pessimism
0.27 30.55 library recovery time
30.55 data required time
-----------------------------------------------------------------------------
30.55 data required time
-18.10 data arrival time
-----------------------------------------------------------------------------
12.45 slack (MET)
Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock')
Endpoint: housekeeping/_9062_ (recovery check against rising-edge clock clock)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
12.50 12.50 clock clock' (rise edge)
0.00 12.50 clock source latency
0.00 0.00 12.50 v clock (in)
1 1.12 clock (net)
0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.00 clocking/clknet_0_ext_clk (net)
0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4)
0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 clocking/net20 (net)
0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 clocking/reset_delay[0] (net)
0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 clocking/net11 (net)
0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2)
0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2)
5 0.02 caravel_rstn (net)
0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12)
0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12)
30 0.25 housekeeping/net196 (net)
0.39 0.16 18.18 ^ housekeeping/_9062_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
18.18 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.50 0.00 29.94 ^ housekeeping/_9062_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.69 clock uncertainty
0.66 30.34 clock reconvergence pessimism
0.29 30.63 library recovery time
30.63 data required time
-----------------------------------------------------------------------------
30.63 data required time
-18.18 data arrival time
-----------------------------------------------------------------------------
12.45 slack (MET)
Startpoint: soc/core/_38911_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: flash_csb (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.18 6.16 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.29 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 6.29 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.20 6.49 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 6.49 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.22 6.72 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_15_0_core_clk (net)
0.11 0.00 6.72 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.16 6.87 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_30_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.79 0.67 7.54 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
30 0.27 soc/core/clknet_5_30_1_core_clk (net)
0.79 0.04 7.58 ^ soc/core/clkbuf_leaf_175_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.34 7.92 ^ soc/core/clkbuf_leaf_175_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.07 soc/core/clknet_leaf_175_core_clk (net)
0.10 0.00 7.92 ^ soc/core/_38911_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.10 0.40 8.32 v soc/core/_38911_/Q (sky130_fd_sc_hd__dfxtp_1)
4 0.02 soc/core/mgmtsoc_litespisdrphycore_count[0] (net)
0.10 0.00 8.32 v soc/core/_20112_/C (sky130_fd_sc_hd__nor3_4)
0.44 0.38 8.70 ^ soc/core/_20112_/Y (sky130_fd_sc_hd__nor3_4)
6 0.04 soc/core/_09403_ (net)
0.44 0.00 8.71 ^ soc/core/_20115_/A (sky130_fd_sc_hd__nand2_8)
0.15 0.16 8.87 v soc/core/_20115_/Y (sky130_fd_sc_hd__nand2_8)
2 0.06 soc/core/net242 (net)
0.16 0.01 8.88 v soc/core/output242/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.18 9.07 v soc/core/output242/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 flash_csb_core (net)
0.03 0.00 9.07 v housekeeping/input84/A (sky130_fd_sc_hd__buf_4)
0.09 0.19 9.26 v housekeeping/input84/X (sky130_fd_sc_hd__buf_4)
1 0.07 housekeeping/net84 (net)
0.10 0.02 9.28 v housekeeping/_8836_/A0 (sky130_fd_sc_hd__mux2_2)
0.09 0.35 9.63 v housekeeping/_8836_/X (sky130_fd_sc_hd__mux2_2)
1 0.02 housekeeping/net252 (net)
0.09 0.00 9.63 v housekeeping/output252/A (sky130_fd_sc_hd__buf_2)
0.09 0.21 9.84 v housekeeping/output252/X (sky130_fd_sc_hd__buf_2)
1 0.04 flash_csb_frame (net)
0.09 0.00 9.84 v padframe/flash_csb_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped)
13.20 10.37 20.21 v padframe/flash_csb_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
7 1.15 flash_csb (net)
13.20 0.00 20.21 v flash_csb (out)
20.21 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock network delay (propagated)
-0.25 24.75 clock uncertainty
0.00 24.75 clock reconvergence pessimism
-5.00 19.75 output external delay
19.75 data required time
-----------------------------------------------------------------------------
19.75 data required time
-20.21 data arrival time
-----------------------------------------------------------------------------
-0.46 slack (VIOLATED)
Startpoint: soc/core/_34932_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: flash_clk (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.18 6.16 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.29 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 6.29 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.20 6.49 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 6.49 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.22 6.72 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_15_0_core_clk (net)
0.11 0.00 6.72 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_31_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.93 0.76 7.64 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
38 0.32 soc/core/clknet_5_31_1_core_clk (net)
0.93 0.04 7.68 ^ soc/core/clkbuf_leaf_186_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.35 8.02 ^ soc/core/clkbuf_leaf_186_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.06 soc/core/clknet_leaf_186_core_clk (net)
0.10 0.00 8.02 ^ soc/core/_34932_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.36 8.38 v soc/core/_34932_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.01 soc/core/net241 (net)
0.06 0.00 8.38 v soc/core/output241/A (sky130_fd_sc_hd__clkbuf_4)
0.03 0.14 8.52 v soc/core/output241/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 flash_clk_core (net)
0.03 0.00 8.52 v housekeeping/input83/A (sky130_fd_sc_hd__buf_6)
0.11 0.19 8.71 v housekeeping/input83/X (sky130_fd_sc_hd__buf_6)
15 0.12 housekeeping/net83 (net)
0.11 0.01 8.72 v housekeeping/_8837_/A0 (sky130_fd_sc_hd__mux2_1)
0.07 0.33 9.05 v housekeeping/_8837_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 housekeeping/net250 (net)
0.07 0.00 9.05 v housekeeping/output250/A (sky130_fd_sc_hd__clkbuf_1)
0.21 0.25 9.31 v housekeeping/output250/X (sky130_fd_sc_hd__clkbuf_1)
1 0.04 flash_clk_frame (net)
0.21 0.00 9.31 v padframe/flash_clk_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped)
13.20 10.44 19.75 v padframe/flash_clk_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
7 1.15 flash_clk (net)
13.20 0.00 19.75 v flash_clk (out)
19.75 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock network delay (propagated)
-0.25 24.75 clock uncertainty
0.00 24.75 clock reconvergence pessimism
-5.00 19.75 output external delay
19.75 data required time
-----------------------------------------------------------------------------
19.75 data required time
-19.75 data arrival time
-----------------------------------------------------------------------------
0.00 slack (MET)
Startpoint: soc/core/_34930_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: flash_io0 (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.18 6.16 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.29 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_7_1_core_clk (net)
0.04 0.00 6.29 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.20 6.49 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_7_2_core_clk (net)
0.13 0.01 6.49 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.22 6.72 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_15_0_core_clk (net)
0.11 0.00 6.72 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_31_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.93 0.76 7.64 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
38 0.32 soc/core/clknet_5_31_1_core_clk (net)
0.93 0.04 7.68 ^ soc/core/clkbuf_leaf_184_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 8.00 ^ soc/core/clkbuf_leaf_184_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.04 soc/core/clknet_leaf_184_core_clk (net)
0.08 0.00 8.01 ^ soc/core/_34930_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.34 8.34 v soc/core/_34930_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.01 soc/core/net243 (net)
0.04 0.00 8.34 v soc/core/output243/A (sky130_fd_sc_hd__clkbuf_4)
0.02 0.13 8.47 v soc/core/output243/X (sky130_fd_sc_hd__clkbuf_4)
1 0.00 flash_io0_do_core (net)
0.02 0.00 8.47 v housekeeping/input85/A (sky130_fd_sc_hd__buf_4)
0.12 0.22 8.69 v housekeeping/input85/X (sky130_fd_sc_hd__buf_4)
5 0.09 housekeeping/net85 (net)
0.12 0.01 8.70 v housekeeping/_8838_/A0 (sky130_fd_sc_hd__mux2_2)
0.09 0.36 9.06 v housekeeping/_8838_/X (sky130_fd_sc_hd__mux2_2)
1 0.02 housekeeping/net254 (net)
0.09 0.00 9.06 v housekeeping/output254/A (sky130_fd_sc_hd__buf_2)
0.09 0.21 9.28 v housekeeping/output254/X (sky130_fd_sc_hd__buf_2)
1 0.04 flash_io0_do (net)
0.09 0.00 9.28 v padframe/flash_io0_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped)
13.19 10.37 19.65 v padframe/flash_io0_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
7 1.15 flash_io0 (net)
13.19 0.00 19.65 v flash_io0 (out)
19.65 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock network delay (propagated)
-0.25 24.75 clock uncertainty
0.00 24.75 clock reconvergence pessimism
-5.00 19.75 output external delay
19.75 data required time
-----------------------------------------------------------------------------
19.75 data required time
-19.65 data arrival time
-----------------------------------------------------------------------------
0.10 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: housekeeping/_9675_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33554_/S (sky130_fd_sc_hd__mux2_8)
0.17 0.61 11.12 v soc/core/_33554_/X (sky130_fd_sc_hd__mux2_8)
12 0.16 soc/core/_02133_ (net)
0.18 0.04 11.15 v soc/core/_17321_/A (sky130_fd_sc_hd__inv_2)
0.05 0.09 11.25 ^ soc/core/_17321_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net638 (net)
0.05 0.00 11.25 ^ soc/core/repeater1831/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.35 ^ soc/core/repeater1831/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1831 (net)
0.07 0.00 11.35 ^ soc/core/repeater1830/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.44 ^ soc/core/repeater1830/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1830 (net)
0.05 0.00 11.44 ^ soc/core/repeater1829/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.09 11.54 ^ soc/core/repeater1829/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1829 (net)
0.06 0.00 11.54 ^ soc/core/repeater1828/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.64 ^ soc/core/repeater1828/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1828 (net)
0.06 0.00 11.64 ^ soc/core/repeater1827/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 11.73 ^ soc/core/repeater1827/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1827 (net)
0.05 0.00 11.73 ^ soc/core/repeater1826/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.83 ^ soc/core/repeater1826/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1826 (net)
0.06 0.00 11.83 ^ soc/core/repeater1825/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.93 ^ soc/core/repeater1825/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1825 (net)
0.06 0.00 11.93 ^ soc/core/repeater1824/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.03 ^ soc/core/repeater1824/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1824 (net)
0.05 0.00 12.03 ^ soc/core/repeater1823/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 12.13 ^ soc/core/repeater1823/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1823 (net)
0.06 0.00 12.13 ^ soc/core/repeater1822/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.12 12.24 ^ soc/core/repeater1822/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1822 (net)
0.08 0.00 12.24 ^ soc/core/repeater1820/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.35 ^ soc/core/repeater1820/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1820 (net)
0.05 0.00 12.35 ^ soc/core/repeater1819/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 12.44 ^ soc/core/repeater1819/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1819 (net)
0.06 0.00 12.44 ^ soc/core/repeater1818/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 12.53 ^ soc/core/repeater1818/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1818 (net)
0.04 0.00 12.53 ^ soc/core/repeater1817/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.62 ^ soc/core/repeater1817/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1817 (net)
0.05 0.00 12.62 ^ soc/core/repeater1816/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.72 ^ soc/core/repeater1816/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1816 (net)
0.05 0.00 12.72 ^ soc/core/repeater1815/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 12.82 ^ soc/core/repeater1815/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1815 (net)
0.07 0.00 12.82 ^ soc/core/repeater1814/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 12.91 ^ soc/core/repeater1814/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1814 (net)
0.04 0.00 12.91 ^ soc/core/repeater1813/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 13.00 ^ soc/core/repeater1813/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1813 (net)
0.05 0.00 13.00 ^ soc/core/repeater1812/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 13.09 ^ soc/core/repeater1812/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1812 (net)
0.05 0.00 13.09 ^ soc/core/repeater1811/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 13.19 ^ soc/core/repeater1811/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1811 (net)
0.07 0.00 13.19 ^ soc/core/repeater1810/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 13.29 ^ soc/core/repeater1810/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1810 (net)
0.05 0.00 13.29 ^ soc/core/repeater1809/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.17 0.19 13.48 ^ soc/core/repeater1809/X (sky130_fd_sc_hd__dlymetal6s2s_1)
2 0.02 soc/core/net1809 (net)
0.17 0.00 13.48 ^ soc/core/repeater1808/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.20 13.68 ^ soc/core/repeater1808/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1808 (net)
0.16 0.00 13.68 ^ soc/core/repeater1807/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.18 0.23 13.91 ^ soc/core/repeater1807/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 soc/core/net1807 (net)
0.18 0.00 13.91 ^ soc/core/repeater1806/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.18 0.23 14.14 ^ soc/core/repeater1806/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 soc/core/net1806 (net)
0.18 0.00 14.14 ^ soc/core/repeater1805/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.17 0.22 14.36 ^ soc/core/repeater1805/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.01 soc/core/net1805 (net)
0.17 0.00 14.37 ^ soc/core/repeater1804/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.22 0.26 14.62 ^ soc/core/repeater1804/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 soc/core/net1804 (net)
0.22 0.00 14.62 ^ soc/core/repeater1803/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.18 0.24 14.87 ^ soc/core/repeater1803/X (sky130_fd_sc_hd__dlymetal6s2s_1)
2 0.02 soc/core/net1803 (net)
0.18 0.00 14.87 ^ soc/core/repeater1802/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.98 ^ soc/core/repeater1802/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1802 (net)
0.05 0.00 14.98 ^ soc/core/repeater1801/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.07 ^ soc/core/repeater1801/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1801 (net)
0.04 0.00 15.07 ^ soc/core/repeater1800/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.16 ^ soc/core/repeater1800/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1800 (net)
0.05 0.00 15.16 ^ soc/core/repeater1799/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.25 ^ soc/core/repeater1799/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1799 (net)
0.05 0.00 15.25 ^ soc/core/repeater1798/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.35 ^ soc/core/repeater1798/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1798 (net)
0.05 0.00 15.35 ^ soc/core/repeater1797/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.44 ^ soc/core/repeater1797/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1797 (net)
0.05 0.00 15.44 ^ soc/core/repeater1796/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.53 ^ soc/core/repeater1796/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1796 (net)
0.05 0.00 15.53 ^ soc/core/repeater1795/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.62 ^ soc/core/repeater1795/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1795 (net)
0.04 0.00 15.62 ^ soc/core/repeater1794/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1794/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1794 (net)
0.05 0.00 15.70 ^ soc/core/repeater1793/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.79 ^ soc/core/repeater1793/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1793 (net)
0.05 0.00 15.79 ^ soc/core/repeater1792/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.88 ^ soc/core/repeater1792/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1792 (net)
0.05 0.00 15.88 ^ soc/core/repeater1791/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.97 ^ soc/core/repeater1791/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1791 (net)
0.05 0.00 15.97 ^ soc/core/repeater1790/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.06 ^ soc/core/repeater1790/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1790 (net)
0.05 0.00 16.06 ^ soc/core/repeater1789/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.15 ^ soc/core/repeater1789/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1789 (net)
0.05 0.00 16.15 ^ soc/core/repeater1788/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 16.23 ^ soc/core/repeater1788/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1788 (net)
0.04 0.00 16.23 ^ soc/core/repeater1787/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.32 ^ soc/core/repeater1787/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1787 (net)
0.05 0.00 16.32 ^ soc/core/_32524_/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.14 16.45 ^ soc/core/_32524_/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 soc/core/net705 (net)
0.08 0.00 16.46 ^ soc/core/output705/A (sky130_fd_sc_hd__clkbuf_4)
0.06 0.16 16.61 ^ soc/core/output705/X (sky130_fd_sc_hd__clkbuf_4)
3 0.01 mprj_adr_o_core[4] (net)
0.06 0.00 16.61 ^ housekeeping/input157/A (sky130_fd_sc_hd__buf_4)
0.15 0.20 16.81 ^ housekeeping/input157/X (sky130_fd_sc_hd__buf_4)
7 0.05 housekeeping/net157 (net)
0.15 0.01 16.82 ^ housekeeping/_7894_/B (sky130_fd_sc_hd__or4_1)
0.06 0.16 16.98 ^ housekeeping/_7894_/X (sky130_fd_sc_hd__or4_1)
1 0.01 housekeeping/_3525_ (net)
0.06 0.00 16.98 ^ housekeeping/_7895_/A (sky130_fd_sc_hd__clkbuf_8)
0.13 0.21 17.18 ^ housekeeping/_7895_/X (sky130_fd_sc_hd__clkbuf_8)
12 0.07 housekeeping/_3526_ (net)
0.13 0.00 17.18 ^ housekeeping/_8216_/B (sky130_fd_sc_hd__or2_2)
0.12 0.21 17.39 ^ housekeeping/_8216_/X (sky130_fd_sc_hd__or2_2)
3 0.02 housekeeping/_3846_ (net)
0.12 0.00 17.39 ^ housekeeping/_8217_/D1 (sky130_fd_sc_hd__o2111ai_1)
0.09 0.12 17.51 v housekeeping/_8217_/Y (sky130_fd_sc_hd__o2111ai_1)
1 0.00 housekeeping/_3847_ (net)
0.09 0.00 17.51 v housekeeping/_8220_/A3 (sky130_fd_sc_hd__o32a_2)
0.09 0.41 17.92 v housekeeping/_8220_/X (sky130_fd_sc_hd__o32a_2)
1 0.02 housekeeping/_3850_ (net)
0.09 0.00 17.92 v housekeeping/_8224_/C (sky130_fd_sc_hd__or4b_2)
0.13 0.71 18.63 v housekeeping/_8224_/X (sky130_fd_sc_hd__or4b_2)
1 0.01 housekeeping/_3854_ (net)
0.13 0.00 18.63 v housekeeping/_8227_/B (sky130_fd_sc_hd__or4_1)
0.10 0.57 19.20 v housekeeping/_8227_/X (sky130_fd_sc_hd__or4_1)
1 0.01 housekeeping/_3857_ (net)
0.10 0.00 19.20 v housekeeping/_8231_/A (sky130_fd_sc_hd__or4_1)
0.09 0.57 19.77 v housekeeping/_8231_/X (sky130_fd_sc_hd__or4_1)
1 0.00 housekeeping/_3861_ (net)
0.09 0.00 19.77 v housekeeping/_8237_/A (sky130_fd_sc_hd__or4_1)
0.10 0.58 20.36 v housekeeping/_8237_/X (sky130_fd_sc_hd__or4_1)
1 0.01 housekeeping/_3867_ (net)
0.10 0.00 20.36 v housekeeping/_8241_/A (sky130_fd_sc_hd__or4_1)
0.10 0.58 20.94 v housekeeping/_8241_/X (sky130_fd_sc_hd__or4_1)
1 0.00 housekeeping/_3871_ (net)
0.10 0.00 20.94 v housekeeping/_8245_/A (sky130_fd_sc_hd__or3_1)
0.08 0.42 21.36 v housekeeping/_8245_/X (sky130_fd_sc_hd__or3_1)
1 0.01 housekeeping/_3875_ (net)
0.08 0.00 21.36 v housekeeping/_8248_/A (sky130_fd_sc_hd__or3_1)
0.06 0.39 21.75 v housekeeping/_8248_/X (sky130_fd_sc_hd__or3_1)
1 0.00 housekeeping/_3878_ (net)
0.06 0.00 21.75 v housekeeping/_8251_/A (sky130_fd_sc_hd__or2_1)
0.04 0.23 21.98 v housekeeping/_8251_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3881_ (net)
0.04 0.00 21.98 v housekeeping/_8253_/A (sky130_fd_sc_hd__or2_1)
0.04 0.22 22.20 v housekeeping/_8253_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3883_ (net)
0.04 0.00 22.20 v housekeeping/_8255_/A (sky130_fd_sc_hd__or2_1)
0.04 0.22 22.43 v housekeeping/_8255_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3885_ (net)
0.04 0.00 22.43 v housekeeping/_8257_/A (sky130_fd_sc_hd__or2_1)
0.05 0.23 22.65 v housekeeping/_8257_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3887_ (net)
0.05 0.00 22.65 v housekeeping/_8259_/A (sky130_fd_sc_hd__or2_1)
0.05 0.24 22.89 v housekeeping/_8259_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3889_ (net)
0.05 0.00 22.89 v housekeeping/_8261_/A (sky130_fd_sc_hd__or2_1)
0.04 0.22 23.12 v housekeeping/_8261_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3891_ (net)
0.04 0.00 23.12 v housekeeping/_8263_/A (sky130_fd_sc_hd__or2_1)
0.09 0.28 23.40 v housekeeping/_8263_/X (sky130_fd_sc_hd__or2_1)
1 0.01 housekeeping/_3893_ (net)
0.09 0.00 23.40 v housekeeping/_8265_/A (sky130_fd_sc_hd__or2_1)
0.04 0.24 23.64 v housekeeping/_8265_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3895_ (net)
0.04 0.00 23.64 v housekeeping/_8267_/A (sky130_fd_sc_hd__or2_1)
0.05 0.24 23.88 v housekeeping/_8267_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3897_ (net)
0.05 0.00 23.88 v housekeeping/_8269_/A (sky130_fd_sc_hd__or2_1)
0.05 0.23 24.12 v housekeeping/_8269_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3899_ (net)
0.05 0.00 24.12 v housekeeping/_8271_/A (sky130_fd_sc_hd__or2_1)
0.08 0.27 24.39 v housekeeping/_8271_/X (sky130_fd_sc_hd__or2_1)
1 0.01 housekeeping/_3901_ (net)
0.08 0.00 24.39 v housekeeping/_8273_/A (sky130_fd_sc_hd__or2_1)
0.05 0.24 24.63 v housekeeping/_8273_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3903_ (net)
0.05 0.00 24.63 v housekeeping/_8275_/A (sky130_fd_sc_hd__or2_2)
0.14 0.42 25.05 v housekeeping/_8275_/X (sky130_fd_sc_hd__or2_2)
1 0.04 housekeeping/_3905_ (net)
0.14 0.01 25.06 v housekeeping/_8280_/A_N (sky130_fd_sc_hd__nand3b_1)
0.05 0.19 25.25 v housekeeping/_8280_/Y (sky130_fd_sc_hd__nand3b_1)
1 0.00 housekeeping/_3910_ (net)
0.05 0.00 25.25 v housekeeping/_8281_/B (sky130_fd_sc_hd__or2_1)
0.05 0.21 25.47 v housekeeping/_8281_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3911_ (net)
0.05 0.00 25.47 v housekeeping/_8283_/A (sky130_fd_sc_hd__or2_1)
0.05 0.24 25.71 v housekeeping/_8283_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3913_ (net)
0.05 0.00 25.71 v housekeeping/_8284_/B (sky130_fd_sc_hd__or2_1)
0.04 0.20 25.91 v housekeeping/_8284_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3914_ (net)
0.04 0.00 25.91 v housekeeping/_8285_/B (sky130_fd_sc_hd__or2_1)
0.04 0.20 26.11 v housekeeping/_8285_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3915_ (net)
0.04 0.00 26.11 v housekeeping/_8286_/C (sky130_fd_sc_hd__or3_1)
0.06 0.30 26.41 v housekeeping/_8286_/X (sky130_fd_sc_hd__or3_1)
1 0.00 housekeeping/_3916_ (net)
0.06 0.00 26.41 v housekeeping/_8287_/B (sky130_fd_sc_hd__or2_1)
0.06 0.23 26.65 v housekeeping/_8287_/X (sky130_fd_sc_hd__or2_1)
1 0.01 housekeeping/_3917_ (net)
0.06 0.00 26.65 v housekeeping/_8288_/B1 (sky130_fd_sc_hd__a31o_1)
0.04 0.20 26.84 v housekeeping/_8288_/X (sky130_fd_sc_hd__a31o_1)
1 0.00 housekeeping/_3918_ (net)
0.04 0.00 26.84 v housekeeping/_8289_/B1 (sky130_fd_sc_hd__a31o_1)
0.05 0.21 27.05 v housekeeping/_8289_/X (sky130_fd_sc_hd__a31o_1)
1 0.01 housekeeping/_3919_ (net)
0.05 0.00 27.05 v housekeeping/_8290_/B (sky130_fd_sc_hd__or2_1)
0.04 0.21 27.25 v housekeeping/_8290_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3920_ (net)
0.04 0.00 27.25 v housekeeping/_8291_/C (sky130_fd_sc_hd__or3_1)
0.06 0.31 27.57 v housekeeping/_8291_/X (sky130_fd_sc_hd__or3_1)
1 0.00 housekeeping/_3921_ (net)
0.06 0.00 27.57 v housekeeping/_8292_/B (sky130_fd_sc_hd__nor2_1)
0.07 0.08 27.65 ^ housekeeping/_8292_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 housekeeping/_3922_ (net)
0.07 0.00 27.65 ^ housekeeping/_8294_/A (sky130_fd_sc_hd__or2_1)
0.06 0.12 27.77 ^ housekeeping/_8294_/X (sky130_fd_sc_hd__or2_1)
1 0.00 housekeeping/_3924_ (net)
0.06 0.00 27.77 ^ housekeeping/_8295_/B (sky130_fd_sc_hd__and2_1)
0.04 0.12 27.89 ^ housekeeping/_8295_/X (sky130_fd_sc_hd__and2_1)
1 0.00 housekeeping/_3925_ (net)
0.04 0.00 27.89 ^ housekeeping/_8296_/B (sky130_fd_sc_hd__nand2_1)
0.06 0.07 27.97 v housekeeping/_8296_/Y (sky130_fd_sc_hd__nand2_1)
1 0.01 housekeeping/_0179_ (net)
0.06 0.00 27.97 v housekeeping/_8969_/A0 (sky130_fd_sc_hd__mux2_4)
0.11 0.37 28.33 v housekeeping/_8969_/X (sky130_fd_sc_hd__mux2_4)
1 0.05 housekeeping/_4401_ (net)
0.11 0.01 28.34 v housekeeping/_5044_/A1 (sky130_fd_sc_hd__a22o_1)
0.05 0.25 28.59 v housekeeping/_5044_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 housekeeping/_0904_ (net)
0.05 0.00 28.59 v housekeeping/_9675_/D (sky130_fd_sc_hd__dfrtp_1)
28.59 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.03 housekeeping/clknet_0_wb_clk_i (net)
0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net)
0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net)
0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net)
0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net)
0.38 0.01 29.88 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.25 29.63 clock uncertainty
0.69 30.31 clock reconvergence pessimism
-0.04 30.28 library setup time
30.28 data required time
-----------------------------------------------------------------------------
30.28 data required time
-28.59 data arrival time
-----------------------------------------------------------------------------
1.69 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[8]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.01 25.53 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.73 2.19 27.72 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.23 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[8] (net)
2.74 0.07 27.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[8]/D (sky130_fd_sc_hd__dfxtp_1)
27.79 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[8]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.16 30.75 library setup time
30.75 data required time
-----------------------------------------------------------------------------
30.75 data required time
-27.79 data arrival time
-----------------------------------------------------------------------------
2.96 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[20]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.81 2.21 27.61 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.24 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[20] (net)
2.83 0.08 27.70 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[20]/D (sky130_fd_sc_hd__dfxtp_1)
27.70 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.04 30.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[20]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.20 clock uncertainty
0.69 30.89 clock reconvergence pessimism
-0.16 30.73 library setup time
30.73 data required time
-----------------------------------------------------------------------------
30.73 data required time
-27.70 data arrival time
-----------------------------------------------------------------------------
3.04 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[13]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.01 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.63 2.13 27.65 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[13] (net)
2.63 0.05 27.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[13]/D (sky130_fd_sc_hd__dfxtp_1)
27.71 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[13]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.15 30.76 library setup time
30.76 data required time
-----------------------------------------------------------------------------
30.76 data required time
-27.71 data arrival time
-----------------------------------------------------------------------------
3.05 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[14]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.01 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.63 2.15 27.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[14] (net)
2.64 0.03 27.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[14]/D (sky130_fd_sc_hd__dfxtp_1)
27.71 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[14]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.15 30.76 library setup time
30.76 data required time
-----------------------------------------------------------------------------
30.76 data required time
-27.71 data arrival time
-----------------------------------------------------------------------------
3.05 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[15]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.01 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.59 2.10 27.62 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[15] (net)
2.60 0.08 27.70 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[15]/D (sky130_fd_sc_hd__dfxtp_1)
27.70 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[15]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.15 30.76 library setup time
30.76 data required time
-----------------------------------------------------------------------------
30.76 data required time
-27.70 data arrival time
-----------------------------------------------------------------------------
3.07 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[11]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.00 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.57 2.09 27.61 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[11] (net)
2.59 0.05 27.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[11]/D (sky130_fd_sc_hd__dfxtp_1)
27.66 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[11]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.15 30.76 library setup time
30.76 data required time
-----------------------------------------------------------------------------
30.76 data required time
-27.66 data arrival time
-----------------------------------------------------------------------------
3.10 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[12]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.01 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.55 2.06 27.59 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[12] (net)
2.55 0.07 27.65 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[12]/D (sky130_fd_sc_hd__dfxtp_1)
27.65 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[12]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.14 30.77 library setup time
30.77 data required time
-----------------------------------------------------------------------------
30.77 data required time
-27.65 data arrival time
-----------------------------------------------------------------------------
3.11 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[21]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.72 2.15 27.55 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.23 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[21] (net)
2.74 0.07 27.62 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[21]/D (sky130_fd_sc_hd__dfxtp_1)
27.62 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.05 30.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[21]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.21 clock uncertainty
0.69 30.90 clock reconvergence pessimism
-0.16 30.74 library setup time
30.74 data required time
-----------------------------------------------------------------------------
30.74 data required time
-27.62 data arrival time
-----------------------------------------------------------------------------
3.12 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[19]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.69 2.13 27.53 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.23 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[19] (net)
2.71 0.08 27.61 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[19]/D (sky130_fd_sc_hd__dfxtp_1)
27.61 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.03 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[19]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.19 clock uncertainty
0.69 30.88 clock reconvergence pessimism
-0.15 30.73 library setup time
30.73 data required time
-----------------------------------------------------------------------------
30.73 data required time
-27.61 data arrival time
-----------------------------------------------------------------------------
3.12 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[10]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.01 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.56 2.08 27.61 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[10] (net)
2.56 0.04 27.65 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[10]/D (sky130_fd_sc_hd__dfxtp_1)
27.65 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[10]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.15 30.77 library setup time
30.77 data required time
-----------------------------------------------------------------------------
30.77 data required time
-27.65 data arrival time
-----------------------------------------------------------------------------
3.12 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[9]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.16 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.10 0.00 24.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2)
0.05 0.23 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net)
0.05 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.48 0.43 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net)
0.48 0.00 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.35 0.45 25.52 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net)
0.35 0.01 25.53 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.52 2.04 27.57 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[9] (net)
2.52 0.08 27.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[9]/D (sky130_fd_sc_hd__dfxtp_1)
27.64 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[9]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.14 30.77 library setup time
30.77 data required time
-----------------------------------------------------------------------------
30.77 data required time
-27.64 data arrival time
-----------------------------------------------------------------------------
3.13 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[29]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.06 0.22 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.06 0.25 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.SEL (net)
0.06 0.00 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.36 25.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.39 0.01 25.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.33 0.39 25.44 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.SEL_B (net)
0.33 0.00 25.44 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.69 2.15 27.59 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.23 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[29] (net)
2.70 0.06 27.65 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[29]/D (sky130_fd_sc_hd__dfxtp_1)
27.65 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.09 30.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[29]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.25 clock uncertainty
0.69 30.94 clock reconvergence pessimism
-0.15 30.78 library setup time
30.78 data required time
-----------------------------------------------------------------------------
30.78 data required time
-27.65 data arrival time
-----------------------------------------------------------------------------
3.13 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[13]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.35 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.53 2.02 27.53 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[13] (net)
2.55 0.07 27.60 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[13]/D (sky130_fd_sc_hd__dfxtp_1)
27.60 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.09 30.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[13]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.25 clock uncertainty
0.69 30.94 clock reconvergence pessimism
-0.14 30.80 library setup time
30.80 data required time
-----------------------------------------------------------------------------
30.80 data required time
-27.60 data arrival time
-----------------------------------------------------------------------------
3.20 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[6]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.36 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.49 2.00 27.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[6] (net)
2.49 0.04 27.54 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[6]/D (sky130_fd_sc_hd__dfxtp_1)
27.54 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.05 30.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[6]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.21 clock uncertainty
0.69 30.90 clock reconvergence pessimism
-0.14 30.76 library setup time
30.76 data required time
-----------------------------------------------------------------------------
30.76 data required time
-27.54 data arrival time
-----------------------------------------------------------------------------
3.22 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[19]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.44 0.38 23.69 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.A_buf[3] (net)
0.44 0.00 23.70 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.25 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.04 0.19 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.00 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.04 0.00 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.12 0.00 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2)
0.06 0.26 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2)
1 0.01 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SEL (net)
0.06 0.00 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.48 25.05 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.10 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.SEL (net)
0.55 0.01 25.06 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.39 0.50 25.55 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SEL_B (net)
0.39 0.01 25.57 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.59 2.12 27.69 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_pre[19] (net)
2.60 0.01 27.71 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[19]/D (sky130_fd_sc_hd__dfxtp_1)
27.71 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.45 1.63 30.45 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.84 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[0].RAM32.CLK (net)
2.47 0.18 30.62 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[19]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.37 clock uncertainty
0.69 31.06 clock reconvergence pessimism
-0.14 30.92 library setup time
30.92 data required time
-----------------------------------------------------------------------------
30.92 data required time
-27.71 data arrival time
-----------------------------------------------------------------------------
3.22 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[18]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.59 2.06 27.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[18] (net)
2.60 0.05 27.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[18]/D (sky130_fd_sc_hd__dfxtp_1)
27.51 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.03 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[18]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.19 clock uncertainty
0.69 30.88 clock reconvergence pessimism
-0.15 30.73 library setup time
30.73 data required time
-----------------------------------------------------------------------------
30.73 data required time
-27.51 data arrival time
-----------------------------------------------------------------------------
3.23 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[16]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.57 2.04 27.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[16] (net)
2.59 0.07 27.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[16]/D (sky130_fd_sc_hd__dfxtp_1)
27.51 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.04 30.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[16]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.20 clock uncertainty
0.69 30.89 clock reconvergence pessimism
-0.15 30.74 library setup time
30.74 data required time
-----------------------------------------------------------------------------
30.74 data required time
-27.51 data arrival time
-----------------------------------------------------------------------------
3.23 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[1]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.36 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.50 2.00 27.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[1] (net)
2.50 0.05 27.55 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[1]/D (sky130_fd_sc_hd__dfxtp_1)
27.55 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.08 30.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[1]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.24 clock uncertainty
0.69 30.93 clock reconvergence pessimism
-0.14 30.78 library setup time
30.78 data required time
-----------------------------------------------------------------------------
30.78 data required time
-27.55 data arrival time
-----------------------------------------------------------------------------
3.23 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[21]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.44 0.38 23.69 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.A_buf[3] (net)
0.44 0.00 23.70 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.25 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.04 0.19 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.00 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.04 0.00 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.12 0.00 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2)
0.06 0.26 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2)
1 0.01 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SEL (net)
0.06 0.00 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.48 25.05 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.10 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.SEL (net)
0.55 0.01 25.06 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.39 0.50 25.55 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SEL_B (net)
0.39 0.01 25.57 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.56 2.10 27.67 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_pre[21] (net)
2.56 0.01 27.67 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[21]/D (sky130_fd_sc_hd__dfxtp_1)
27.67 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.45 1.63 30.45 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.84 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[0].RAM32.CLK (net)
2.47 0.18 30.62 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[21]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.37 clock uncertainty
0.69 31.06 clock reconvergence pessimism
-0.14 30.93 library setup time
30.93 data required time
-----------------------------------------------------------------------------
30.93 data required time
-27.67 data arrival time
-----------------------------------------------------------------------------
3.26 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[10]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.35 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.49 1.98 27.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[10] (net)
2.49 0.06 27.55 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[10]/D (sky130_fd_sc_hd__dfxtp_1)
27.55 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.10 30.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[10]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.26 clock uncertainty
0.69 30.95 clock reconvergence pessimism
-0.14 30.81 library setup time
30.81 data required time
-----------------------------------------------------------------------------
30.81 data required time
-27.55 data arrival time
-----------------------------------------------------------------------------
3.26 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[4]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.36 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.46 1.98 27.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[4] (net)
2.46 0.02 27.52 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[4]/D (sky130_fd_sc_hd__dfxtp_1)
27.52 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.08 30.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[4]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.24 clock uncertainty
0.69 30.93 clock reconvergence pessimism
-0.14 30.79 library setup time
30.79 data required time
-----------------------------------------------------------------------------
30.79 data required time
-27.52 data arrival time
-----------------------------------------------------------------------------
3.27 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[23]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.50 1.98 27.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[23] (net)
2.51 0.08 27.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[23]/D (sky130_fd_sc_hd__dfxtp_1)
27.46 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.03 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[23]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.19 clock uncertainty
0.69 30.88 clock reconvergence pessimism
-0.14 30.74 library setup time
30.74 data required time
-----------------------------------------------------------------------------
30.74 data required time
-27.46 data arrival time
-----------------------------------------------------------------------------
3.28 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[9]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.35 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.46 1.97 27.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[9] (net)
2.46 0.05 27.52 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[9]/D (sky130_fd_sc_hd__dfxtp_1)
27.52 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.09 30.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[9]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.25 clock uncertainty
0.69 30.94 clock reconvergence pessimism
-0.14 30.80 library setup time
30.80 data required time
-----------------------------------------------------------------------------
30.80 data required time
-27.52 data arrival time
-----------------------------------------------------------------------------
3.28 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[12]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.35 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.48 2.01 27.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[12] (net)
2.49 0.01 27.53 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[12]/D (sky130_fd_sc_hd__dfxtp_1)
27.53 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.10 30.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[12]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.26 clock uncertainty
0.69 30.95 clock reconvergence pessimism
-0.14 30.81 library setup time
30.81 data required time
-----------------------------------------------------------------------------
30.81 data required time
-27.53 data arrival time
-----------------------------------------------------------------------------
3.28 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[22]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.52 2.00 27.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[22] (net)
2.53 0.06 27.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[22]/D (sky130_fd_sc_hd__dfxtp_1)
27.46 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.04 30.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[22]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.20 clock uncertainty
0.69 30.89 clock reconvergence pessimism
-0.14 30.75 library setup time
30.75 data required time
-----------------------------------------------------------------------------
30.75 data required time
-27.46 data arrival time
-----------------------------------------------------------------------------
3.29 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[9]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.06 0.22 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2)
0.06 0.25 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net)
0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.43 0.39 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net)
0.43 0.01 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net)
0.22 0.00 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.58 2.06 27.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[9] (net)
2.59 0.04 27.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[9]/D (sky130_fd_sc_hd__dfxtp_1)
27.47 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.06 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[9]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.22 clock uncertainty
0.69 30.91 clock reconvergence pessimism
-0.15 30.76 library setup time
30.76 data required time
-----------------------------------------------------------------------------
30.76 data required time
-27.47 data arrival time
-----------------------------------------------------------------------------
3.29 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[12]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.06 0.22 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2)
0.06 0.25 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net)
0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.43 0.39 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net)
0.43 0.01 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net)
0.22 0.00 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.54 2.01 27.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[12] (net)
2.54 0.06 27.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[12]/D (sky130_fd_sc_hd__dfxtp_1)
27.44 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.03 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[12]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.19 clock uncertainty
0.69 30.88 clock reconvergence pessimism
-0.14 30.74 library setup time
30.74 data required time
-----------------------------------------------------------------------------
30.74 data required time
-27.44 data arrival time
-----------------------------------------------------------------------------
3.30 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[22]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2)
0.06 0.25 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net)
0.06 0.00 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.49 0.44 25.12 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net)
0.49 0.00 25.12 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.27 0.36 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.05 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.SEL_B (net)
0.27 0.00 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.44 1.96 27.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[22] (net)
2.45 0.04 27.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[22]/D (sky130_fd_sc_hd__dfxtp_1)
27.48 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.07 30.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[22]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.23 clock uncertainty
0.69 30.92 clock reconvergence pessimism
-0.14 30.78 library setup time
30.78 data required time
-----------------------------------------------------------------------------
30.78 data required time
-27.48 data arrival time
-----------------------------------------------------------------------------
3.30 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[0]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.36 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.41 1.94 27.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[0] (net)
2.42 0.04 27.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[0]/D (sky130_fd_sc_hd__dfxtp_1)
27.49 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.08 30.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[0]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.24 clock uncertainty
0.69 30.93 clock reconvergence pessimism
-0.14 30.79 library setup time
30.79 data required time
-----------------------------------------------------------------------------
30.79 data required time
-27.49 data arrival time
-----------------------------------------------------------------------------
3.30 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[17]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.01 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.28 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net)
0.12 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2)
0.18 0.21 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net)
0.18 0.00 24.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.45 0.44 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net)
0.45 0.02 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.SEL_B (net)
0.22 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.49 1.98 27.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[17] (net)
2.50 0.06 27.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[17]/D (sky130_fd_sc_hd__dfxtp_1)
27.44 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.03 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[17]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.19 clock uncertainty
0.69 30.88 clock reconvergence pessimism
-0.14 30.74 library setup time
30.74 data required time
-----------------------------------------------------------------------------
30.74 data required time
-27.44 data arrival time
-----------------------------------------------------------------------------
3.31 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[19]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.29 0.39 25.54 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.05 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.SEL_B (net)
0.29 0.00 25.54 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.37 1.93 27.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[19] (net)
2.38 0.01 27.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[19]/D (sky130_fd_sc_hd__dfxtp_1)
27.49 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.09 30.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[19]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.25 clock uncertainty
0.69 30.94 clock reconvergence pessimism
-0.13 30.80 library setup time
30.80 data required time
-----------------------------------------------------------------------------
30.80 data required time
-27.49 data arrival time
-----------------------------------------------------------------------------
3.32 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[11]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.06 0.22 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2)
0.06 0.25 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net)
0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.43 0.39 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net)
0.43 0.01 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net)
0.22 0.00 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.51 2.01 27.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[11] (net)
2.52 0.04 27.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[11]/D (sky130_fd_sc_hd__dfxtp_1)
27.42 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.03 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[11]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.19 clock uncertainty
0.69 30.88 clock reconvergence pessimism
-0.14 30.74 library setup time
30.74 data required time
-----------------------------------------------------------------------------
30.74 data required time
-27.42 data arrival time
-----------------------------------------------------------------------------
3.32 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[17]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.44 0.38 23.69 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.A_buf[3] (net)
0.44 0.00 23.70 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.25 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.04 0.19 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.00 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.04 0.00 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.12 0.00 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2)
0.06 0.26 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2)
1 0.01 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SEL (net)
0.06 0.00 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.48 25.05 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.10 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.SEL (net)
0.55 0.01 25.06 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.39 0.50 25.55 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SEL_B (net)
0.39 0.01 25.56 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.46 2.04 27.60 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_pre[17] (net)
2.46 0.01 27.61 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[17]/D (sky130_fd_sc_hd__dfxtp_1)
27.61 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.45 1.63 30.45 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.84 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[0].RAM32.CLK (net)
2.47 0.18 30.62 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[17]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.37 clock uncertainty
0.69 31.06 clock reconvergence pessimism
-0.13 30.93 library setup time
30.93 data required time
-----------------------------------------------------------------------------
30.93 data required time
-27.61 data arrival time
-----------------------------------------------------------------------------
3.32 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[2]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.36 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.38 1.91 27.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[2] (net)
2.38 0.04 27.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[2]/D (sky130_fd_sc_hd__dfxtp_1)
27.46 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.07 30.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[2]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.23 clock uncertainty
0.69 30.92 clock reconvergence pessimism
-0.13 30.78 library setup time
30.78 data required time
-----------------------------------------------------------------------------
30.78 data required time
-27.46 data arrival time
-----------------------------------------------------------------------------
3.32 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[8]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.35 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.42 1.95 27.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[8] (net)
2.42 0.03 27.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[8]/D (sky130_fd_sc_hd__dfxtp_1)
27.49 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.10 30.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[8]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.26 clock uncertainty
0.69 30.95 clock reconvergence pessimism
-0.14 30.81 library setup time
30.81 data required time
-----------------------------------------------------------------------------
30.81 data required time
-27.49 data arrival time
-----------------------------------------------------------------------------
3.32 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[15]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.35 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.42 1.95 27.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[15] (net)
2.42 0.02 27.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[15]/D (sky130_fd_sc_hd__dfxtp_1)
27.48 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.09 30.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[15]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.25 clock uncertainty
0.69 30.94 clock reconvergence pessimism
-0.14 30.80 library setup time
30.80 data required time
-----------------------------------------------------------------------------
30.80 data required time
-27.48 data arrival time
-----------------------------------------------------------------------------
3.33 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[10]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.06 0.22 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2)
0.06 0.25 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net)
0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.43 0.39 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net)
0.43 0.01 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net)
0.22 0.00 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.50 2.00 27.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[10] (net)
2.51 0.05 27.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[10]/D (sky130_fd_sc_hd__dfxtp_1)
27.41 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.04 30.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[10]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.20 clock uncertainty
0.69 30.89 clock reconvergence pessimism
-0.14 30.74 library setup time
30.74 data required time
-----------------------------------------------------------------------------
30.74 data required time
-27.41 data arrival time
-----------------------------------------------------------------------------
3.33 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[23]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.44 0.38 23.69 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.A_buf[3] (net)
0.44 0.00 23.70 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.25 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.04 0.19 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.00 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.04 0.00 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.12 0.00 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2)
0.06 0.26 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2)
1 0.01 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SEL (net)
0.06 0.00 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.48 25.05 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.10 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.SEL (net)
0.55 0.01 25.06 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.39 0.50 25.55 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SEL_B (net)
0.39 0.01 25.57 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.46 2.03 27.59 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_pre[23] (net)
2.47 0.01 27.60 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[23]/D (sky130_fd_sc_hd__dfxtp_1)
27.60 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.45 1.63 30.45 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.84 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[0].RAM32.CLK (net)
2.47 0.18 30.62 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[23]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.37 clock uncertainty
0.69 31.06 clock reconvergence pessimism
-0.13 30.93 library setup time
30.93 data required time
-----------------------------------------------------------------------------
30.93 data required time
-27.60 data arrival time
-----------------------------------------------------------------------------
3.33 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[8]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.06 0.22 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2)
0.06 0.25 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net)
0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.43 0.39 25.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net)
0.43 0.01 25.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.22 0.30 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net)
0.22 0.00 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.51 2.00 27.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[8] (net)
2.51 0.04 27.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[8]/D (sky130_fd_sc_hd__dfxtp_1)
27.41 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.04 30.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[8]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.20 clock uncertainty
0.69 30.89 clock reconvergence pessimism
-0.14 30.75 library setup time
30.75 data required time
-----------------------------------------------------------------------------
30.75 data required time
-27.41 data arrival time
-----------------------------------------------------------------------------
3.34 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[7]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.36 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.37 1.90 27.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[7] (net)
2.37 0.05 27.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[7]/D (sky130_fd_sc_hd__dfxtp_1)
27.45 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.08 30.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[7]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.24 clock uncertainty
0.69 30.93 clock reconvergence pessimism
-0.13 30.79 library setup time
30.79 data required time
-----------------------------------------------------------------------------
30.79 data required time
-27.45 data arrival time
-----------------------------------------------------------------------------
3.34 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[12]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.06 0.22 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2)
0.06 0.25 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net)
0.06 0.00 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.49 0.44 25.12 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net)
0.50 0.01 25.13 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.24 0.33 25.46 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SEL_B (net)
0.24 0.00 25.46 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.45 1.95 27.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[12] (net)
2.45 0.04 27.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[12]/D (sky130_fd_sc_hd__dfxtp_1)
27.45 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.09 30.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[12]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.25 clock uncertainty
0.69 30.94 clock reconvergence pessimism
-0.14 30.80 library setup time
30.80 data required time
-----------------------------------------------------------------------------
30.80 data required time
-27.45 data arrival time
-----------------------------------------------------------------------------
3.35 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[29]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.05 0.20 24.24 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.05 0.00 24.24 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 24.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.12 0.00 24.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.06 0.26 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.SEL (net)
0.06 0.00 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.46 25.12 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.52 0.00 25.12 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.27 0.36 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.05 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.SEL_B (net)
0.27 0.00 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.38 1.93 27.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[29] (net)
2.39 0.01 27.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[29]/D (sky130_fd_sc_hd__dfxtp_1)
27.43 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.07 30.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[29]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.23 clock uncertainty
0.69 30.92 clock reconvergence pessimism
-0.14 30.78 library setup time
30.78 data required time
-----------------------------------------------------------------------------
30.78 data required time
-27.43 data arrival time
-----------------------------------------------------------------------------
3.35 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[16]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.44 0.38 23.69 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.A_buf[3] (net)
0.44 0.00 23.70 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.25 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 23.95 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.04 0.19 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.00 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.04 0.00 24.14 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.12 0.00 24.31 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2)
0.06 0.26 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2)
1 0.01 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SEL (net)
0.06 0.00 24.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.55 0.48 25.05 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.10 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.SEL (net)
0.55 0.01 25.06 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.39 0.50 25.55 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
16 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.SEL_B (net)
0.39 0.01 25.57 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.42 2.00 27.57 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_pre[16] (net)
2.42 0.01 27.58 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[16]/D (sky130_fd_sc_hd__dfxtp_1)
27.58 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.45 1.63 30.45 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.84 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[0].RAM32.CLK (net)
2.47 0.18 30.62 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[16]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.37 clock uncertainty
0.69 31.06 clock reconvergence pessimism
-0.13 30.93 library setup time
30.93 data required time
-----------------------------------------------------------------------------
30.93 data required time
-27.58 data arrival time
-----------------------------------------------------------------------------
3.36 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[11]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.35 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.SEL_B (net)
0.26 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.37 1.92 27.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[11] (net)
2.38 0.02 27.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[11]/D (sky130_fd_sc_hd__dfxtp_1)
27.45 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.10 30.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[11]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.26 clock uncertainty
0.69 30.95 clock reconvergence pessimism
-0.13 30.81 library setup time
30.81 data required time
-----------------------------------------------------------------------------
30.81 data required time
-27.45 data arrival time
-----------------------------------------------------------------------------
3.36 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[18]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.00 23.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net)
0.11 0.00 24.02 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4)
0.04 0.20 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net)
0.04 0.00 24.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.16 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net)
0.11 0.00 24.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.05 0.24 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SEL (net)
0.05 0.00 24.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.52 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.61 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.29 0.39 25.54 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.05 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.SEL_B (net)
0.29 0.00 25.54 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.31 1.89 27.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[18] (net)
2.32 0.01 27.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[18]/D (sky130_fd_sc_hd__dfxtp_1)
27.44 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.09 30.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[18]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.25 clock uncertainty
0.69 30.94 clock reconvergence pessimism
-0.13 30.81 library setup time
30.81 data required time
-----------------------------------------------------------------------------
30.81 data required time
-27.44 data arrival time
-----------------------------------------------------------------------------
3.37 slack (MET)
Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[3]
(rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 soc/core/clknet_0_core_clk (net)
0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_0_core_clk (net)
0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_1_core_clk (net)
0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_2_core_clk (net)
0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_1_1_3_core_clk (net)
0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.06 soc/core/clknet_1_1_4_core_clk (net)
0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_2_3_0_core_clk (net)
0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.05 soc/core/clknet_2_3_1_core_clk (net)
0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_0_core_clk (net)
0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_3_6_1_core_clk (net)
0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 soc/core/clknet_3_6_2_core_clk (net)
0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 soc/core/clknet_4_12_0_core_clk (net)
0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 soc/core/clknet_5_24_0_core_clk (net)
0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4)
0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4)
34 0.29 soc/core/clknet_5_24_1_core_clk (net)
0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.04 soc/core/clknet_leaf_244_core_clk (net)
0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 soc/core/grant[1] (net)
0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1)
0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1)
1 0.01 soc/core/_07039_ (net)
0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12)
0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12)
14 0.14 soc/core/_07040_ (net)
0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12)
0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12)
78 0.47 soc/core/_02059_ (net)
0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8)
1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8)
64 0.40 soc/core/_02060_ (net)
1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8)
0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8)
48 0.38 soc/core/_02137_ (net)
0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2)
0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 soc/core/net639 (net)
0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1633 (net)
0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1632 (net)
0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1631 (net)
0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1630 (net)
0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1629 (net)
0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1628 (net)
0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1627 (net)
0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1626 (net)
0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1625 (net)
0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1624 (net)
0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1623 (net)
0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1622 (net)
0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1621 (net)
0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1620 (net)
0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1619 (net)
0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1)
0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1618 (net)
0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1605 (net)
0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1604 (net)
0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1603 (net)
0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1)
0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1602 (net)
0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1601 (net)
0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1600 (net)
0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1599 (net)
0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1598 (net)
0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1597 (net)
0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1596 (net)
0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1595 (net)
0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1)
0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1594 (net)
0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1)
0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1593 (net)
0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1592 (net)
0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1591 (net)
0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1)
1 0.02 soc/core/net1590 (net)
0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1589 (net)
0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1588 (net)
0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1587 (net)
0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1586 (net)
0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1585 (net)
0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1584 (net)
0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1583 (net)
0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1582 (net)
0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1581 (net)
0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1580 (net)
0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1579 (net)
0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1578 (net)
0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1577 (net)
0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1576 (net)
0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1575 (net)
0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1574 (net)
0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1573 (net)
0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1572 (net)
0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1571 (net)
0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1570 (net)
0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1569 (net)
0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1568 (net)
0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1567 (net)
0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1566 (net)
0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1565 (net)
0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1564 (net)
0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1563 (net)
0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1562 (net)
0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1561 (net)
0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1)
0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1560 (net)
0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1559 (net)
0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1558 (net)
0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1557 (net)
0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1556 (net)
0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1555 (net)
0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1554 (net)
0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1553 (net)
0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1552 (net)
0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1)
0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1)
2 0.01 soc/core/net1551 (net)
0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1)
0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1550 (net)
0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1549 (net)
0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1548 (net)
0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1547 (net)
0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2)
2 0.04 soc/core/net1546 (net)
0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1545 (net)
0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1544 (net)
0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 soc/core/net1543 (net)
0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2)
0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2)
2 0.09 soc/core/net1542 (net)
0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2)
0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 soc/core/net1541 (net)
0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1)
0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 soc/core/net1540 (net)
0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1)
0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1539 (net)
0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1538 (net)
0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1537 (net)
0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1536 (net)
0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1535 (net)
0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1534 (net)
0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1533 (net)
0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1532 (net)
0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1531 (net)
0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1530 (net)
0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1529 (net)
0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1528 (net)
0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1527 (net)
0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1526 (net)
0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1525 (net)
0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1524 (net)
0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 soc/core/net1523 (net)
0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4)
4 0.01 soc/mgmt_soc_dff_A[3] (net)
0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.52 0.45 23.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net)
0.52 0.02 23.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.27 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net)
0.11 0.00 24.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4)
0.06 0.22 24.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4)
1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net)
0.06 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)
8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net)
0.11 0.00 24.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2)
0.06 0.25 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2)
1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.SEL (net)
0.06 0.00 24.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2)
0.38 0.36 25.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2)
16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SEL (net)
0.38 0.00 25.04 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1)
0.26 0.33 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1)
8 0.05 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SEL_B (net)
0.26 0.00 25.37 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2)
2.48 2.00 27.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[3] (net)
2.49 0.04 27.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[3]/D (sky130_fd_sc_hd__dfxtp_1)
27.41 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock source latency
0.00 0.00 25.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4)
2.22 1.60 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4)
264 0.76 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net)
2.22 0.07 30.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[3]/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 30.23 clock uncertainty
0.69 30.92 clock reconvergence pessimism
-0.14 30.77 library setup time
30.77 data required time
-----------------------------------------------------------------------------
30.77 data required time
-27.41 data arrival time
-----------------------------------------------------------------------------
3.37 slack (MET)
worst slack -0.46
worst slack -0.07
Management Area Interface
No paths found.
User project Interface
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
No paths found.
Flash output Interface
Startpoint: soc/core/_34932_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: flash_clk (output port clocked by clock)
Path Group: clock
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock clock (rise edge)
8.02 8.02 clock network delay (propagated)
0.00 8.02 ^ soc/core/_34932_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.36 8.38 v soc/core/_34932_/Q (sky130_fd_sc_hd__dfxtp_1)
0.14 8.52 v soc/core/output241/X (sky130_fd_sc_hd__clkbuf_4)
0.19 8.71 v housekeeping/input83/X (sky130_fd_sc_hd__buf_6)
0.34 9.05 v housekeeping/_8837_/X (sky130_fd_sc_hd__mux2_1)
0.25 9.31 v housekeeping/output250/X (sky130_fd_sc_hd__clkbuf_1)
10.44 19.75 v padframe/flash_clk_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0.00 19.75 v flash_clk (out)
19.75 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock network delay (propagated)
-0.25 24.75 clock uncertainty
0.00 24.75 clock reconvergence pessimism
-5.00 19.75 output external delay
19.75 data required time
---------------------------------------------------------
19.75 data required time
-19.75 data arrival time
---------------------------------------------------------
0.00 slack (MET)
Startpoint: soc/core/_38911_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: flash_csb (output port clocked by clock)
Path Group: clock
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock clock (rise edge)
7.92 7.92 clock network delay (propagated)
0.00 7.92 ^ soc/core/_38911_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.40 8.32 v soc/core/_38911_/Q (sky130_fd_sc_hd__dfxtp_1)
0.39 8.70 ^ soc/core/_20112_/Y (sky130_fd_sc_hd__nor3_4)
0.17 8.87 v soc/core/_20115_/Y (sky130_fd_sc_hd__nand2_8)
0.20 9.07 v soc/core/output242/X (sky130_fd_sc_hd__clkbuf_4)
0.19 9.26 v housekeeping/input84/X (sky130_fd_sc_hd__buf_4)
0.37 9.63 v housekeeping/_8836_/X (sky130_fd_sc_hd__mux2_2)
0.21 9.84 v housekeeping/output252/X (sky130_fd_sc_hd__buf_2)
10.37 20.21 v padframe/flash_csb_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0.00 20.21 v flash_csb (out)
20.21 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock network delay (propagated)
-0.25 24.75 clock uncertainty
0.00 24.75 clock reconvergence pessimism
-5.00 19.75 output external delay
19.75 data required time
---------------------------------------------------------
19.75 data required time
-20.21 data arrival time
---------------------------------------------------------
-0.46 slack (VIOLATED)
Startpoint: soc/core/_34930_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: flash_io0 (output port clocked by clock)
Path Group: clock
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock clock (rise edge)
8.01 8.01 clock network delay (propagated)
0.00 8.01 ^ soc/core/_34930_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.34 8.34 v soc/core/_34930_/Q (sky130_fd_sc_hd__dfxtp_1)
0.13 8.47 v soc/core/output243/X (sky130_fd_sc_hd__clkbuf_4)
0.22 8.69 v housekeeping/input85/X (sky130_fd_sc_hd__buf_4)
0.37 9.06 v housekeeping/_8838_/X (sky130_fd_sc_hd__mux2_2)
0.22 9.28 v housekeeping/output254/X (sky130_fd_sc_hd__buf_2)
10.37 19.65 v padframe/flash_io0_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0.00 19.65 v flash_io0 (out)
19.65 data arrival time
25.00 25.00 clock clock (rise edge)
0.00 25.00 clock network delay (propagated)
-0.25 24.75 clock uncertainty
0.00 24.75 clock reconvergence pessimism
-5.00 19.75 output external delay
19.75 data required time
---------------------------------------------------------
19.75 data required time
-19.65 data arrival time
---------------------------------------------------------
0.10 slack (MET)
% Startpoint: mprj/mprj/_5157_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: mprj/mprj/_5158_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 3.81 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.22 4.03 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.03 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.12 4.15 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.15 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.24 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.24 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.11 4.35 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 4.35 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.09 4.44 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 4.45 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.27 0.24 4.69 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.05 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.27 0.01 4.70 ^ mprj/mprj/clkbuf_leaf_10_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.20 4.90 ^ mprj/mprj/clkbuf_leaf_10_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
19 0.04 mprj/mprj/clknet_leaf_10_wb_clk_i (net)
0.06 0.00 4.90 ^ mprj/mprj/_5157_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.32 5.22 v mprj/mprj/_5157_/Q (sky130_fd_sc_hd__dfxtp_1)
5 0.01 mprj/mprj/soc.spi_video_ram_1.write_fifo.read_pointer[1] (net)
0.07 0.00 5.22 v mprj/mprj/_4101_/A (sky130_fd_sc_hd__and3_1)
0.06 0.17 5.39 v mprj/mprj/_4101_/X (sky130_fd_sc_hd__and3_1)
4 0.01 mprj/mprj/_1714_ (net)
0.06 0.00 5.39 v mprj/mprj/_4105_/A2 (sky130_fd_sc_hd__o21ai_1)
0.07 0.11 5.50 ^ mprj/mprj/_4105_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.00 mprj/mprj/_1717_ (net)
0.07 0.00 5.50 ^ mprj/mprj/_4106_/B (sky130_fd_sc_hd__nor2_1)
0.02 0.04 5.54 v mprj/mprj/_4106_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 mprj/mprj/_0186_ (net)
0.03 0.00 5.55 v mprj/mprj/_5158_/D (sky130_fd_sc_hd__dfxtp_1)
5.55 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clock (in)
1 1.12 clock (net)
0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
6 1.12 clock (net)
0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
4 0.01 clock_core (net)
0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 clocking/clknet_0_ext_clk (net)
0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2)
0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2)
1 0.00 clocking/clknet_1_1_0_ext_clk (net)
0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1)
2 0.00 clocking/_037_ (net)
0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1)
0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1)
4 0.03 clocking/net10 (net)
0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1)
0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1)
10 0.03 caravel_clk (net)
0.32 0.00 4.50 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_1)
0.19 0.24 4.74 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1)
2 0.02 mgmt_buffers/net1 (net)
0.19 0.00 4.74 ^ mgmt_buffers/_391_/A (sky130_fd_sc_hd__clkinv_2)
0.09 0.14 4.88 v mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2)
2 0.02 mgmt_buffers/_000_ (net)
0.09 0.00 4.88 v mgmt_buffers/mprj_clk_buf/A (sky130_fd_sc_hd__einvp_8)
0.06 0.09 4.97 ^ mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8)
1 0.02 mprj_clock (net)
0.06 0.00 4.97 ^ mprj/mprj/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.12 5.10 ^ mprj/mprj/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.01 mprj/mprj/clknet_0_wb_clk_i (net)
0.03 0.00 5.10 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 5.20 ^ mprj/mprj/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
2 0.01 mprj/mprj/clknet_1_1_0_wb_clk_i (net)
0.04 0.00 5.20 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2)
0.27 0.27 5.47 ^ mprj/mprj/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2)
6 0.05 mprj/mprj/clknet_2_3_0_wb_clk_i (net)
0.27 0.01 5.48 ^ mprj/mprj/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.56 0.60 6.08 ^ mprj/mprj/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
129 0.56 mprj/mprj/clknet_leaf_11_wb_clk_i (net)
0.56 0.00 6.08 ^ mprj/mprj/_5158_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 6.33 clock uncertainty
-0.78 5.55 clock reconvergence pessimism
0.06 5.61 library hold time
5.61 data required time
-----------------------------------------------------------------------------
5.61 data required time
-5.55 data arrival time
-----------------------------------------------------------------------------
-0.07 slack (VIOLATED)
% No paths found.
% No paths found.
% Startpoint Endpoint Slack
--------------------------------------------------------------------------------
mprj/mprj/_5157_/Q (sky130_fd_sc_hd__dfxtp_1) mprj/mprj/_5158_/D (sky130_fd_sc_hd__dfxtp_1) -0.07
% Error: report_checks -trough is not a known keyword or flag.
% No paths found.
% Startpoint Endpoint Slack
--------------------------------------------------------------------------------
mprj/mprj/_5157_/Q (sky130_fd_sc_hd__dfxtp_1) mprj/mprj/_5158_/D (sky130_fd_sc_hd__dfxtp_1) -0.07
% No paths found.
% No paths found.
% invalid command name "mprj/mprj/clkbuf_leaf_11_wb_clk_i/A"
% No paths found.
% No paths found.
% No paths found.
% invalid command name "mprj/mprj/clknet_2_3_0_wb_clk_i"
% Error: Usage: get_fanout -from source_list [-flat] [-only_cells] [-endpoints_only] [-levels level_count] [-pin_levels pin_count] [-trace_arcs timing|enabled|all]
% _c0cb469408560000_p_Pin _a0ce469408560000_p_Pin _b0d0469408560000_p_Pin _c0d2469408560000_p_Pin _d0d4469408560000_p_Pin _e0d6469408560000_p_Pin _f0d8469408560000_p_Pin _00db469408560000_p_Pin _10dd469408560000_p_Pin _20df469408560000_p_Pin _30e1469408560000_p_Pin _40e3469408560000_p_Pin _50e5469408560000_p_Pin _60e7469408560000_p_Pin _70e9469408560000_p_Pin _a0fd469408560000_p_Pin _b0ff469408560000_p_Pin _0041489408560000_p_Pin _1043489408560000_p_Pin _00d34a9408560000_p_Pin _40d34a9408560000_p_Pin
% pin
pin
pin
pin
pin
pin
pin
pin
pin
pin
pin
pin
pin
pin
pin
pin
pin
pin
pin
pin
pin
% % Error: get_property pin is not an object.
% % mprj/mprj/_5133_
mprj/mprj/_5134_
mprj/mprj/_5135_
mprj/mprj/_5136_
mprj/mprj/_5137_
mprj/mprj/_5138_
mprj/mprj/_5139_
mprj/mprj/_5140_
mprj/mprj/_5141_
mprj/mprj/_5142_
mprj/mprj/_5143_
mprj/mprj/_5144_
mprj/mprj/_5145_
mprj/mprj/_5146_
mprj/mprj/_5147_
mprj/mprj/_5156_
mprj/mprj/_5157_
mprj/mprj/_5312_
mprj/mprj/_5313_
mprj/mprj/clkbuf_leaf_10_wb_clk_i
mprj/mprj/clkbuf_leaf_10_wb_clk_i
% Warning: object 'mprj/mprj/clknet_2_3_0_wb_clk_i/X' not found.
% % % mprj/mprj/_5154_
% % % mprj/mprj/_5154_
% Error: get_fanout -through is not a known keyword or flag.
% Warning: instance 'soc.spi_video_ram_1.write_fifo' not found.
% Warning: instance 'mprj/mprj/soc.spi_video_ram_1.write_fifo' not found.
% _e069119408560000_p_Instance _5065129408560000_p_Instance _2067129408560000_p_Instance _f068129408560000_p_Instance _206a129408560000_p_Instance _c06b129408560000_p_Instance _f06c129408560000_p_Instance _906e129408560000_p_Instance _c06f129408560000_p_Instance _6071129408560000_p_Instance _3073129408560000_p_Instance _1075129408560000_p_Instance _f076129408560000_p_Instance _c078129408560000_p_Instance _b07a129408560000_p_Instance _e07b129408560000_p_Instance _a07d129408560000_p_Instance _d07e129408560000_p_Instance _9080129408560000_p_Instance _c081129408560000_p_Instance _6083129408560000_p_Instance _3085129408560000_p_Instance _6086129408560000_p_Instance _0088129408560000_p_Instance _3089129408560000_p_Instance _d08a129408560000_p_Instance _a08c129408560000_p_Instance _d08d129408560000_p_Instance _708f129408560000_p_Instance _4091129408560000_p_Instance _7092129408560000_p_Instance _2094129408560000_p_Instance _0096129408560000_p_Instance _d097129408560000_p_Instance _0099129408560000_p_Instance _a09a129408560000_p_Instance _d09b129408560000_p_Instance _709d129408560000_p_Instance _a09e129408560000_p_Instance _209f129408560000_p_Instance _e0a0129408560000_p_Instance _90a1129408560000_p_Instance _30a2129408560000_p_Instance _d0a2129408560000_p_Instance _90a4129408560000_p_Instance _40a5129408560000_p_Instance _00a7129408560000_p_Instance _b0a7129408560000_p_Instance _50a8129408560000_p_Instance _10aa129408560000_p_Instance _e0ab129408560000_p_Instance _90ac129408560000_p_Instance _30ad129408560000_p_Instance _d0ad129408560000_p_Instance _70ae129408560000_p_Instance _30b0129408560000_p_Instance _00b2129408560000_p_Instance _b0b2129408560000_p_Instance _50b3129408560000_p_Instance _f0b3129408560000_p_Instance _b0b5129408560000_p_Instance _60b6129408560000_p_Instance _20b8129408560000_p_Instance _d0b8129408560000_p_Instance _70b9129408560000_p_Instance _10ba129408560000_p_Instance _b0ba129408560000_p_Instance _70bc129408560000_p_Instance _20bd129408560000_p_Instance _c0bd129408560000_p_Instance _80bf129408560000_p_Instance _30c0129408560000_p_Instance _f0c1129408560000_p_Instance _a0c2129408560000_p_Instance _60c4129408560000_p_Instance _30c6129408560000_p_Instance _e0c6129408560000_p_Instance _80c7129408560000_p_Instance _20c8129408560000_p_Instance _e0c9129408560000_p_Instance _b0cb129408560000_p_Instance _60cc129408560000_p_Instance _20ce129408560000_p_Instance _d0ce129408560000_p_Instance _70cf129408560000_p_Instance _10d0129408560000_p_Instance _d0d1129408560000_p_Instance _a0d3129408560000_p_Instance _50d4129408560000_p_Instance _10d6129408560000_p_Instance _c0d6129408560000_p_Instance _60d7129408560000_p_Instance _00d8129408560000_p_Instance _a0d8129408560000_p_Instance _60da129408560000_p_Instance _10db129408560000_p_Instance _b0db129408560000_p_Instance _50dc129408560000_p_Instance _10de129408560000_p_Instance _c0de129408560000_p_Instance _60df129408560000_p_Instance _00e0129408560000_p_Instance _a0e0129408560000_p_Instance _40e1129408560000_p_Instance _e0e1129408560000_p_Instance _80e2129408560000_p_Instance _20e3129408560000_p_Instance _c0e3129408560000_p_Instance _80e5129408560000_p_Instance _30e6129408560000_p_Instance _d0e6129408560000_p_Instance _70e7129408560000_p_Instance _10e8129408560000_p_Instance _d0e9129408560000_p_Instance _80ea129408560000_p_Instance _20eb129408560000_p_Instance _c0eb129408560000_p_Instance _60ec129408560000_p_Instance _00ed129408560000_p_Instance _a0ed129408560000_p_Instance _40ee129408560000_p_Instance _00f0129408560000_p_Instance _b0f0129408560000_p_Instance _70f2129408560000_p_Instance _20f3129408560000_p_Instance _c0f3129408560000_p_Instance _60f4129408560000_p_Instance _00f5129408560000_p_Instance _c0f6129408560000_p_Instance _90f8129408560000_p_Instance _40f9129408560000_p_Instance _00fb129408560000_p_Instance _b0fb129408560000_p_Instance _50fc129408560000_p_Instance _f0fc129408560000_p_Instance _b0fe129408560000_p_Instance _60ff129408560000_p_Instance _0000139408560000_p_Instance _a000139408560000_p_Instance _4001139408560000_p_Instance _0003139408560000_p_Instance _b003139408560000_p_Instance _7005139408560000_p_Instance _2006139408560000_p_Instance _c006139408560000_p_Instance _6007139408560000_p_Instance _2009139408560000_p_Instance _d009139408560000_p_Instance _700a139408560000_p_Instance _300c139408560000_p_Instance _000e139408560000_p_Instance _b00e139408560000_p_Instance _500f139408560000_p_Instance _1011139408560000_p_Instance _c011139408560000_p_Instance _8013139408560000_p_Instance _5015139408560000_p_Instance _0016139408560000_p_Instance _a016139408560000_p_Instance _4017139408560000_p_Instance _e017139408560000_p_Instance _a019139408560000_p_Instance _701b139408560000_p_Instance _401d139408560000_p_Instance _f01d139408560000_p_Instance _901e139408560000_p_Instance _301f139408560000_p_Instance _f020139408560000_p_Instance _a021139408560000_p_Instance _4022139408560000_p_Instance _0024139408560000_p_Instance _b024139408560000_p_Instance _5025139408560000_p_Instance _f025139408560000_p_Instance _b027139408560000_p_Instance _6028139408560000_p_Instance _0029139408560000_p_Instance _a029139408560000_p_Instance _402a139408560000_p_Instance _e02a139408560000_p_Instance _802b139408560000_p_Instance _402d139408560000_p_Instance _f02d139408560000_p_Instance _902e139408560000_p_Instance _302f139408560000_p_Instance _f030139408560000_p_Instance _a031139408560000_p_Instance _4032139408560000_p_Instance _e032139408560000_p_Instance _a034139408560000_p_Instance _5035139408560000_p_Instance _f035139408560000_p_Instance _9036139408560000_p_Instance _5038139408560000_p_Instance _0039139408560000_p_Instance _a039139408560000_p_Instance _403a139408560000_p_Instance _003c139408560000_p_Instance _b03c139408560000_p_Instance _503d139408560000_p_Instance _f03d139408560000_p_Instance _903e139408560000_p_Instance _5040139408560000_p_Instance _2042139408560000_p_Instance _d042139408560000_p_Instance _9044139408560000_p_Instance _4045139408560000_p_Instance _e045139408560000_p_Instance _8046139408560000_p_Instance _4048139408560000_p_Instance _f048139408560000_p_Instance _9049139408560000_p_Instance _304a139408560000_p_Instance _f04b139408560000_p_Instance _a04c139408560000_p_Instance _404d139408560000_p_Instance _e04d139408560000_p_Instance _804e139408560000_p_Instance _204f139408560000_p_Instance _e050139408560000_p_Instance _9051139408560000_p_Instance _5053139408560000_p_Instance _0054139408560000_p_Instance _c055139408560000_p_Instance _7056139408560000_p_Instance _3058139408560000_p_Instance _e058139408560000_p_Instance _8059139408560000_p_Instance _405b139408560000_p_Instance _f05b139408560000_p_Instance _b05d139408560000_p_Instance _605e139408560000_p_Instance _005f139408560000_p_Instance _c060139408560000_p_Instance _7061139408560000_p_Instance _1062139408560000_p_Instance _b062139408560000_p_Instance _5063139408560000_p_Instance _f063139408560000_p_Instance _9064139408560000_p_Instance _3065139408560000_p_Instance _d065139408560000_p_Instance _7066139408560000_p_Instance _3068139408560000_p_Instance _e068139408560000_p_Instance _a06a139408560000_p_Instance _506b139408560000_p_Instance _f06b139408560000_p_Instance _906c139408560000_p_Instance _506e139408560000_p_Instance _006f139408560000_p_Instance _a06f139408560000_p_Instance _4070139408560000_p_Instance _e070139408560000_p_Instance _8071139408560000_p_Instance _4073139408560000_p_Instance _f073139408560000_p_Instance _9074139408560000_p_Instance _3075139408560000_p_Instance _f076139408560000_p_Instance _a077139408560000_p_Instance _6079139408560000_p_Instance _107a139408560000_p_Instance _d07b139408560000_p_Instance _807c139408560000_p_Instance _407e139408560000_p_Instance _f07e139408560000_p_Instance _907f139408560000_p_Instance _5081139408560000_p_Instance _2083139408560000_p_Instance _d083139408560000_p_Instance _7084139408560000_p_Instance _1085139408560000_p_Instance _b085139408560000_p_Instance _7087139408560000_p_Instance _2088139408560000_p_Instance _c088139408560000_p_Instance _6089139408560000_p_Instance _208b139408560000_p_Instance _d08b139408560000_p_Instance _708c139408560000_p_Instance _308e139408560000_p_Instance _e08e139408560000_p_Instance _808f139408560000_p_Instance _2090139408560000_p_Instance _e091139408560000_p_Instance _9092139408560000_p_Instance _3093139408560000_p_Instance _d093139408560000_p_Instance _9095139408560000_p_Instance _4096139408560000_p_Instance _0098139408560000_p_Instance _b098139408560000_p_Instance _709a139408560000_p_Instance _209b139408560000_p_Instance _e09c139408560000_p_Instance _909d139408560000_p_Instance _309e139408560000_p_Instance _d09e139408560000_p_Instance _90a0139408560000_p_Instance _40a1139408560000_p_Instance _00a3139408560000_p_Instance _b0a3139408560000_p_Instance _70a5139408560000_p_Instance _20a6139408560000_p_Instance _e0a7139408560000_p_Instance _90a8139408560000_p_Instance _30a9139408560000_p_Instance _d0a9139408560000_p_Instance _90ab139408560000_p_Instance _40ac139408560000_p_Instance _00ae139408560000_p_Instance _b0ae139408560000_p_Instance _50af139408560000_p_Instance _f0af139408560000_p_Instance _90b0139408560000_p_Instance _50b2139408560000_p_Instance _20b4139408560000_p_Instance _f0b5139408560000_p_Instance _a0b6139408560000_p_Instance _60b8139408560000_p_Instance _30ba139408560000_p_Instance _00bc139408560000_p_Instance _b0bc139408560000_p_Instance _70be139408560000_p_Instance _40c0139408560000_p_Instance _f0c0139408560000_p_Instance _90c1139408560000_p_Instance _50c3139408560000_p_Instance _00c4139408560000_p_Instance _c0c5139408560000_p_Instance _70c6139408560000_p_Instance _30c8139408560000_p_Instance _00ca139408560000_p_Instance _b0ca139408560000_p_Instance _50cb139408560000_p_Instance _f0cb139408560000_p_Instance _90cc139408560000_p_Instance _30cd139408560000_p_Instance _d0cd139408560000_p_Instance _70ce139408560000_p_Instance _10cf139408560000_p_Instance _d0d0139408560000_p_Instance _80d1139408560000_p_Instance _20d2139408560000_p_Instance _e0d3139408560000_p_Instance _90d4139408560000_p_Instance _50d6139408560000_p_Instance _20d8139408560000_p_Instance _f0d9139408560000_p_Instance _a0da139408560000_p_Instance _40db139408560000_p_Instance _e0db139408560000_p_Instance _a0dd139408560000_p_Instance _50de139408560000_p_Instance _f0de139408560000_p_Instance _90df139408560000_p_Instance _30e0139408560000_p_Instance _f0e1139408560000_p_Instance _c0e3139408560000_p_Instance _70e4139408560000_p_Instance _30e6139408560000_p_Instance _e0e6139408560000_p_Instance _80e7139408560000_p_Instance _20e8139408560000_p_Instance _c0e8139408560000_p_Instance _80ea139408560000_p_Instance _50ec139408560000_p_Instance _00ed139408560000_p_Instance _c0ee139408560000_p_Instance _90f0139408560000_p_Instance _60f2139408560000_p_Instance _30f4139408560000_p_Instance _00f6139408560000_p_Instance _d0f7139408560000_p_Instance _a0f9139408560000_p_Instance _70fb139408560000_p_Instance _20fc139408560000_p_Instance _e0fd139408560000_p_Instance _90fe139408560000_p_Instance _5000149408560000_p_Instance _2002149408560000_p_Instance _d002149408560000_p_Instance _9004149408560000_p_Instance _6006149408560000_p_Instance _3008149408560000_p_Instance _e008149408560000_p_Instance _a00a149408560000_p_Instance _500b149408560000_p_Instance _f00b149408560000_p_Instance _900c149408560000_p_Instance _300d149408560000_p_Instance _d00d149408560000_p_Instance _900f149408560000_p_Instance _4010149408560000_p_Instance _e010149408560000_p_Instance _a012149408560000_p_Instance _5013149408560000_p_Instance _f013149408560000_p_Instance _b015149408560000_p_Instance _6016149408560000_p_Instance _0017149408560000_p_Instance _a017149408560000_p_Instance _6019149408560000_p_Instance _101a149408560000_p_Instance _b01a149408560000_p_Instance _501b149408560000_p_Instance _f01b149408560000_p_Instance _901c149408560000_p_Instance _301d149408560000_p_Instance _d01d149408560000_p_Instance _701e149408560000_p_Instance _101f149408560000_p_Instance _b01f149408560000_p_Instance _7021149408560000_p_Instance _4023149408560000_p_Instance _1025149408560000_p_Instance _c025149408560000_p_Instance _8027149408560000_p_Instance _3028149408560000_p_Instance _d028149408560000_p_Instance _7029149408560000_p_Instance _102a149408560000_p_Instance _b02a149408560000_p_Instance _502b149408560000_p_Instance _102d149408560000_p_Instance _c02d149408560000_p_Instance _602e149408560000_p_Instance _2030149408560000_p_Instance _d030149408560000_p_Instance _7031149408560000_p_Instance _1032149408560000_p_Instance _b032149408560000_p_Instance _5033149408560000_p_Instance _f033149408560000_p_Instance _9034149408560000_p_Instance _5036149408560000_p_Instance _0037149408560000_p_Instance _a037149408560000_p_Instance _4038149408560000_p_Instance _e038149408560000_p_Instance _8039149408560000_p_Instance _203a149408560000_p_Instance _c03a149408560000_p_Instance _603b149408560000_p_Instance _003c149408560000_p_Instance _c03d149408560000_p_Instance _703e149408560000_p_Instance _103f149408560000_p_Instance _b03f149408560000_p_Instance _7041149408560000_p_Instance _2042149408560000_p_Instance _c042149408560000_p_Instance _8044149408560000_p_Instance _3045149408560000_p_Instance _d045149408560000_p_Instance _7046149408560000_p_Instance _3048149408560000_p_Instance _e048149408560000_p_Instance _8049149408560000_p_Instance _204a149408560000_p_Instance _e04b149408560000_p_Instance _904c149408560000_p_Instance _304d149408560000_p_Instance _d04d149408560000_p_Instance _704e149408560000_p_Instance _3050149408560000_p_Instance _e050149408560000_p_Instance _8051149408560000_p_Instance _2052149408560000_p_Instance _c052149408560000_p_Instance _8054149408560000_p_Instance _5056149408560000_p_Instance _0057149408560000_p_Instance _c058149408560000_p_Instance _7059149408560000_p_Instance _105a149408560000_p_Instance _b05a149408560000_p_Instance _505b149408560000_p_Instance _f05b149408560000_p_Instance _905c149408560000_p_Instance _305d149408560000_p_Instance _d05d149408560000_p_Instance _705e149408560000_p_Instance _105f149408560000_p_Instance _b05f149408560000_p_Instance _7061149408560000_p_Instance _2062149408560000_p_Instance _c062149408560000_p_Instance _6063149408560000_p_Instance _0064149408560000_p_Instance _a064149408560000_p_Instance _6066149408560000_p_Instance _1067149408560000_p_Instance _b067149408560000_p_Instance _5068149408560000_p_Instance _106a149408560000_p_Instance _c06a149408560000_p_Instance _806c149408560000_p_Instance _306d149408560000_p_Instance _d06d149408560000_p_Instance _906f149408560000_p_Instance _4070149408560000_p_Instance _0072149408560000_p_Instance _b072149408560000_p_Instance _5073149408560000_p_Instance _1075149408560000_p_Instance _c075149408560000_p_Instance _8077149408560000_p_Instance _5079149408560000_p_Instance _007a149408560000_p_Instance _c07b149408560000_p_Instance _907d149408560000_p_Instance _607f149408560000_p_Instance _3081149408560000_p_Instance _e081149408560000_p_Instance _a083149408560000_p_Instance _7085149408560000_p_Instance _4087149408560000_p_Instance _f087149408560000_p_Instance _b089149408560000_p_Instance _608a149408560000_p_Instance _008b149408560000_p_Instance _a08b149408560000_p_Instance _608d149408560000_p_Instance _108e149408560000_p_Instance _b08e149408560000_p_Instance _508f149408560000_p_Instance _f08f149408560000_p_Instance _b091149408560000_p_Instance _6092149408560000_p_Instance _0093149408560000_p_Instance _c094149408560000_p_Instance _9096149408560000_p_Instance _4097149408560000_p_Instance _0099149408560000_p_Instance _b099149408560000_p_Instance _509a149408560000_p_Instance _f09a149408560000_p_Instance _909b149408560000_p_Instance _509d149408560000_p_Instance _009e149408560000_p_Instance _c09f149408560000_p_Instance _70a0149408560000_p_Instance _30a2149408560000_p_Instance _00a4149408560000_p_Instance _b0a4149408560000_p_Instance _50a5149408560000_p_Instance _f0a5149408560000_p_Instance _90a6149408560000_p_Instance _50a8149408560000_p_Instance _00a9149408560000_p_Instance _a0a9149408560000_p_Instance _40aa149408560000_p_Instance _00ac149408560000_p_Instance _b0ac149408560000_p_Instance _70ae149408560000_p_Instance _20af149408560000_p_Instance _c0af149408560000_p_Instance _60b0149408560000_p_Instance _20b2149408560000_p_Instance _d0b2149408560000_p_Instance _90b4149408560000_p_Instance _60b6149408560000_p_Instance _10b7149408560000_p_Instance _b0b7149408560000_p_Instance _50b8149408560000_p_Instance _10ba149408560000_p_Instance _c0ba149408560000_p_Instance _80bc149408560000_p_Instance _50be149408560000_p_Instance _00bf149408560000_p_Instance _a0bf149408560000_p_Instance _60c1149408560000_p_Instance _10c2149408560000_p_Instance _b0c2149408560000_p_Instance _70c4149408560000_p_Instance _40c6149408560000_p_Instance _f0c6149408560000_p_Instance _90c7149408560000_p_Instance _30c8149408560000_p_Instance _f0c9149408560000_p_Instance _a0ca149408560000_p_Instance _40cb149408560000_p_Instance _e0cb149408560000_p_Instance _80cc149408560000_p_Instance _40ce149408560000_p_Instance _f0ce149408560000_p_Instance _b0d0149408560000_p_Instance _80d2149408560000_p_Instance _30d3149408560000_p_Instance _d0d3149408560000_p_Instance _90d5149408560000_p_Instance _40d6149408560000_p_Instance _e0d6149408560000_p_Instance _80d7149408560000_p_Instance _40d9149408560000_p_Instance _10db149408560000_p_Instance _c0db149408560000_p_Instance _80dd149408560000_p_Instance _30de149408560000_p_Instance _f0df149408560000_p_Instance _a0e0149408560000_p_Instance _40e1149408560000_p_Instance _00e3149408560000_p_Instance _b0e3149408560000_p_Instance _50e4149408560000_p_Instance _f0e4149408560000_p_Instance _b0e6149408560000_p_Instance _60e7149408560000_p_Instance _00e8149408560000_p_Instance _a0e8149408560000_p_Instance _60ea149408560000_p_Instance _10eb149408560000_p_Instance _b0eb149408560000_p_Instance _50ec149408560000_p_Instance _10ee149408560000_p_Instance _c0ee149408560000_p_Instance _60ef149408560000_p_Instance _20f1149408560000_p_Instance _d0f1149408560000_p_Instance _70f2149408560000_p_Instance _10f3149408560000_p_Instance _d0f4149408560000_p_Instance _a0f6149408560000_p_Instance _50f7149408560000_p_Instance _f0f7149408560000_p_Instance _90f8149408560000_p_Instance _50fa149408560000_p_Instance _00fb149408560000_p_Instance _c0fc149408560000_p_Instance _70fd149408560000_p_Instance _10fe149408560000_p_Instance _b0fe149408560000_p_Instance _7000159408560000_p_Instance _4002159408560000_p_Instance _f002159408560000_p_Instance _9003159408560000_p_Instance _5005159408560000_p_Instance _2007159408560000_p_Instance _d007159408560000_p_Instance _7008159408560000_p_Instance _1009159408560000_p_Instance _b009159408560000_p_Instance _500a159408560000_p_Instance _f00a159408560000_p_Instance _900b159408560000_p_Instance _300c159408560000_p_Instance _d00c159408560000_p_Instance _900e159408560000_p_Instance _400f159408560000_p_Instance _e00f159408560000_p_Instance _8010159408560000_p_Instance _2011159408560000_p_Instance _c011159408560000_p_Instance _6012159408560000_p_Instance _0013159408560000_p_Instance _a013159408560000_p_Instance _6015159408560000_p_Instance _1016159408560000_p_Instance _b016159408560000_p_Instance _5017159408560000_p_Instance _f017159408560000_p_Instance _9018159408560000_p_Instance _501a159408560000_p_Instance _001b159408560000_p_Instance _a01b159408560000_p_Instance _601d159408560000_p_Instance _101e159408560000_p_Instance _b01e159408560000_p_Instance _501f159408560000_p_Instance _f01f159408560000_p_Instance _9020159408560000_p_Instance _3021159408560000_p_Instance _d021159408560000_p_Instance _7022159408560000_p_Instance _1023159408560000_p_Instance _d024159408560000_p_Instance _8025159408560000_p_Instance _4027159408560000_p_Instance _f027159408560000_p_Instance _9028159408560000_p_Instance _3029159408560000_p_Instance _d029159408560000_p_Instance _702a159408560000_p_Instance _302c159408560000_p_Instance _e02c159408560000_p_Instance _802d159408560000_p_Instance _202e159408560000_p_Instance _e02f159408560000_p_Instance _9030159408560000_p_Instance _3031159408560000_p_Instance _d031159408560000_p_Instance _9033159408560000_p_Instance _4034159408560000_p_Instance _e034159408560000_p_Instance _8035159408560000_p_Instance _4037159408560000_p_Instance _f037159408560000_p_Instance _b039159408560000_p_Instance _803b159408560000_p_Instance _303c159408560000_p_Instance _d03c159408560000_p_Instance _703d159408560000_p_Instance _303f159408560000_p_Instance _e03f159408560000_p_Instance _8040159408560000_p_Instance _2041159408560000_p_Instance _e042159408560000_p_Instance _9043159408560000_p_Instance _3044159408560000_p_Instance _f045159408560000_p_Instance _a046159408560000_p_Instance _4047159408560000_p_Instance _0049159408560000_p_Instance _d04a159408560000_p_Instance _804b159408560000_p_Instance _204c159408560000_p_Instance _c04c159408560000_p_Instance _804e159408560000_p_Instance _304f159408560000_p_Instance _d04f159408560000_p_Instance _7050159408560000_p_Instance _1051159408560000_p_Instance _b051159408560000_p_Instance _5052159408560000_p_Instance _1054159408560000_p_Instance _e055159408560000_p_Instance _9056159408560000_p_Instance _3057159408560000_p_Instance _f058159408560000_p_Instance _a059159408560000_p_Instance _605b159408560000_p_Instance _105c159408560000_p_Instance _b05c159408560000_p_Instance _505d159408560000_p_Instance _105f159408560000_p_Instance _e060159408560000_p_Instance _9061159408560000_p_Instance _3062159408560000_p_Instance _f063159408560000_p_Instance _a064159408560000_p_Instance _4065159408560000_p_Instance _e065159408560000_p_Instance _8066159408560000_p_Instance _2067159408560000_p_Instance _c067159408560000_p_Instance _8069159408560000_p_Instance _306a159408560000_p_Instance _d06a159408560000_p_Instance _906c159408560000_p_Instance _406d159408560000_p_Instance _e06d159408560000_p_Instance _806e159408560000_p_Instance _206f159408560000_p_Instance _c06f159408560000_p_Instance _6070159408560000_p_Instance _0071159408560000_p_Instance _c072159408560000_p_Instance _7073159408560000_p_Instance _1074159408560000_p_Instance _b074159408560000_p_Instance _5075159408560000_p_Instance _1077159408560000_p_Instance _c077159408560000_p_Instance _8079159408560000_p_Instance _307a159408560000_p_Instance _d07a159408560000_p_Instance _707b159408560000_p_Instance _307d159408560000_p_Instance _e07d159408560000_p_Instance _807e159408560000_p_Instance _207f159408560000_p_Instance _e080159408560000_p_Instance _9081159408560000_p_Instance _5083159408560000_p_Instance _0084159408560000_p_Instance _c085159408560000_p_Instance _9087159408560000_p_Instance _6089159408560000_p_Instance _308b159408560000_p_Instance _e08b159408560000_p_Instance _808c159408560000_p_Instance _408e159408560000_p_Instance _1090159408560000_p_Instance _e091159408560000_p_Instance _b093159408560000_p_Instance _6094159408560000_p_Instance _2096159408560000_p_Instance _f097159408560000_p_Instance _a098159408560000_p_Instance _4099159408560000_p_Instance _e099159408560000_p_Instance _809a159408560000_p_Instance _409c159408560000_p_Instance _f09c159408560000_p_Instance _b09e159408560000_p_Instance _609f159408560000_p_Instance _00a0159408560000_p_Instance _c0a1159408560000_p_Instance _70a2159408560000_p_Instance _30a4159408560000_p_Instance _e0a4159408560000_p_Instance _80a5159408560000_p_Instance _20a6159408560000_p_Instance _c0a6159408560000_p_Instance _60a7159408560000_p_Instance _00a8159408560000_p_Instance _c0a9159408560000_p_Instance _70aa159408560000_p_Instance _30ac159408560000_p_Instance _e0ac159408560000_p_Instance _80ad159408560000_p_Instance _20ae159408560000_p_Instance _e0af159408560000_p_Instance _90b0159408560000_p_Instance _50b2159408560000_p_Instance _20b4159408560000_p_Instance _d0b4159408560000_p_Instance _70b5159408560000_p_Instance _10b6159408560000_p_Instance _b0b6159408560000_p_Instance _50b7159408560000_p_Instance _10b9159408560000_p_Instance _c0b9159408560000_p_Instance _60ba159408560000_p_Instance _00bb159408560000_p_Instance _c0bc159408560000_p_Instance _90be159408560000_p_Instance _40bf159408560000_p_Instance _e0bf159408560000_p_Instance _a0c1159408560000_p_Instance _50c2159408560000_p_Instance _f0c2159408560000_p_Instance _90c3159408560000_p_Instance _50c5159408560000_p_Instance _20c7159408560000_p_Instance _f0c8159408560000_p_Instance _c0ca159408560000_p_Instance _90cc159408560000_p_Instance _40cd159408560000_p_Instance _e0cd159408560000_p_Instance _a0cf159408560000_p_Instance _50d0159408560000_p_Instance _f0d0159408560000_p_Instance _b0d2159408560000_p_Instance _60d3159408560000_p_Instance _20d5159408560000_p_Instance _f0d6159408560000_p_Instance _a0d7159408560000_p_Instance _60d9159408560000_p_Instance _30db159408560000_p_Instance _e0db159408560000_p_Instance _a0dd159408560000_p_Instance _50de159408560000_p_Instance _f0de159408560000_p_Instance _90df159408560000_p_Instance _50e1159408560000_p_Instance _00e2159408560000_p_Instance _c0e3159408560000_p_Instance _70e4159408560000_p_Instance _30e6159408560000_p_Instance _e0e6159408560000_p_Instance _80e7159408560000_p_Instance _20e8159408560000_p_Instance _c0e8159408560000_p_Instance _80ea159408560000_p_Instance _30eb159408560000_p_Instance _f0ec159408560000_p_Instance _c0ee159408560000_p_Instance _70ef159408560000_p_Instance _30f1159408560000_p_Instance _e0f1159408560000_p_Instance _a0f3159408560000_p_Instance _50f4159408560000_p_Instance _f0f4159408560000_p_Instance _90f5159408560000_p_Instance _30f6159408560000_p_Instance _f0f7159408560000_p_Instance _c0f9159408560000_p_Instance _70fa159408560000_p_Instance _10fb159408560000_p_Instance _b0fb159408560000_p_Instance _70fd159408560000_p_Instance _20fe159408560000_p_Instance _c0fe159408560000_p_Instance _8000169408560000_p_Instance _3001169408560000_p_Instance _d001169408560000_p_Instance _7002169408560000_p_Instance _1003169408560000_p_Instance _d004169408560000_p_Instance _8005169408560000_p_Instance _2006169408560000_p_Instance _c006169408560000_p_Instance _8008169408560000_p_Instance _3009169408560000_p_Instance _d009169408560000_p_Instance _700a169408560000_p_Instance _100b169408560000_p_Instance _d00c169408560000_p_Instance _800d169408560000_p_Instance _400f169408560000_p_Instance _f00f169408560000_p_Instance _9010169408560000_p_Instance _5012169408560000_p_Instance _0013169408560000_p_Instance _c014169408560000_p_Instance _7015169408560000_p_Instance _1016169408560000_p_Instance _d017169408560000_p_Instance _8018169408560000_p_Instance _2019169408560000_p_Instance _c019169408560000_p_Instance _601a169408560000_p_Instance _001b169408560000_p_Instance _c01c169408560000_p_Instance _701d169408560000_p_Instance _301f169408560000_p_Instance _e01f169408560000_p_Instance _a021169408560000_p_Instance _7023169408560000_p_Instance _2024169408560000_p_Instance _c024169408560000_p_Instance _8026169408560000_p_Instance _5028169408560000_p_Instance _0029169408560000_p_Instance _a029169408560000_p_Instance _602b169408560000_p_Instance _302d169408560000_p_Instance _e02d169408560000_p_Instance _a02f169408560000_p_Instance _5030169408560000_p_Instance _1032169408560000_p_Instance _c032169408560000_p_Instance _6033169408560000_p_Instance _0034169408560000_p_Instance _a034169408560000_p_Instance _4035169408560000_p_Instance _0037169408560000_p_Instance _b037169408560000_p_Instance _5038169408560000_p_Instance _f038169408560000_p_Instance _9039169408560000_p_Instance _303a169408560000_p_Instance _d03a169408560000_p_Instance _703b169408560000_p_Instance _303d169408560000_p_Instance _e03d169408560000_p_Instance _803e169408560000_p_Instance _203f169408560000_p_Instance _c03f169408560000_p_Instance _8041169408560000_p_Instance _3042169408560000_p_Instance _d042169408560000_p_Instance _7043169408560000_p_Instance _1044169408560000_p_Instance _d045169408560000_p_Instance _8046169408560000_p_Instance _2047169408560000_p_Instance _e048169408560000_p_Instance _b04a169408560000_p_Instance _804c169408560000_p_Instance _504e169408560000_p_Instance _2050169408560000_p_Instance _f051169408560000_p_Instance _a052169408560000_p_Instance _4053169408560000_p_Instance _e053169408560000_p_Instance _8054169408560000_p_Instance _4056169408560000_p_Instance _f056169408560000_p_Instance _9057169408560000_p_Instance _3058169408560000_p_Instance _f059169408560000_p_Instance _a05a169408560000_p_Instance _405b169408560000_p_Instance _e05b169408560000_p_Instance _a05d169408560000_p_Instance _505e169408560000_p_Instance _f05e169408560000_p_Instance _905f169408560000_p_Instance _3060169408560000_p_Instance _d060169408560000_p_Instance _9062169408560000_p_Instance _4063169408560000_p_Instance _e063169408560000_p_Instance _a065169408560000_p_Instance _7067169408560000_p_Instance _4069169408560000_p_Instance _f069169408560000_p_Instance _906a169408560000_p_Instance _306b169408560000_p_Instance _d06b169408560000_p_Instance _906d169408560000_p_Instance _606f169408560000_p_Instance _3071169408560000_p_Instance _e071169408560000_p_Instance _a073169408560000_p_Instance _5074169408560000_p_Instance _1076169408560000_p_Instance _c076169408560000_p_Instance _8078169408560000_p_Instance _507a169408560000_p_Instance _007b169408560000_p_Instance _a07b169408560000_p_Instance _607d169408560000_p_Instance _307f169408560000_p_Instance _0081169408560000_p_Instance _b081169408560000_p_Instance _5082169408560000_p_Instance _1084169408560000_p_Instance _e085169408560000_p_Instance _9086169408560000_p_Instance _5088169408560000_p_Instance _208a169408560000_p_Instance _f08b169408560000_p_Instance _c08d169408560000_p_Instance _908f169408560000_p_Instance _4090169408560000_p_Instance _0092169408560000_p_Instance _b092169408560000_p_Instance _5093169408560000_p_Instance _1095169408560000_p_Instance _e096169408560000_p_Instance _9097169408560000_p_Instance _5099169408560000_p_Instance _009a169408560000_p_Instance _a09a169408560000_p_Instance _409b169408560000_p_Instance _009d169408560000_p_Instance _b09d169408560000_p_Instance _709f169408560000_p_Instance _20a0169408560000_p_Instance _c0a0169408560000_p_Instance _60a1169408560000_p_Instance _00a2169408560000_p_Instance _c0a3169408560000_p_Instance _70a4169408560000_p_Instance _30a6169408560000_p_Instance _e0a6169408560000_p_Instance _a0a8169408560000_p_Instance _70aa169408560000_p_Instance _20ab169408560000_p_Instance _c0ab169408560000_p_Instance _80ad169408560000_p_Instance _50af169408560000_p_Instance _00b0169408560000_p_Instance _a0b0169408560000_p_Instance _40b1169408560000_p_Instance _00b3169408560000_p_Instance _b0b3169408560000_p_Instance _70b5169408560000_p_Instance _20b6169408560000_p_Instance _e0b7169408560000_p_Instance _b0b9169408560000_p_Instance _60ba169408560000_p_Instance _20bc169408560000_p_Instance _d0bc169408560000_p_Instance _90be169408560000_p_Instance _60c0169408560000_p_Instance _30c2169408560000_p_Instance _e0c2169408560000_p_Instance _80c3169408560000_p_Instance _40c5169408560000_p_Instance _f0c5169408560000_p_Instance _90c6169408560000_p_Instance _30c7169408560000_p_Instance _f0c8169408560000_p_Instance _c0ca169408560000_p_Instance _90cc169408560000_p_Instance _40cd169408560000_p_Instance _00cf169408560000_p_Instance _d0d0169408560000_p_Instance _80d1169408560000_p_Instance _40d3169408560000_p_Instance _f0d3169408560000_p_Instance _b0d5169408560000_p_Instance _60d6169408560000_p_Instance _00d7169408560000_p_Instance _c0d8169408560000_p_Instance _70d9169408560000_p_Instance _10da169408560000_p_Instance _d0db169408560000_p_Instance _a0dd169408560000_p_Instance _70df169408560000_p_Instance _40e1169408560000_p_Instance _f0e1169408560000_p_Instance _90e2169408560000_p_Instance _30e3169408560000_p_Instance _f0e4169408560000_p_Instance _c0e6169408560000_p_Instance _70e7169408560000_p_Instance _10e8169408560000_p_Instance _b0e8169408560000_p_Instance _70ea169408560000_p_Instance _20eb169408560000_p_Instance _c0eb169408560000_p_Instance _60ec169408560000_p_Instance _00ed169408560000_p_Instance _c0ee169408560000_p_Instance _70ef169408560000_p_Instance _10f0169408560000_p_Instance _d0f1169408560000_p_Instance _80f2169408560000_p_Instance _20f3169408560000_p_Instance _e0f4169408560000_p_Instance _90f5169408560000_p_Instance _30f6169408560000_p_Instance _d0f6169408560000_p_Instance _70f7169408560000_p_Instance _30f9169408560000_p_Instance _e0f9169408560000_p_Instance _a0fb169408560000_p_Instance _70fd169408560000_p_Instance _20fe169408560000_p_Instance _e0ff169408560000_p_Instance _9000179408560000_p_Instance _3001179408560000_p_Instance _d001179408560000_p_Instance _9003179408560000_p_Instance _6005179408560000_p_Instance _3007179408560000_p_Instance _e007179408560000_p_Instance _a009179408560000_p_Instance _700b179408560000_p_Instance _200c179408560000_p_Instance _c00c179408560000_p_Instance _800e179408560000_p_Instance _300f179408560000_p_Instance _d00f179408560000_p_Instance _7010179408560000_p_Instance _1011179408560000_p_Instance _d012179408560000_p_Instance _8013179408560000_p_Instance _2014179408560000_p_Instance _e015179408560000_p_Instance _9016179408560000_p_Instance _5018179408560000_p_Instance _0019179408560000_p_Instance _a019179408560000_p_Instance _401a179408560000_p_Instance _001c179408560000_p_Instance _b01c179408560000_p_Instance _501d179408560000_p_Instance _101f179408560000_p_Instance _e020179408560000_p_Instance _b022179408560000_p_Instance _8024179408560000_p_Instance _3025179408560000_p_Instance _d025179408560000_p_Instance _7026179408560000_p_Instance _3028179408560000_p_Instance _e028179408560000_p_Instance _8029179408560000_p_Instance _202a179408560000_p_Instance _e02b179408560000_p_Instance _b02d179408560000_p_Instance _602e179408560000_p_Instance _002f179408560000_p_Instance _a02f179408560000_p_Instance _4030179408560000_p_Instance _0032179408560000_p_Instance _b032179408560000_p_Instance _5033179408560000_p_Instance _f033179408560000_p_Instance _b035179408560000_p_Instance _6036179408560000_p_Instance _0037179408560000_p_Instance _a037179408560000_p_Instance _6039179408560000_p_Instance _103a179408560000_p_Instance _b03a179408560000_p_Instance _503b179408560000_p_Instance _f03b179408560000_p_Instance _b03d179408560000_p_Instance _603e179408560000_p_Instance _003f179408560000_p_Instance _c040179408560000_p_Instance _9042179408560000_p_Instance _6044179408560000_p_Instance _1045179408560000_p_Instance _d046179408560000_p_Instance _8047179408560000_p_Instance _4049179408560000_p_Instance _f049179408560000_p_Instance _b04b179408560000_p_Instance _604c179408560000_p_Instance _004d179408560000_p_Instance _c04e179408560000_p_Instance _9050179408560000_p_Instance _4051179408560000_p_Instance _0053179408560000_p_Instance _b053179408560000_p_Instance _7055179408560000_p_Instance _2056179408560000_p_Instance _c056179408560000_p_Instance _8058179408560000_p_Instance _505a179408560000_p_Instance _005b179408560000_p_Instance _a05b179408560000_p_Instance _405c179408560000_p_Instance _005e179408560000_p_Instance _d05f179408560000_p_Instance _8060179408560000_p_Instance _2061179408560000_p_Instance _e062179408560000_p_Instance _9063179408560000_p_Instance _5065179408560000_p_Instance _0066179408560000_p_Instance _c067179408560000_p_Instance _7068179408560000_p_Instance _1069179408560000_p_Instance _b069179408560000_p_Instance _706b179408560000_p_Instance _406d179408560000_p_Instance _106f179408560000_p_Instance _c06f179408560000_p_Instance _6070179408560000_p_Instance _2072179408560000_p_Instance _d072179408560000_p_Instance _9074179408560000_p_Instance _6076179408560000_p_Instance _1077179408560000_p_Instance _d078179408560000_p_Instance _8079179408560000_p_Instance _407b179408560000_p_Instance _f07b179408560000_p_Instance _b07d179408560000_p_Instance _807f179408560000_p_Instance _5081179408560000_p_Instance _0082179408560000_p_Instance _a082179408560000_p_Instance _4083179408560000_p_Instance _e083179408560000_p_Instance _8084179408560000_p_Instance _2085179408560000_p_Instance _c085179408560000_p_Instance _6086179408560000_p_Instance _0087179408560000_p_Instance _c088179408560000_p_Instance _7089179408560000_p_Instance _108a179408560000_p_Instance _b08a179408560000_p_Instance _508b179408560000_p_Instance _108d179408560000_p_Instance _c08d179408560000_p_Instance _608e179408560000_p_Instance _2090179408560000_p_Instance _d090179408560000_p_Instance _7091179408560000_p_Instance _3093179408560000_p_Instance _e093179408560000_p_Instance _8094179408560000_p_Instance _2095179408560000_p_Instance _e096179408560000_p_Instance _b098179408560000_p_Instance _6099179408560000_p_Instance _009a179408560000_p_Instance _a09a179408560000_p_Instance _409b179408560000_p_Instance _009d179408560000_p_Instance _b09d179408560000_p_Instance _509e179408560000_p_Instance _f09e179408560000_p_Instance _909f179408560000_p_Instance _50a1179408560000_p_Instance _00a2179408560000_p_Instance _a0a2179408560000_p_Instance _60a4179408560000_p_Instance _10a5179408560000_p_Instance _b0a5179408560000_p_Instance _70a7179408560000_p_Instance _20a8179408560000_p_Instance _e0a9179408560000_p_Instance _90aa179408560000_p_Instance _30ab179408560000_p_Instance _f0ac179408560000_p_Instance _a0ad179408560000_p_Instance _60af179408560000_p_Instance _10b0179408560000_p_Instance _b0b0179408560000_p_Instance _50b1179408560000_p_Instance _10b3179408560000_p_Instance _e0b4179408560000_p_Instance _b0b6179408560000_p_Instance _60b7179408560000_p_Instance _00b8179408560000_p_Instance _a0b8179408560000_p_Instance _60ba179408560000_p_Instance _10bb179408560000_p_Instance _b0bb179408560000_p_Instance _70bd179408560000_p_Instance _20be179408560000_p_Instance _e0bf179408560000_p_Instance _b0c1179408560000_p_Instance _80c3179408560000_p_Instance _30c4179408560000_p_Instance _f0c5179408560000_p_Instance _c0c7179408560000_p_Instance _70c8179408560000_p_Instance _10c9179408560000_p_Instance _b0c9179408560000_p_Instance _50ca179408560000_p_Instance _10cc179408560000_p_Instance _c0cc179408560000_p_Instance _60cd179408560000_p_Instance _00ce179408560000_p_Instance _c0cf179408560000_p_Instance _90d1179408560000_p_Instance _40d2179408560000_p_Instance _00d4179408560000_p_Instance _b0d4179408560000_p_Instance _70d6179408560000_p_Instance _20d7179408560000_p_Instance _c0d7179408560000_p_Instance _60d8179408560000_p_Instance _00d9179408560000_p_Instance _c0da179408560000_p_Instance _70db179408560000_p_Instance _10dc179408560000_p_Instance _b0dc179408560000_p_Instance _70de179408560000_p_Instance _20df179408560000_p_Instance _c0df179408560000_p_Instance _60e0179408560000_p_Instance _00e1179408560000_p_Instance _a0e1179408560000_p_Instance _60e3179408560000_p_Instance _10e4179408560000_p_Instance _b0e4179408560000_p_Instance _70e6179408560000_p_Instance _20e7179408560000_p_Instance _e0e8179408560000_p_Instance _90e9179408560000_p_Instance _30ea179408560000_p_Instance _d0ea179408560000_p_Instance _90ec179408560000_p_Instance _40ed179408560000_p_Instance _e0ed179408560000_p_Instance _80ee179408560000_p_Instance _40f0179408560000_p_Instance _f0f0179408560000_p_Instance _b0f2179408560000_p_Instance _60f3179408560000_p_Instance _20f5179408560000_p_Instance _f0f6179408560000_p_Instance _a0f7179408560000_p_Instance _40f8179408560000_p_Instance _e0f8179408560000_p_Instance _80f9179408560000_p_Instance _20fa179408560000_p_Instance _e0fb179408560000_p_Instance _b0fd179408560000_p_Instance _60fe179408560000_p_Instance _00ff179408560000_p_Instance _c000189408560000_p_Instance _7001189408560000_p_Instance _1002189408560000_p_Instance _b002189408560000_p_Instance _5003189408560000_p_Instance _1005189408560000_p_Instance _c005189408560000_p_Instance _8007189408560000_p_Instance _5009189408560000_p_Instance _200b189408560000_p_Instance _f00c189408560000_p_Instance _a00d189408560000_p_Instance _600f189408560000_p_Instance _3011189408560000_p_Instance _e011189408560000_p_Instance _8012189408560000_p_Instance _2013189408560000_p_Instance _e014189408560000_p_Instance _9015189408560000_p_Instance _5017189408560000_p_Instance _2019189408560000_p_Instance _d019189408560000_p_Instance _901b189408560000_p_Instance _601d189408560000_p_Instance _301f189408560000_p_Instance _e01f189408560000_p_Instance _8020189408560000_p_Instance _4022189408560000_p_Instance _1024189408560000_p_Instance _c024189408560000_p_Instance _6025189408560000_p_Instance _0026189408560000_p_Instance _a026189408560000_p_Instance _4027189408560000_p_Instance _e027189408560000_p_Instance _8028189408560000_p_Instance _2029189408560000_p_Instance _c029189408560000_p_Instance _602a189408560000_p_Instance _002b189408560000_p_Instance _c02c189408560000_p_Instance _702d189408560000_p_Instance _102e189408560000_p_Instance _d02f189408560000_p_Instance _8030189408560000_p_Instance _2031189408560000_p_Instance _e032189408560000_p_Instance _9033189408560000_p_Instance _3034189408560000_p_Instance _f035189408560000_p_Instance _a036189408560000_p_Instance _4037189408560000_p_Instance _e037189408560000_p_Instance _8038189408560000_p_Instance _2039189408560000_p_Instance _e03a189408560000_p_Instance _b03c189408560000_p_Instance _803e189408560000_p_Instance _303f189408560000_p_Instance _d03f189408560000_p_Instance _9041189408560000_p_Instance _4042189408560000_p_Instance _0044189408560000_p_Instance _d045189408560000_p_Instance _a047189408560000_p_Instance _7049189408560000_p_Instance _404b189408560000_p_Instance _104d189408560000_p_Instance _e04e189408560000_p_Instance _904f189408560000_p_Instance _3050189408560000_p_Instance _d050189408560000_p_Instance _9052189408560000_p_Instance _4053189408560000_p_Instance _e053189408560000_p_Instance _a055189408560000_p_Instance _7057189408560000_p_Instance _2058189408560000_p_Instance _c058189408560000_p_Instance _6059189408560000_p_Instance _005a189408560000_p_Instance _c05b189408560000_p_Instance _705c189408560000_p_Instance _105d189408560000_p_Instance _b05d189408560000_p_Instance _505e189408560000_p_Instance _1060189408560000_p_Instance _c060189408560000_p_Instance _6061189408560000_p_Instance _0062189408560000_p_Instance _a062189408560000_p_Instance _6064189408560000_p_Instance _3066189408560000_p_Instance _0068189408560000_p_Instance _d069189408560000_p_Instance _a06b189408560000_p_Instance _506c189408560000_p_Instance _f06c189408560000_p_Instance _b06e189408560000_p_Instance _8070189408560000_p_Instance _3071189408560000_p_Instance _d071189408560000_p_Instance _7072189408560000_p_Instance _3074189408560000_p_Instance _e074189408560000_p_Instance _8075189408560000_p_Instance _2076189408560000_p_Instance _c076189408560000_p_Instance _8078189408560000_p_Instance _507a189408560000_p_Instance _007b189408560000_p_Instance _c07c189408560000_p_Instance _907e189408560000_p_Instance _407f189408560000_p_Instance _e07f189408560000_p_Instance _a081189408560000_p_Instance _5082189408560000_p_Instance _1084189408560000_p_Instance _c084189408560000_p_Instance _6085189408560000_p_Instance _2087189408560000_p_Instance _f088189408560000_p_Instance _c08a189408560000_p_Instance _708b189408560000_p_Instance _108c189408560000_p_Instance _b08c189408560000_p_Instance _708e189408560000_p_Instance _208f189408560000_p_Instance _c08f189408560000_p_Instance _8091189408560000_p_Instance _5093189408560000_p_Instance _2095189408560000_p_Instance _d095189408560000_p_Instance _9097189408560000_p_Instance _4098189408560000_p_Instance _e098189408560000_p_Instance _a09a189408560000_p_Instance _509b189408560000_p_Instance _109d189408560000_p_Instance _e09e189408560000_p_Instance _b0a0189408560000_p_Instance _80a2189408560000_p_Instance _30a3189408560000_p_Instance _f0a4189408560000_p_Instance _c0a6189408560000_p_Instance _90a8189408560000_p_Instance _40a9189408560000_p_Instance _00ab189408560000_p_Instance _b0ab189408560000_p_Instance _70ad189408560000_p_Instance _40af189408560000_p_Instance _f0af189408560000_p_Instance _b0b1189408560000_p_Instance _80b3189408560000_p_Instance _30b4189408560000_p_Instance _d0b4189408560000_p_Instance _70b5189408560000_p_Instance _30b7189408560000_p_Instance _00b9189408560000_p_Instance _b0b9189408560000_p_Instance _50ba189408560000_p_Instance _f0ba189408560000_p_Instance _b0bc189408560000_p_Instance _60bd189408560000_p_Instance _20bf189408560000_p_Instance _d0bf189408560000_p_Instance _90c1189408560000_p_Instance _40c2189408560000_p_Instance _e0c2189408560000_p_Instance _80c3189408560000_p_Instance _20c4189408560000_p_Instance _e0c5189408560000_p_Instance _90c6189408560000_p_Instance _30c7189408560000_p_Instance _f0c8189408560000_p_Instance _c0ca189408560000_p_Instance _70cb189408560000_p_Instance _30cd189408560000_p_Instance _00cf189408560000_p_Instance _d0d0189408560000_p_Instance _80d1189408560000_p_Instance _40d3189408560000_p_Instance _10d5189408560000_p_Instance _e0d6189408560000_p_Instance _90d7189408560000_p_Instance _30d8189408560000_p_Instance _d0d8189408560000_p_Instance _90da189408560000_p_Instance _40db189408560000_p_Instance _e0db189408560000_p_Instance _80dc189408560000_p_Instance _20dd189408560000_p_Instance _e0de189408560000_p_Instance _90df189408560000_p_Instance _30e0189408560000_p_Instance _f0e1189408560000_p_Instance _c0e3189408560000_p_Instance _90e5189408560000_p_Instance _40e6189408560000_p_Instance _e0e6189408560000_p_Instance _a0e8189408560000_p_Instance _50e9189408560000_p_Instance _10eb189408560000_p_Instance _c0eb189408560000_p_Instance _60ec189408560000_p_Instance _20ee189408560000_p_Instance _d0ee189408560000_p_Instance _70ef189408560000_p_Instance _30f1189408560000_p_Instance _00f3189408560000_p_Instance _b0f3189408560000_p_Instance _50f4189408560000_p_Instance _f0f4189408560000_p_Instance _90f5189408560000_p_Instance _30f6189408560000_p_Instance _f0f7189408560000_p_Instance _a0f8189408560000_p_Instance _60fa189408560000_p_Instance _30fc189408560000_p_Instance _00fe189408560000_p_Instance _d0ff189408560000_p_Instance _a001199408560000_p_Instance _7003199408560000_p_Instance _2004199408560000_p_Instance _c004199408560000_p_Instance _6005199408560000_p_Instance _0006199408560000_p_Instance _c007199408560000_p_Instance _7008199408560000_p_Instance _1009199408560000_p_Instance _d00a199408560000_p_Instance _800b199408560000_p_Instance _400d199408560000_p_Instance _100f199408560000_p_Instance _c00f199408560000_p_Instance _6010199408560000_p_Instance _2012199408560000_p_Instance _d012199408560000_p_Instance _7013199408560000_p_Instance _3015199408560000_p_Instance _e015199408560000_p_Instance _a017199408560000_p_Instance _7019199408560000_p_Instance _201a199408560000_p_Instance _c01a199408560000_p_Instance _801c199408560000_p_Instance _301d199408560000_p_Instance _d01d199408560000_p_Instance _701e199408560000_p_Instance _101f199408560000_p_Instance _d020199408560000_p_Instance _8021199408560000_p_Instance _2022199408560000_p_Instance _e023199408560000_p_Instance _9024199408560000_p_Instance _5026199408560000_p_Instance _0027199408560000_p_Instance _c028199408560000_p_Instance _7029199408560000_p_Instance _102a199408560000_p_Instance _b02a199408560000_p_Instance _702c199408560000_p_Instance _402e199408560000_p_Instance _f02e199408560000_p_Instance _902f199408560000_p_Instance _3030199408560000_p_Instance _d030199408560000_p_Instance _7031199408560000_p_Instance _3033199408560000_p_Instance _e033199408560000_p_Instance _a035199408560000_p_Instance _5036199408560000_p_Instance _f036199408560000_p_Instance _9037199408560000_p_Instance _5039199408560000_p_Instance _003a199408560000_p_Instance _a03a199408560000_p_Instance _403b199408560000_p_Instance _003d199408560000_p_Instance _b03d199408560000_p_Instance _503e199408560000_p_Instance _f03e199408560000_p_Instance _b040199408560000_p_Instance _6041199408560000_p_Instance _0042199408560000_p_Instance _c043199408560000_p_Instance _9045199408560000_p_Instance _6047199408560000_p_Instance _1048199408560000_p_Instance _b048199408560000_p_Instance _5049199408560000_p_Instance _104b199408560000_p_Instance _e04c199408560000_p_Instance _904d199408560000_p_Instance _304e199408560000_p_Instance _f04f199408560000_p_Instance _a050199408560000_p_Instance _4051199408560000_p_Instance _0053199408560000_p_Instance _d054199408560000_p_Instance _8055199408560000_p_Instance _4057199408560000_p_Instance _1059199408560000_p_Instance _c059199408560000_p_Instance _805b199408560000_p_Instance _505d199408560000_p_Instance _005e199408560000_p_Instance _a05e199408560000_p_Instance _405f199408560000_p_Instance _e05f199408560000_p_Instance _8060199408560000_p_Instance _2061199408560000_p_Instance _c061199408560000_p_Instance _6062199408560000_p_Instance _0063199408560000_p_Instance _a063199408560000_p_Instance _4064199408560000_p_Instance _0066199408560000_p_Instance _d067199408560000_p_Instance _8068199408560000_p_Instance _406a199408560000_p_Instance _106c199408560000_p_Instance _c06c199408560000_p_Instance _806e199408560000_p_Instance _5070199408560000_p_Instance _2072199408560000_p_Instance _d072199408560000_p_Instance _9074199408560000_p_Instance _4075199408560000_p_Instance _0077199408560000_p_Instance _d078199408560000_p_Instance _8079199408560000_p_Instance _407b199408560000_p_Instance _107d199408560000_p_Instance _e07e199408560000_p_Instance _b080199408560000_p_Instance _6081199408560000_p_Instance _2083199408560000_p_Instance _d083199408560000_p_Instance _7084199408560000_p_Instance _3086199408560000_p_Instance _e086199408560000_p_Instance _8087199408560000_p_Instance _2088199408560000_p_Instance _c088199408560000_p_Instance _808a199408560000_p_Instance _508c199408560000_p_Instance _008d199408560000_p_Instance _a08d199408560000_p_Instance _408e199408560000_p_Instance _e08e199408560000_p_Instance _808f199408560000_p_Instance _2090199408560000_p_Instance _e091199408560000_p_Instance _b093199408560000_p_Instance _6094199408560000_p_Instance _2096199408560000_p_Instance _f097199408560000_p_Instance _a098199408560000_p_Instance _4099199408560000_p_Instance _e099199408560000_p_Instance _809a199408560000_p_Instance _209b199408560000_p_Instance _c09b199408560000_p_Instance _809d199408560000_p_Instance _309e199408560000_p_Instance _f09f199408560000_p_Instance _a0a0199408560000_p_Instance _40a1199408560000_p_Instance _e0a1199408560000_p_Instance _80a2199408560000_p_Instance _20a3199408560000_p_Instance _c0a3199408560000_p_Instance _60a4199408560000_p_Instance _20a6199408560000_p_Instance _d0a6199408560000_p_Instance _70a7199408560000_p_Instance _30a9199408560000_p_Instance _e0a9199408560000_p_Instance _a0ab199408560000_p_Instance _50ac199408560000_p_Instance _10ae199408560000_p_Instance _c0ae199408560000_p_Instance _60af199408560000_p_Instance _20b1199408560000_p_Instance _d0b1199408560000_p_Instance _70b2199408560000_p_Instance _30b4199408560000_p_Instance _e0b4199408560000_p_Instance _a0b6199408560000_p_Instance _50b7199408560000_p_Instance _f0b7199408560000_p_Instance _90b8199408560000_p_Instance _50ba199408560000_p_Instance _00bb199408560000_p_Instance _a0bb199408560000_p_Instance _40bc199408560000_p_Instance _e0bc199408560000_p_Instance _a0be199408560000_p_Instance _70c0199408560000_p_Instance _20c1199408560000_p_Instance _c0c1199408560000_p_Instance _80c3199408560000_p_Instance _30c4199408560000_p_Instance _d0c4199408560000_p_Instance _90c6199408560000_p_Instance _60c8199408560000_p_Instance _30ca199408560000_p_Instance _00cc199408560000_p_Instance _b0cc199408560000_p_Instance _70ce199408560000_p_Instance _40d0199408560000_p_Instance _f0d0199408560000_p_Instance _b0d2199408560000_p_Instance _80d4199408560000_p_Instance _30d5199408560000_p_Instance _d0d5199408560000_p_Instance _90d7199408560000_p_Instance _60d9199408560000_p_Instance _30db199408560000_p_Instance _00dd199408560000_p_Instance _d0de199408560000_p_Instance _80df199408560000_p_Instance _20e0199408560000_p_Instance _e0e1199408560000_p_Instance _b0e3199408560000_p_Instance _80e5199408560000_p_Instance _50e7199408560000_p_Instance _20e9199408560000_p_Instance _d0e9199408560000_p_Instance _90eb199408560000_p_Instance _40ec199408560000_p_Instance _e0ec199408560000_p_Instance _a0ee199408560000_p_Instance _50ef199408560000_p_Instance _10f1199408560000_p_Instance _e0f2199408560000_p_Instance _90f3199408560000_p_Instance _30f4199408560000_p_Instance _f0f5199408560000_p_Instance _c0f7199408560000_p_Instance _70f8199408560000_p_Instance _10f9199408560000_p_Instance _b0f9199408560000_p_Instance _50fa199408560000_p_Instance _10fc199408560000_p_Instance _c0fc199408560000_p_Instance _60fd199408560000_p_Instance _20ff199408560000_p_Instance _d0ff199408560000_p_Instance _70001a9408560000_p_Instance _30021a9408560000_p_Instance _00041a9408560000_p_Instance _d0051a9408560000_p_Instance _80061a9408560000_p_Instance _40081a9408560000_p_Instance _f0081a9408560000_p_Instance _b00a1a9408560000_p_Instance _600b1a9408560000_p_Instance _000c1a9408560000_p_Instance _a00c1a9408560000_p_Instance _400d1a9408560000_p_Instance _e00d1a9408560000_p_Instance _a00f1a9408560000_p_Instance _50101a9408560000_p_Instance _10121a9408560000_p_Instance _e0131a9408560000_p_Instance _90141a9408560000_p_Instance _30151a9408560000_p_Instance _d0151a9408560000_p_Instance _90171a9408560000_p_Instance _40181a9408560000_p_Instance _e0181a9408560000_p_Instance _80191a9408560000_p_Instance _401b1a9408560000_p_Instance _f01b1a9408560000_p_Instance _901c1a9408560000_p_Instance _301d1a9408560000_p_Instance _d01d1a9408560000_p_Instance _901f1a9408560000_p_Instance _40201a9408560000_p_Instance _e0201a9408560000_p_Instance _a0221a9408560000_p_Instance _70241a9408560000_p_Instance _20251a9408560000_p_Instance _c0251a9408560000_p_Instance _80271a9408560000_p_Instance _30281a9408560000_p_Instance _f0291a9408560000_p_Instance _c02b1a9408560000_p_Instance _702c1a9408560000_p_Instance _302e1a9408560000_p_Instance _e02e1a9408560000_p_Instance _a0301a9408560000_p_Instance _70321a9408560000_p_Instance _40341a9408560000_p_Instance _f0341a9408560000_p_Instance _90351a9408560000_p_Instance _50371a9408560000_p_Instance _00381a9408560000_p_Instance _a0381a9408560000_p_Instance _603a1a9408560000_p_Instance _103b1a9408560000_p_Instance _b03b1a9408560000_p_Instance _503c1a9408560000_p_Instance _f03c1a9408560000_p_Instance _903d1a9408560000_p_Instance _503f1a9408560000_p_Instance _00401a9408560000_p_Instance _a0401a9408560000_p_Instance _60421a9408560000_p_Instance _10431a9408560000_p_Instance _b0431a9408560000_p_Instance _50441a9408560000_p_Instance _f0441a9408560000_p_Instance _b0461a9408560000_p_Instance _60471a9408560000_p_Instance _20491a9408560000_p_Instance _d0491a9408560000_p_Instance _704a1a9408560000_p_Instance _304c1a9408560000_p_Instance _e04c1a9408560000_p_Instance _a04e1a9408560000_p_Instance _504f1a9408560000_p_Instance _10511a9408560000_p_Instance _c0511a9408560000_p_Instance _60521a9408560000_p_Instance _00531a9408560000_p_Instance _c0541a9408560000_p_Instance _90561a9408560000_p_Instance _40571a9408560000_p_Instance _00591a9408560000_p_Instance _b0591a9408560000_p_Instance _705b1a9408560000_p_Instance _405d1a9408560000_p_Instance _f05d1a9408560000_p_Instance _905e1a9408560000_p_Instance _305f1a9408560000_p_Instance _d05f1a9408560000_p_Instance _90611a9408560000_p_Instance _60631a9408560000_p_Instance _10641a9408560000_p_Instance _d0651a9408560000_p_Instance _80661a9408560000_p_Instance _20671a9408560000_p_Instance _e0681a9408560000_p_Instance _b06a1a9408560000_p_Instance _606b1a9408560000_p_Instance _206d1a9408560000_p_Instance _d06d1a9408560000_p_Instance _706e1a9408560000_p_Instance _30701a9408560000_p_Instance _00721a9408560000_p_Instance _b0721a9408560000_p_Instance _50731a9408560000_p_Instance _f0731a9408560000_p_Instance _b0751a9408560000_p_Instance _60761a9408560000_p_Instance _00771a9408560000_p_Instance _a0771a9408560000_p_Instance _40781a9408560000_p_Instance _e0781a9408560000_p_Instance _a07a1a9408560000_p_Instance _507b1a9408560000_p_Instance _107d1a9408560000_p_Instance _e07e1a9408560000_p_Instance _907f1a9408560000_p_Instance _30801a9408560000_p_Instance _f0811a9408560000_p_Instance _a0821a9408560000_p_Instance _40831a9408560000_p_Instance _e0831a9408560000_p_Instance _80841a9408560000_p_Instance _40861a9408560000_p_Instance _10881a9408560000_p_Instance _c0881a9408560000_p_Instance _60891a9408560000_p_Instance _208b1a9408560000_p_Instance _d08b1a9408560000_p_Instance _908d1a9408560000_p_Instance _408e1a9408560000_p_Instance _00901a9408560000_p_Instance _b0901a9408560000_p_Instance _70921a9408560000_p_Instance _20931a9408560000_p_Instance _c0931a9408560000_p_Instance _60941a9408560000_p_Instance _20961a9408560000_p_Instance _d0961a9408560000_p_Instance _90981a9408560000_p_Instance _40991a9408560000_p_Instance _009b1a9408560000_p_Instance _d09c1a9408560000_p_Instance _809d1a9408560000_p_Instance _209e1a9408560000_p_Instance _c09e1a9408560000_p_Instance _80a01a9408560000_p_Instance _30a11a9408560000_p_Instance _d0a11a9408560000_p_Instance _70a21a9408560000_p_Instance _10a31a9408560000_p_Instance _b0a31a9408560000_p_Instance _50a41a9408560000_p_Instance _f0a41a9408560000_p_Instance _90a51a9408560000_p_Instance _30a61a9408560000_p_Instance _f0a71a9408560000_p_Instance _a0a81a9408560000_p_Instance _40a91a9408560000_p_Instance _e0a91a9408560000_p_Instance _a0ab1a9408560000_p_Instance _50ac1a9408560000_p_Instance _f0ac1a9408560000_p_Instance _90ad1a9408560000_p_Instance _50af1a9408560000_p_Instance _20b11a9408560000_p_Instance _d0b11a9408560000_p_Instance _70b21a9408560000_p_Instance _10b31a9408560000_p_Instance _b0b31a9408560000_p_Instance _50b41a9408560000_p_Instance _10b61a9408560000_p_Instance _e0b71a9408560000_p_Instance _90b81a9408560000_p_Instance _30b91a9408560000_p_Instance _f0ba1a9408560000_p_Instance _a0bb1a9408560000_p_Instance _40bc1a9408560000_p_Instance _e0bc1a9408560000_p_Instance _a0be1a9408560000_p_Instance _50bf1a9408560000_p_Instance _f0bf1a9408560000_p_Instance _b0c11a9408560000_p_Instance _60c21a9408560000_p_Instance _00c31a9408560000_p_Instance _a0c31a9408560000_p_Instance _40c41a9408560000_p_Instance _e0c41a9408560000_p_Instance _a0c61a9408560000_p_Instance _50c71a9408560000_p_Instance _f0c71a9408560000_p_Instance _90c81a9408560000_p_Instance _50ca1a9408560000_p_Instance _00cb1a9408560000_p_Instance _c0cc1a9408560000_p_Instance _70cd1a9408560000_p_Instance _30cf1a9408560000_p_Instance _e0cf1a9408560000_p_Instance _a0d11a9408560000_p_Instance _50d21a9408560000_p_Instance _10d41a9408560000_p_Instance _e0d51a9408560000_p_Instance _b0d71a9408560000_p_Instance _60d81a9408560000_p_Instance _00d91a9408560000_p_Instance _c0da1a9408560000_p_Instance _70db1a9408560000_p_Instance _30dd1a9408560000_p_Instance _e0dd1a9408560000_p_Instance _80de1a9408560000_p_Instance _40e01a9408560000_p_Instance _10e21a9408560000_p_Instance _e0e31a9408560000_p_Instance _90e41a9408560000_p_Instance _30e51a9408560000_p_Instance _f0e61a9408560000_p_Instance _c0e81a9408560000_p_Instance _70e91a9408560000_p_Instance _30eb1a9408560000_p_Instance _00ed1a9408560000_p_Instance _b0ed1a9408560000_p_Instance _50ee1a9408560000_p_Instance _f0ee1a9408560000_p_Instance _90ef1a9408560000_p_Instance _50f11a9408560000_p_Instance _00f21a9408560000_p_Instance _a0f21a9408560000_p_Instance _40f31a9408560000_p_Instance _e0f31a9408560000_p_Instance _a0f51a9408560000_p_Instance _50f61a9408560000_p_Instance _f0f61a9408560000_p_Instance _90f71a9408560000_p_Instance _30f81a9408560000_p_Instance _f0f91a9408560000_p_Instance _c0fb1a9408560000_p_Instance _70fc1a9408560000_p_Instance _10fd1a9408560000_p_Instance _d0fe1a9408560000_p_Instance _80ff1a9408560000_p_Instance _40011b9408560000_p_Instance _f0011b9408560000_p_Instance _90021b9408560000_p_Instance _30031b9408560000_p_Instance _d0031b9408560000_p_Instance _70041b9408560000_p_Instance _10051b9408560000_p_Instance _d0061b9408560000_p_Instance _80071b9408560000_p_Instance _40091b9408560000_p_Instance _100b1b9408560000_p_Instance _c00b1b9408560000_p_Instance _800d1b9408560000_p_Instance _500f1b9408560000_p_Instance _20111b9408560000_p_Instance _d0111b9408560000_p_Instance _90131b9408560000_p_Instance _60151b9408560000_p_Instance _10161b9408560000_p_Instance _d0171b9408560000_p_Instance _80181b9408560000_p_Instance _401a1b9408560000_p_Instance _101c1b9408560000_p_Instance _e01d1b9408560000_p_Instance _901e1b9408560000_p_Instance _301f1b9408560000_p_Instance _d01f1b9408560000_p_Instance _90211b9408560000_p_Instance _60231b9408560000_p_Instance _30251b9408560000_p_Instance _e0251b9408560000_p_Instance _a0271b9408560000_p_Instance _70291b9408560000_p_Instance _202a1b9408560000_p_Instance _c02a1b9408560000_p_Instance _802c1b9408560000_p_Instance _302d1b9408560000_p_Instance _d02d1b9408560000_p_Instance _902f1b9408560000_p_Instance _40301b9408560000_p_Instance _e0301b9408560000_p_Instance _80311b9408560000_p_Instance _20321b9408560000_p_Instance _c0321b9408560000_p_Instance _60331b9408560000_p_Instance _20351b9408560000_p_Instance _d0351b9408560000_p_Instance _70361b9408560000_p_Instance _30381b9408560000_p_Instance _003a1b9408560000_p_Instance _b03a1b9408560000_p_Instance _703c1b9408560000_p_Instance _403e1b9408560000_p_Instance _f03e1b9408560000_p_Instance _903f1b9408560000_p_Instance _30401b9408560000_p_Instance _f0411b9408560000_p_Instance _c0431b9408560000_p_Instance _90451b9408560000_p_Instance _40461b9408560000_p_Instance _00481b9408560000_p_Instance _d0491b9408560000_p_Instance _804a1b9408560000_p_Instance _204b1b9408560000_p_Instance _e04c1b9408560000_p_Instance _b04e1b9408560000_p_Instance _604f1b9408560000_p_Instance _00501b9408560000_p_Instance _c0511b9408560000_p_Instance _70521b9408560000_p_Instance _30541b9408560000_p_Instance _e0541b9408560000_p_Instance _a0561b9408560000_p_Instance _50571b9408560000_p_Instance _f0571b9408560000_p_Instance _90581b9408560000_p_Instance _505a1b9408560000_p_Instance _005b1b9408560000_p_Instance _c05c1b9408560000_p_Instance _905e1b9408560000_p_Instance _60601b9408560000_p_Instance _10611b9408560000_p_Instance _b0611b9408560000_p_Instance _70631b9408560000_p_Instance _20641b9408560000_p_Instance _e0651b9408560000_p_Instance _90661b9408560000_p_Instance _30671b9408560000_p_Instance _f0681b9408560000_p_Instance _a0691b9408560000_p_Instance _606b1b9408560000_p_Instance _106c1b9408560000_p_Instance _d06d1b9408560000_p_Instance _806e1b9408560000_p_Instance _206f1b9408560000_p_Instance _c06f1b9408560000_p_Instance _60701b9408560000_p_Instance _00711b9408560000_p_Instance _a0711b9408560000_p_Instance _40721b9408560000_p_Instance _00741b9408560000_p_Instance _b0741b9408560000_p_Instance _70761b9408560000_p_Instance _20771b9408560000_p_Instance _e0781b9408560000_p_Instance _90791b9408560000_p_Instance _507b1b9408560000_p_Instance _007c1b9408560000_p_Instance _a07c1b9408560000_p_Instance _607e1b9408560000_p_Instance _107f1b9408560000_p_Instance _b07f1b9408560000_p_Instance _70811b9408560000_p_Instance _20821b9408560000_p_Instance _e0831b9408560000_p_Instance _90841b9408560000_p_Instance _50861b9408560000_p_Instance _00871b9408560000_p_Instance _a0871b9408560000_p_Instance _60891b9408560000_p_Instance _308b1b9408560000_p_Instance _e08b1b9408560000_p_Instance _a08d1b9408560000_p_Instance _708f1b9408560000_p_Instance _40911b9408560000_p_Instance _f0911b9408560000_p_Instance _b0931b9408560000_p_Instance _60941b9408560000_p_Instance _00951b9408560000_p_Instance _a0951b9408560000_p_Instance _60971b9408560000_p_Instance _10981b9408560000_p_Instance _b0981b9408560000_p_Instance _50991b9408560000_p_Instance _109b1b9408560000_p_Instance _c09b1b9408560000_p_Instance _609c1b9408560000_p_Instance _009d1b9408560000_p_Instance _c09e1b9408560000_p_Instance _90a01b9408560000_p_Instance _40a11b9408560000_p_Instance _00a31b9408560000_p_Instance _b0a31b9408560000_p_Instance _70a51b9408560000_p_Instance _20a61b9408560000_p_Instance _c0a61b9408560000_p_Instance _60a71b9408560000_p_Instance _20a91b9408560000_p_Instance _d0a91b9408560000_p_Instance _70aa1b9408560000_p_Instance _30ac1b9408560000_p_Instance _00ae1b9408560000_p_Instance _d0af1b9408560000_p_Instance _80b01b9408560000_p_Instance _20b11b9408560000_p_Instance _c0b11b9408560000_p_Instance _60b21b9408560000_p_Instance _00b31b9408560000_p_Instance _a0b31b9408560000_p_Instance _40b41b9408560000_p_Instance _00b61b9408560000_p_Instance _b0b61b9408560000_p_Instance _70b81b9408560000_p_Instance _40ba1b9408560000_p_Instance _f0ba1b9408560000_p_Instance _b0bc1b9408560000_p_Instance _60bd1b9408560000_p_Instance _00be1b9408560000_p_Instance _c0bf1b9408560000_p_Instance _70c01b9408560000_p_Instance _10c11b9408560000_p_Instance _d0c21b9408560000_p_Instance _a0c41b9408560000_p_Instance _50c51b9408560000_p_Instance _10c71b9408560000_p_Instance _c0c71b9408560000_p_Instance _80c91b9408560000_p_Instance _30ca1b9408560000_p_Instance _f0cb1b9408560000_p_Instance _c0cd1b9408560000_p_Instance _70ce1b9408560000_p_Instance _10cf1b9408560000_p_Instance _d0d01b9408560000_p_Instance _80d11b9408560000_p_Instance _40d31b9408560000_p_Instance _f0d31b9408560000_p_Instance _b0d51b9408560000_p_Instance _60d61b9408560000_p_Instance _20d81b9408560000_p_Instance _d0d81b9408560000_p_Instance _70d91b9408560000_p_Instance _30db1b9408560000_p_Instance _e0db1b9408560000_p_Instance _a0dd1b9408560000_p_Instance _50de1b9408560000_p_Instance _10e01b9408560000_p_Instance _c0e01b9408560000_p_Instance _60e11b9408560000_p_Instance _20e31b9408560000_p_Instance _d0e31b9408560000_p_Instance _90e51b9408560000_p_Instance _40e61b9408560000_p_Instance _e0e61b9408560000_p_Instance _a0e81b9408560000_p_Instance _50e91b9408560000_p_Instance _10eb1b9408560000_p_Instance _c0eb1b9408560000_p_Instance _80ed1b9408560000_p_Instance _30ee1b9408560000_p_Instance _d0ee1b9408560000_p_Instance _70ef1b9408560000_p_Instance _30f11b9408560000_p_Instance _e0f11b9408560000_p_Instance _80f21b9408560000_p_Instance _20f31b9408560000_p_Instance _e0f41b9408560000_p_Instance _b0f61b9408560000_p_Instance _60f71b9408560000_p_Instance _20f91b9408560000_p_Instance _d0f91b9408560000_p_Instance _70fa1b9408560000_p_Instance _10fb1b9408560000_p_Instance _b0fb1b9408560000_p_Instance _70fd1b9408560000_p_Instance _20fe1b9408560000_p_Instance _c0fe1b9408560000_p_Instance _60ff1b9408560000_p_Instance _00001c9408560000_p_Instance _a0001c9408560000_p_Instance _40011c9408560000_p_Instance _e0011c9408560000_p_Instance _80021c9408560000_p_Instance _40041c9408560000_p_Instance _10061c9408560000_p_Instance _c0061c9408560000_p_Instance _80081c9408560000_p_Instance _30091c9408560000_p_Instance _f00a1c9408560000_p_Instance _c00c1c9408560000_p_Instance _900e1c9408560000_p_Instance _60101c9408560000_p_Instance _10111c9408560000_p_Instance _d0121c9408560000_p_Instance _80131c9408560000_p_Instance _20141c9408560000_p_Instance _c0141c9408560000_p_Instance _80161c9408560000_p_Instance _30171c9408560000_p_Instance _d0171c9408560000_p_Instance _70181c9408560000_p_Instance _301a1c9408560000_p_Instance _001c1c9408560000_p_Instance _b01c1c9408560000_p_Instance _701e1c9408560000_p_Instance _40201c9408560000_p_Instance _f0201c9408560000_p_Instance _b0221c9408560000_p_Instance _80241c9408560000_p_Instance _50261c9408560000_p_Instance _00271c9408560000_p_Instance _a0271c9408560000_p_Instance _40281c9408560000_p_Instance _e0281c9408560000_p_Instance _80291c9408560000_p_Instance _402b1c9408560000_p_Instance _f02b1c9408560000_p_Instance _902c1c9408560000_p_Instance _302d1c9408560000_p_Instance _f02e1c9408560000_p_Instance _c0301c9408560000_p_Instance _70311c9408560000_p_Instance _10321c9408560000_p_Instance _b0321c9408560000_p_Instance _50331c9408560000_p_Instance _f0331c9408560000_p_Instance _90341c9408560000_p_Instance _30351c9408560000_p_Instance _f0361c9408560000_p_Instance _a0371c9408560000_p_Instance _40381c9408560000_p_Instance _003a1c9408560000_p_Instance _d03b1c9408560000_p_Instance _803c1c9408560000_p_Instance _203d1c9408560000_p_Instance _e03e1c9408560000_p_Instance _b0401c9408560000_p_Instance _80421c9408560000_p_Instance _50441c9408560000_p_Instance _00451c9408560000_p_Instance _a0451c9408560000_p_Instance _40461c9408560000_p_Instance _e0461c9408560000_p_Instance _80471c9408560000_p_Instance _20481c9408560000_p_Instance _c0481c9408560000_p_Instance _804a1c9408560000_p_Instance _304b1c9408560000_p_Instance _f04c1c9408560000_p_Instance _a04d1c9408560000_p_Instance _404e1c9408560000_p_Instance _e04e1c9408560000_p_Instance _a0501c9408560000_p_Instance _50511c9408560000_p_Instance _10531c9408560000_p_Instance _c0531c9408560000_p_Instance _60541c9408560000_p_Instance _00551c9408560000_p_Instance _c0561c9408560000_p_Instance _70571c9408560000_p_Instance _10581c9408560000_p_Instance _b0581c9408560000_p_Instance _50591c9408560000_p_Instance _105b1c9408560000_p_Instance _c05b1c9408560000_p_Instance _605c1c9408560000_p_Instance _005d1c9408560000_p_Instance _c05e1c9408560000_p_Instance _705f1c9408560000_p_Instance _10601c9408560000_p_Instance _b0601c9408560000_p_Instance _70621c9408560000_p_Instance _20631c9408560000_p_Instance _e0641c9408560000_p_Instance _90651c9408560000_p_Instance _30661c9408560000_p_Instance _f0671c9408560000_p_Instance _a0681c9408560000_p_Instance _40691c9408560000_p_Instance _006b1c9408560000_p_Instance _b06b1c9408560000_p_Instance _506c1c9408560000_p_Instance _f06c1c9408560000_p_Instance _b06e1c9408560000_p_Instance _80701c9408560000_p_Instance _50721c9408560000_p_Instance _20741c9408560000_p_Instance _d0741c9408560000_p_Instance _90761c9408560000_p_Instance _40771c9408560000_p_Instance _e0771c9408560000_p_Instance _80781c9408560000_p_Instance _407a1c9408560000_p_Instance _f07a1c9408560000_p_Instance _b07c1c9408560000_p_Instance _807e1c9408560000_p_Instance _50801c9408560000_p_Instance _20821c9408560000_p_Instance _d0821c9408560000_p_Instance _70831c9408560000_p_Instance _30851c9408560000_p_Instance _e0851c9408560000_p_Instance _80861c9408560000_p_Instance _40881c9408560000_p_Instance _108a1c9408560000_p_Instance _e08b1c9408560000_p_Instance _908c1c9408560000_p_Instance _508e1c9408560000_p_Instance _008f1c9408560000_p_Instance _c0901c9408560000_p_Instance _70911c9408560000_p_Instance _30931c9408560000_p_Instance _e0931c9408560000_p_Instance _80941c9408560000_p_Instance _20951c9408560000_p_Instance _e0961c9408560000_p_Instance _90971c9408560000_p_Instance _30981c9408560000_p_Instance _d0981c9408560000_p_Instance _909a1c9408560000_p_Instance _409b1c9408560000_p_Instance _e09b1c9408560000_p_Instance _a09d1c9408560000_p_Instance _509e1c9408560000_p_Instance _10a01c9408560000_p_Instance _c0a01c9408560000_p_Instance _60a11c9408560000_p_Instance _00a21c9408560000_p_Instance _a0a21c9408560000_p_Instance _40a31c9408560000_p_Instance _00a51c9408560000_p_Instance _b0a51c9408560000_p_Instance _50a61c9408560000_p_Instance _f0a61c9408560000_p_Instance _b0a81c9408560000_p_Instance _60a91c9408560000_p_Instance _00aa1c9408560000_p_Instance _a0aa1c9408560000_p_Instance _60ac1c9408560000_p_Instance _10ad1c9408560000_p_Instance _d0ae1c9408560000_p_Instance _a0b01c9408560000_p_Instance _50b11c9408560000_p_Instance _10b31c9408560000_p_Instance _c0b31c9408560000_p_Instance _60b41c9408560000_p_Instance _20b61c9408560000_p_Instance _d0b61c9408560000_p_Instance _90b81c9408560000_p_Instance _60ba1c9408560000_p_Instance _10bb1c9408560000_p_Instance _d0bc1c9408560000_p_Instance _80bd1c9408560000_p_Instance _40bf1c9408560000_p_Instance _10c11c9408560000_p_Instance _e0c21c9408560000_p_Instance _b0c41c9408560000_p_Instance _60c51c9408560000_p_Instance _20c71c9408560000_p_Instance _d0c71c9408560000_p_Instance _70c81c9408560000_p_Instance _10c91c9408560000_p_Instance _b0c91c9408560000_p_Instance _50ca1c9408560000_p_Instance _f0ca1c9408560000_p_Instance _b0cc1c9408560000_p_Instance _80ce1c9408560000_p_Instance _50d01c9408560000_p_Instance _00d11c9408560000_p_Instance _c0d21c9408560000_p_Instance _90d41c9408560000_p_Instance _40d51c9408560000_p_Instance _e0d51c9408560000_p_Instance _80d61c9408560000_p_Instance _40d81c9408560000_p_Instance _f0d81c9408560000_p_Instance _b0da1c9408560000_p_Instance _60db1c9408560000_p_Instance _00dc1c9408560000_p_Instance _c0dd1c9408560000_p_Instance _70de1c9408560000_p_Instance _30e01c9408560000_p_Instance _e0e01c9408560000_p_Instance _a0e21c9408560000_p_Instance _50e31c9408560000_p_Instance _f0e31c9408560000_p_Instance _90e41c9408560000_p_Instance _50e61c9408560000_p_Instance _00e71c9408560000_p_Instance _c0e81c9408560000_p_Instance _70e91c9408560000_p_Instance _30eb1c9408560000_p_Instance _e0eb1c9408560000_p_Instance _80ec1c9408560000_p_Instance _40ee1c9408560000_p_Instance _f0ee1c9408560000_p_Instance _90ef1c9408560000_p_Instance _50f11c9408560000_p_Instance _20f31c9408560000_p_Instance _d0f31c9408560000_p_Instance _70f41c9408560000_p_Instance _30f61c9408560000_p_Instance _e0f61c9408560000_p_Instance _a0f81c9408560000_p_Instance _50f91c9408560000_p_Instance _10fb1c9408560000_p_Instance _c0fb1c9408560000_p_Instance _60fc1c9408560000_p_Instance _00fd1c9408560000_p_Instance _a0fd1c9408560000_p_Instance _40fe1c9408560000_p_Instance _e0fe1c9408560000_p_Instance _80ff1c9408560000_p_Instance _40011d9408560000_p_Instance _f0011d9408560000_p_Instance _90021d9408560000_p_Instance _30031d9408560000_p_Instance _f0041d9408560000_p_Instance _a0051d9408560000_p_Instance _60071d9408560000_p_Instance _10081d9408560000_p_Instance _b0081d9408560000_p_Instance _50091d9408560000_p_Instance _100b1d9408560000_p_Instance _c00b1d9408560000_p_Instance _800d1d9408560000_p_Instance _500f1d9408560000_p_Instance _20111d9408560000_p_Instance _f0121d9408560000_p_Instance _a0131d9408560000_p_Instance _60151d9408560000_p_Instance _30171d9408560000_p_Instance _e0171d9408560000_p_Instance _80181d9408560000_p_Instance _401a1d9408560000_p_Instance _f01a1d9408560000_p_Instance _b01c1d9408560000_p_Instance _601d1d9408560000_p_Instance _001e1d9408560000_p_Instance _c01f1d9408560000_p_Instance _90211d9408560000_p_Instance _40221d9408560000_p_Instance _e0221d9408560000_p_Instance _80231d9408560000_p_Instance _40251d9408560000_p_Instance _f0251d9408560000_p_Instance _b0271d9408560000_p_Instance _60281d9408560000_p_Instance _00291d9408560000_p_Instance _c02a1d9408560000_p_Instance _702b1d9408560000_p_Instance _302d1d9408560000_p_Instance _e02d1d9408560000_p_Instance _a02f1d9408560000_p_Instance _70311d9408560000_p_Instance _40331d9408560000_p_Instance _f0331d9408560000_p_Instance _90341d9408560000_p_Instance _50361d9408560000_p_Instance _00371d9408560000_p_Instance _c0381d9408560000_p_Instance _70391d9408560000_p_Instance _103a1d9408560000_p_Instance _b03a1d9408560000_p_Instance _503b1d9408560000_p_Instance _f03b1d9408560000_p_Instance _b03d1d9408560000_p_Instance _603e1d9408560000_p_Instance _20401d9408560000_p_Instance _d0401d9408560000_p_Instance _70411d9408560000_p_Instance _10421d9408560000_p_Instance _d0431d9408560000_p_Instance _80441d9408560000_p_Instance _20451d9408560000_p_Instance _e0461d9408560000_p_Instance _90471d9408560000_p_Instance _30481d9408560000_p_Instance _f0491d9408560000_p_Instance _a04a1d9408560000_p_Instance _604c1d9408560000_p_Instance _304e1d9408560000_p_Instance _e04e1d9408560000_p_Instance _804f1d9408560000_p_Instance _20501d9408560000_p_Instance _e0511d9408560000_p_Instance _90521d9408560000_p_Instance _50541d9408560000_p_Instance _20561d9408560000_p_Instance _d0561d9408560000_p_Instance _70571d9408560000_p_Instance _30591d9408560000_p_Instance _e0591d9408560000_p_Instance _805a1d9408560000_p_Instance _205b1d9408560000_p_Instance _e05c1d9408560000_p_Instance _905d1d9408560000_p_Instance _505f1d9408560000_p_Instance _20611d9408560000_p_Instance _f0621d9408560000_p_Instance _a0631d9408560000_p_Instance _60651d9408560000_p_Instance _30671d9408560000_p_Instance _e0671d9408560000_p_Instance _80681d9408560000_p_Instance _20691d9408560000_p_Instance _c0691d9408560000_p_Instance _806b1d9408560000_p_Instance _306c1d9408560000_p_Instance _d06c1d9408560000_p_Instance _706d1d9408560000_p_Instance _306f1d9408560000_p_Instance _e06f1d9408560000_p_Instance _a0711d9408560000_p_Instance _50721d9408560000_p_Instance _10741d9408560000_p_Instance _c0741d9408560000_p_Instance _60751d9408560000_p_Instance _20771d9408560000_p_Instance _f0781d9408560000_p_Instance _a0791d9408560000_p_Instance _607b1d9408560000_p_Instance _307d1d9408560000_p_Instance _e07d1d9408560000_p_Instance _a07f1d9408560000_p_Instance _50801d9408560000_p_Instance _10821d9408560000_p_Instance _e0831d9408560000_p_Instance _b0851d9408560000_p_Instance _80871d9408560000_p_Instance _50891d9408560000_p_Instance _008a1d9408560000_p_Instance _a08a1d9408560000_p_Instance _608c1d9408560000_p_Instance _108d1d9408560000_p_Instance _b08d1d9408560000_p_Instance _708f1d9408560000_p_Instance _20901d9408560000_p_Instance _e0911d9408560000_p_Instance _90921d9408560000_p_Instance _30931d9408560000_p_Instance _f0941d9408560000_p_Instance _c0961d9408560000_p_Instance _70971d9408560000_p_Instance _10981d9408560000_p_Instance _b0981d9408560000_p_Instance _709a1d9408560000_p_Instance _209b1d9408560000_p_Instance _c09b1d9408560000_p_Instance _609c1d9408560000_p_Instance _209e1d9408560000_p_Instance _d09e1d9408560000_p_Instance _709f1d9408560000_p_Instance _10a01d9408560000_p_Instance _d0a11d9408560000_p_Instance _a0a31d9408560000_p_Instance _50a41d9408560000_p_Instance _10a61d9408560000_p_Instance _c0a61d9408560000_p_Instance _60a71d9408560000_p_Instance _00a81d9408560000_p_Instance _c0a91d9408560000_p_Instance _70aa1d9408560000_p_Instance _10ab1d9408560000_p_Instance _b0ab1d9408560000_p_Instance _70ad1d9408560000_p_Instance _40af1d9408560000_p_Instance _f0af1d9408560000_p_Instance _90b01d9408560000_p_Instance _30b11d9408560000_p_Instance _d0b11d9408560000_p_Instance _70b21d9408560000_p_Instance _30b41d9408560000_p_Instance _e0b41d9408560000_p_Instance _a0b61d9408560000_p_Instance _50b71d9408560000_p_Instance _f0b71d9408560000_p_Instance _b0b91d9408560000_p_Instance _60ba1d9408560000_p_Instance _20bc1d9408560000_p_Instance _f0bd1d9408560000_p_Instance _c0bf1d9408560000_p_Instance _90c11d9408560000_p_Instance _60c31d9408560000_p_Instance _10c41d9408560000_p_Instance _b0c41d9408560000_p_Instance _70c61d9408560000_p_Instance _40c81d9408560000_p_Instance _10ca1d9408560000_p_Instance _e0cb1d9408560000_p_Instance _90cc1d9408560000_p_Instance _30cd1d9408560000_p_Instance _f0ce1d9408560000_p_Instance _a0cf1d9408560000_p_Instance _60d11d9408560000_p_Instance _10d21d9408560000_p_Instance _d0d31d9408560000_p_Instance _a0d51d9408560000_p_Instance _50d61d9408560000_p_Instance _10d81d9408560000_p_Instance _c0d81d9408560000_p_Instance _80da1d9408560000_p_Instance _30db1d9408560000_p_Instance _d0db1d9408560000_p_Instance _90dd1d9408560000_p_Instance _60df1d9408560000_p_Instance _30e11d9408560000_p_Instance _00e31d9408560000_p_Instance _b0e31d9408560000_p_Instance _70e51d9408560000_p_Instance _40e71d9408560000_p_Instance _f0e71d9408560000_p_Instance _90e81d9408560000_p_Instance _30e91d9408560000_p_Instance _f0ea1d9408560000_p_Instance _c0ec1d9408560000_p_Instance _90ee1d9408560000_p_Instance _60f01d9408560000_p_Instance _10f11d9408560000_p_Instance _b0f11d9408560000_p_Instance _50f21d9408560000_p_Instance _f0f21d9408560000_p_Instance _b0f41d9408560000_p_Instance _60f51d9408560000_p_Instance _20f71d9408560000_p_Instance _d0f71d9408560000_p_Instance _90f91d9408560000_p_Instance _40fa1d9408560000_p_Instance _00fc1d9408560000_p_Instance _d0fd1d9408560000_p_Instance _80fe1d9408560000_p_Instance _20ff1d9408560000_p_Instance _c0ff1d9408560000_p_Instance _80011e9408560000_p_Instance _30021e9408560000_p_Instance _d0021e9408560000_p_Instance _70031e9408560000_p_Instance _30051e9408560000_p_Instance _00071e9408560000_p_Instance _d0081e9408560000_p_Instance _80091e9408560000_p_Instance _400b1e9408560000_p_Instance _f00b1e9408560000_p_Instance _b00d1e9408560000_p_Instance _600e1e9408560000_p_Instance _20101e9408560000_p_Instance _d0101e9408560000_p_Instance _90121e9408560000_p_Instance _60141e9408560000_p_Instance _30161e9408560000_p_Instance _00181e9408560000_p_Instance _b0181e9408560000_p_Instance _701a1e9408560000_p_Instance _201b1e9408560000_p_Instance _e01c1e9408560000_p_Instance _901d1e9408560000_p_Instance _301e1e9408560000_p_Instance _d01e1e9408560000_p_Instance _701f1e9408560000_p_Instance _10201e9408560000_p_Instance _b0201e9408560000_p_Instance _70221e9408560000_p_Instance _40241e9408560000_p_Instance _10261e9408560000_p_Instance _c0261e9408560000_p_Instance _80281e9408560000_p_Instance _30291e9408560000_p_Instance _f02a1e9408560000_p_Instance _c02c1e9408560000_p_Instance _902e1e9408560000_p_Instance _402f1e9408560000_p_Instance _00311e9408560000_p_Instance _b0311e9408560000_p_Instance _70331e9408560000_p_Instance _20341e9408560000_p_Instance _c0341e9408560000_p_Instance _60351e9408560000_p_Instance _00361e9408560000_p_Instance _a0361e9408560000_p_Instance _40371e9408560000_p_Instance _e0371e9408560000_p_Instance _a0391e9408560000_p_Instance _503a1e9408560000_p_Instance _f03a1e9408560000_p_Instance _903b1e9408560000_p_Instance _503d1e9408560000_p_Instance _003e1e9408560000_p_Instance _c03f1e9408560000_p_Instance _70401e9408560000_p_Instance _10411e9408560000_p_Instance _d0421e9408560000_p_Instance _a0441e9408560000_p_Instance _70461e9408560000_p_Instance _20471e9408560000_p_Instance _c0471e9408560000_p_Instance _60481e9408560000_p_Instance _00491e9408560000_p_Instance _a0491e9408560000_p_Instance _404a1e9408560000_p_Instance _e04a1e9408560000_p_Instance _a04c1e9408560000_p_Instance _504d1e9408560000_p_Instance _f04d1e9408560000_p_Instance _904e1e9408560000_p_Instance _50501e9408560000_p_Instance _20521e9408560000_p_Instance _d0521e9408560000_p_Instance _90541e9408560000_p_Instance _60561e9408560000_p_Instance _10571e9408560000_p_Instance _b0571e9408560000_p_Instance _50581e9408560000_p_Instance _105a1e9408560000_p_Instance _c05a1e9408560000_p_Instance _805c1e9408560000_p_Instance _305d1e9408560000_p_Instance _d05d1e9408560000_p_Instance _905f1e9408560000_p_Instance _40601e9408560000_p_Instance _e0601e9408560000_p_Instance _80611e9408560000_p_Instance _40631e9408560000_p_Instance _f0631e9408560000_p_Instance _b0651e9408560000_p_Instance _60661e9408560000_p_Instance _00671e9408560000_p_Instance _a0671e9408560000_p_Instance _60691e9408560000_p_Instance _106a1e9408560000_p_Instance _d06b1e9408560000_p_Instance _a06d1e9408560000_p_Instance _506e1e9408560000_p_Instance _10701e9408560000_p_Instance _e0711e9408560000_p_Instance _b0731e9408560000_p_Instance _60741e9408560000_p_Instance _20761e9408560000_p_Instance _d0761e9408560000_p_Instance _70771e9408560000_p_Instance _10781e9408560000_p_Instance _b0781e9408560000_p_Instance _50791e9408560000_p_Instance _107b1e9408560000_p_Instance _e07c1e9408560000_p_Instance _907d1e9408560000_p_Instance _507f1e9408560000_p_Instance _00801e9408560000_p_Instance _a0801e9408560000_p_Instance _40811e9408560000_p_Instance _00831e9408560000_p_Instance _d0841e9408560000_p_Instance _80851e9408560000_p_Instance _40871e9408560000_p_Instance _f0871e9408560000_p_Instance _b0891e9408560000_p_Instance _608a1e9408560000_p_Instance _208c1e9408560000_p_Instance _d08c1e9408560000_p_Instance _908e1e9408560000_p_Instance _408f1e9408560000_p_Instance _00911e9408560000_p_Instance _d0921e9408560000_p_Instance _a0941e9408560000_p_Instance _50951e9408560000_p_Instance _f0951e9408560000_p_Instance _b0971e9408560000_p_Instance _80991e9408560000_p_Instance _309a1e9408560000_p_Instance _d09a1e9408560000_p_Instance _909c1e9408560000_p_Instance _409d1e9408560000_p_Instance _e09d1e9408560000_p_Instance _809e1e9408560000_p_Instance _209f1e9408560000_p_Instance _c09f1e9408560000_p_Instance _80a11e9408560000_p_Instance _30a21e9408560000_p_Instance _d0a21e9408560000_p_Instance _70a31e9408560000_p_Instance _30a51e9408560000_p_Instance _00a71e9408560000_p_Instance _d0a81e9408560000_p_Instance _80a91e9408560000_p_Instance _40ab1e9408560000_p_Instance _f0ab1e9408560000_p_Instance _b0ad1e9408560000_p_Instance _60ae1e9408560000_p_Instance _00af1e9408560000_p_Instance _a0af1e9408560000_p_Instance _60b11e9408560000_p_Instance _30b31e9408560000_p_Instance _e0b31e9408560000_p_Instance _80b41e9408560000_p_Instance _20b51e9408560000_p_Instance _e0b61e9408560000_p_Instance _b0b81e9408560000_p_Instance _60b91e9408560000_p_Instance _00ba1e9408560000_p_Instance _a0ba1e9408560000_p_Instance _60bc1e9408560000_p_Instance _10bd1e9408560000_p_Instance _b0bd1e9408560000_p_Instance _70bf1e9408560000_p_Instance _40c11e9408560000_p_Instance _f0c11e9408560000_p_Instance _90c21e9408560000_p_Instance _30c31e9408560000_p_Instance _d0c31e9408560000_p_Instance _90c51e9408560000_p_Instance _60c71e9408560000_p_Instance _30c91e9408560000_p_Instance _e0c91e9408560000_p_Instance _80ca1e9408560000_p_Instance _20cb1e9408560000_p_Instance _e0cc1e9408560000_p_Instance _90cd1e9408560000_p_Instance _30ce1e9408560000_p_Instance _d0ce1e9408560000_p_Instance _70cf1e9408560000_p_Instance _10d01e9408560000_p_Instance _d0d11e9408560000_p_Instance _80d21e9408560000_p_Instance _20d31e9408560000_p_Instance _c0d31e9408560000_p_Instance _60d41e9408560000_p_Instance _00d51e9408560000_p_Instance _a0d51e9408560000_p_Instance _40d61e9408560000_p_Instance _e0d61e9408560000_p_Instance _80d71e9408560000_p_Instance _40d91e9408560000_p_Instance _10db1e9408560000_p_Instance _c0db1e9408560000_p_Instance _60dc1e9408560000_p_Instance _00dd1e9408560000_p_Instance _a0dd1e9408560000_p_Instance _40de1e9408560000_p_Instance _00e01e9408560000_p_Instance _b0e01e9408560000_p_Instance _50e11e9408560000_p_Instance _10e31e9408560000_p_Instance _e0e41e9408560000_p_Instance _90e51e9408560000_p_Instance _30e61e9408560000_p_Instance _d0e61e9408560000_p_Instance _90e81e9408560000_p_Instance _40e91e9408560000_p_Instance _e0e91e9408560000_p_Instance _80ea1e9408560000_p_Instance _40ec1e9408560000_p_Instance _f0ec1e9408560000_p_Instance _b0ee1e9408560000_p_Instance _60ef1e9408560000_p_Instance _20f11e9408560000_p_Instance _d0f11e9408560000_p_Instance _90f31e9408560000_p_Instance _40f41e9408560000_p_Instance _e0f41e9408560000_p_Instance _a0f61e9408560000_p_Instance _70f81e9408560000_p_Instance _20f91e9408560000_p_Instance _c0f91e9408560000_p_Instance _80fb1e9408560000_p_Instance _50fd1e9408560000_p_Instance _00fe1e9408560000_p_Instance _c0ff1e9408560000_p_Instance _70001f9408560000_p_Instance _10011f9408560000_p_Instance _d0021f9408560000_p_Instance _a0041f9408560000_p_Instance _50051f9408560000_p_Instance _f0051f9408560000_p_Instance _b0071f9408560000_p_Instance _60081f9408560000_p_Instance _200a1f9408560000_p_Instance _d00a1f9408560000_p_Instance _700b1f9408560000_p_Instance _100c1f9408560000_p_Instance _b00c1f9408560000_p_Instance _700e1f9408560000_p_Instance _200f1f9408560000_p_Instance _e0101f9408560000_p_Instance _b0121f9408560000_p_Instance _80141f9408560000_p_Instance _30151f9408560000_p_Instance _f0161f9408560000_p_Instance _a0171f9408560000_p_Instance _40181f9408560000_p_Instance _001a1f9408560000_p_Instance _d01b1f9408560000_p_Instance _801c1f9408560000_p_Instance _201d1f9408560000_p_Instance _e01e1f9408560000_p_Instance _901f1f9408560000_p_Instance _30201f9408560000_p_Instance _d0201f9408560000_p_Instance _90221f9408560000_p_Instance _40231f9408560000_p_Instance _00251f9408560000_p_Instance _d0261f9408560000_p_Instance _a0281f9408560000_p_Instance _702a1f9408560000_p_Instance _402c1f9408560000_p_Instance _102e1f9408560000_p_Instance _c02e1f9408560000_p_Instance _602f1f9408560000_p_Instance _20311f9408560000_p_Instance _d0311f9408560000_p_Instance _90331f9408560000_p_Instance _60351f9408560000_p_Instance _10361f9408560000_p_Instance _d0371f9408560000_p_Instance _80381f9408560000_p_Instance _403a1f9408560000_p_Instance _103c1f9408560000_p_Instance _e03d1f9408560000_p_Instance _903e1f9408560000_p_Instance _303f1f9408560000_p_Instance _d03f1f9408560000_p_Instance _90411f9408560000_p_Instance _40421f9408560000_p_Instance _e0421f9408560000_p_Instance _a0441f9408560000_p_Instance _70461f9408560000_p_Instance _40481f9408560000_p_Instance _104a1f9408560000_p_Instance _c04a1f9408560000_p_Instance _804c1f9408560000_p_Instance _304d1f9408560000_p_Instance _d04d1f9408560000_p_Instance _704e1f9408560000_p_Instance _104f1f9408560000_p_Instance _d0501f9408560000_p_Instance _a0521f9408560000_p_Instance _50531f9408560000_p_Instance _10551f9408560000_p_Instance _e0561f9408560000_p_Instance _90571f9408560000_p_Instance _30581f9408560000_p_Instance _d0581f9408560000_p_Instance _905a1f9408560000_p_Instance _405b1f9408560000_p_Instance _005d1f9408560000_p_Instance _b05d1f9408560000_p_Instance _705f1f9408560000_p_Instance _20601f9408560000_p_Instance _e0611f9408560000_p_Instance _90621f9408560000_p_Instance _30631f9408560000_p_Instance _d0631f9408560000_p_Instance _90651f9408560000_p_Instance _40661f9408560000_p_Instance _e0661f9408560000_p_Instance _a0681f9408560000_p_Instance _706a1f9408560000_p_Instance _406c1f9408560000_p_Instance _106e1f9408560000_p_Instance _e06f1f9408560000_p_Instance _b0711f9408560000_p_Instance _80731f9408560000_p_Instance _50751f9408560000_p_Instance _00761f9408560000_p_Instance _a0761f9408560000_p_Instance _40771f9408560000_p_Instance _00791f9408560000_p_Instance _b0791f9408560000_p_Instance _507a1f9408560000_p_Instance _f07a1f9408560000_p_Instance _907b1f9408560000_p_Instance _307c1f9408560000_p_Instance _d07c1f9408560000_p_Instance _707d1f9408560000_p_Instance _307f1f9408560000_p_Instance _e07f1f9408560000_p_Instance _a0811f9408560000_p_Instance _70831f9408560000_p_Instance _20841f9408560000_p_Instance _c0841f9408560000_p_Instance _60851f9408560000_p_Instance _20871f9408560000_p_Instance _f0881f9408560000_p_Instance _a0891f9408560000_p_Instance _408a1f9408560000_p_Instance _e08a1f9408560000_p_Instance _808b1f9408560000_p_Instance _208c1f9408560000_p_Instance _c08c1f9408560000_p_Instance _608d1f9408560000_p_Instance _008e1f9408560000_p_Instance _c08f1f9408560000_p_Instance _70901f9408560000_p_Instance _10911f9408560000_p_Instance _b0911f9408560000_p_Instance _70931f9408560000_p_Instance _40951f9408560000_p_Instance _10971f9408560000_p_Instance _c0971f9408560000_p_Instance _80991f9408560000_p_Instance _509b1f9408560000_p_Instance _009c1f9408560000_p_Instance _a09c1f9408560000_p_Instance _609e1f9408560000_p_Instance _109f1f9408560000_p_Instance _b09f1f9408560000_p_Instance _50a01f9408560000_p_Instance _f0a01f9408560000_p_Instance _b0a21f9408560000_p_Instance _60a31f9408560000_p_Instance _20a51f9408560000_p_Instance _f0a61f9408560000_p_Instance _a0a71f9408560000_p_Instance _60a91f9408560000_p_Instance _10aa1f9408560000_p_Instance _d0ab1f9408560000_p_Instance _80ac1f9408560000_p_Instance _20ad1f9408560000_p_Instance _c0ad1f9408560000_p_Instance _60ae1f9408560000_p_Instance _00af1f9408560000_p_Instance _a0af1f9408560000_p_Instance _60b11f9408560000_p_Instance _10b21f9408560000_p_Instance _b0b21f9408560000_p_Instance _50b31f9408560000_p_Instance _10b51f9408560000_p_Instance _c0b51f9408560000_p_Instance _60b61f9408560000_p_Instance _20b81f9408560000_p_Instance _d0b81f9408560000_p_Instance _70b91f9408560000_p_Instance _10ba1f9408560000_p_Instance _d0bb1f9408560000_p_Instance _80bc1f9408560000_p_Instance _20bd1f9408560000_p_Instance _e0be1f9408560000_p_Instance _90bf1f9408560000_p_Instance _30c01f9408560000_p_Instance _d0c01f9408560000_p_Instance _70c11f9408560000_p_Instance _10c21f9408560000_p_Instance _d0c31f9408560000_p_Instance _80c41f9408560000_p_Instance _40c61f9408560000_p_Instance _f0c61f9408560000_p_Instance _90c71f9408560000_p_Instance _30c81f9408560000_p_Instance _f0c91f9408560000_p_Instance _c0cb1f9408560000_p_Instance _70cc1f9408560000_p_Instance _30ce1f9408560000_p_Instance _e0ce1f9408560000_p_Instance _80cf1f9408560000_p_Instance _20d01f9408560000_p_Instance _e0d11f9408560000_p_Instance _b0d31f9408560000_p_Instance _60d41f9408560000_p_Instance _00d51f9408560000_p_Instance _c0d61f9408560000_p_Instance _90d81f9408560000_p_Instance _60da1f9408560000_p_Instance _30dc1f9408560000_p_Instance _00de1f9408560000_p_Instance _b0de1f9408560000_p_Instance _50df1f9408560000_p_Instance _f0df1f9408560000_p_Instance _90e01f9408560000_p_Instance _30e11f9408560000_p_Instance _d0e11f9408560000_p_Instance _90e31f9408560000_p_Instance _40e41f9408560000_p_Instance _e0e41f9408560000_p_Instance _a0e61f9408560000_p_Instance _50e71f9408560000_p_Instance _f0e71f9408560000_p_Instance _b0e91f9408560000_p_Instance _80eb1f9408560000_p_Instance _50ed1f9408560000_p_Instance _20ef1f9408560000_p_Instance _d0ef1f9408560000_p_Instance _70f01f9408560000_p_Instance _30f21f9408560000_p_Instance _e0f21f9408560000_p_Instance _a0f41f9408560000_p_Instance _70f61f9408560000_p_Instance _20f71f9408560000_p_Instance _c0f71f9408560000_p_Instance _60f81f9408560000_p_Instance _00f91f9408560000_p_Instance _a0f91f9408560000_p_Instance _40fa1f9408560000_p_Instance _e0fa1f9408560000_p_Instance _80fb1f9408560000_p_Instance _20fc1f9408560000_p_Instance _e0fd1f9408560000_p_Instance _90fe1f9408560000_p_Instance _5000209408560000_p_Instance _0001209408560000_p_Instance _c002209408560000_p_Instance _7003209408560000_p_Instance _1004209408560000_p_Instance _d005209408560000_p_Instance _8006209408560000_p_Instance _2007209408560000_p_Instance _c007209408560000_p_Instance _8009209408560000_p_Instance _300a209408560000_p_Instance _f00b209408560000_p_Instance _a00c209408560000_p_Instance _600e209408560000_p_Instance _100f209408560000_p_Instance _d010209408560000_p_Instance _8011209408560000_p_Instance _2012209408560000_p_Instance _c012209408560000_p_Instance _8014209408560000_p_Instance _3015209408560000_p_Instance _d015209408560000_p_Instance _7016209408560000_p_Instance _3018209408560000_p_Instance _e018209408560000_p_Instance _8019209408560000_p_Instance _201a209408560000_p_Instance _c01a209408560000_p_Instance _801c209408560000_p_Instance _301d209408560000_p_Instance _d01d209408560000_p_Instance _701e209408560000_p_Instance _3020209408560000_p_Instance _e020209408560000_p_Instance _8021209408560000_p_Instance _4023209408560000_p_Instance _f023209408560000_p_Instance _b025209408560000_p_Instance _8027209408560000_p_Instance _3028209408560000_p_Instance _d028209408560000_p_Instance _7029209408560000_p_Instance _102a209408560000_p_Instance _d02b209408560000_p_Instance _a02d209408560000_p_Instance _502e209408560000_p_Instance _1030209408560000_p_Instance _c030209408560000_p_Instance _8032209408560000_p_Instance _3033209408560000_p_Instance _d033209408560000_p_Instance _7034209408560000_p_Instance _1035209408560000_p_Instance _d036209408560000_p_Instance _8037209408560000_p_Instance _2038209408560000_p_Instance _c038209408560000_p_Instance _6039209408560000_p_Instance _003a209408560000_p_Instance _c03b209408560000_p_Instance _703c209408560000_p_Instance _103d209408560000_p_Instance _b03d209408560000_p_Instance _703f209408560000_p_Instance _2040209408560000_p_Instance _c040209408560000_p_Instance _6041209408560000_p_Instance _0042209408560000_p_Instance _c043209408560000_p_Instance _7044209408560000_p_Instance _1045209408560000_p_Instance _b045209408560000_p_Instance _7047209408560000_p_Instance _2048209408560000_p_Instance _c048209408560000_p_Instance _6049209408560000_p_Instance _004a209408560000_p_Instance _a04a209408560000_p_Instance _404b209408560000_p_Instance _e04b209408560000_p_Instance _a04d209408560000_p_Instance _504e209408560000_p_Instance _f04e209408560000_p_Instance _b050209408560000_p_Instance _6051209408560000_p_Instance _0052209408560000_p_Instance _a052209408560000_p_Instance _4053209408560000_p_Instance _e053209408560000_p_Instance _8054209408560000_p_Instance _2055209408560000_p_Instance _e056209408560000_p_Instance _9057209408560000_p_Instance _3058209408560000_p_Instance _f059209408560000_p_Instance _c05b209408560000_p_Instance _705c209408560000_p_Instance _305e209408560000_p_Instance _0060209408560000_p_Instance _b060209408560000_p_Instance _5061209408560000_p_Instance _f061209408560000_p_Instance _9062209408560000_p_Instance _3063209408560000_p_Instance _f064209408560000_p_Instance _a065209408560000_p_Instance _4066209408560000_p_Instance _e066209408560000_p_Instance _a068209408560000_p_Instance _5069209408560000_p_Instance _106b209408560000_p_Instance _c06b209408560000_p_Instance _806d209408560000_p_Instance _306e209408560000_p_Instance _f06f209408560000_p_Instance _a070209408560000_p_Instance _4071209408560000_p_Instance _e071209408560000_p_Instance _a073209408560000_p_Instance _5074209408560000_p_Instance _f074209408560000_p_Instance _b076209408560000_p_Instance _6077209408560000_p_Instance _0078209408560000_p_Instance _a078209408560000_p_Instance _4079209408560000_p_Instance _007b209408560000_p_Instance _b07b209408560000_p_Instance _507c209408560000_p_Instance _f07c209408560000_p_Instance _907d209408560000_p_Instance _507f209408560000_p_Instance _0080209408560000_p_Instance _a080209408560000_p_Instance _6082209408560000_p_Instance _1083209408560000_p_Instance _d084209408560000_p_Instance _a086209408560000_p_Instance _7088209408560000_p_Instance _408a209408560000_p_Instance _f08a209408560000_p_Instance _b08c209408560000_p_Instance _808e209408560000_p_Instance _308f209408560000_p_Instance _d08f209408560000_p_Instance _9091209408560000_p_Instance _4092209408560000_p_Instance _e092209408560000_p_Instance _a094209408560000_p_Instance _5095209408560000_p_Instance _1097209408560000_p_Instance _c097209408560000_p_Instance _6098209408560000_p_Instance _0099209408560000_p_Instance _a099209408560000_p_Instance _609b209408560000_p_Instance _109c209408560000_p_Instance _b09c209408560000_p_Instance _509d209408560000_p_Instance _109f209408560000_p_Instance _c09f209408560000_p_Instance _80a1209408560000_p_Instance _30a2209408560000_p_Instance _f0a3209408560000_p_Instance _a0a4209408560000_p_Instance _40a5209408560000_p_Instance _00a7209408560000_p_Instance _b0a7209408560000_p_Instance _50a8209408560000_p_Instance _f0a8209408560000_p_Instance _90a9209408560000_p_Instance _30aa209408560000_p_Instance _d0aa209408560000_p_Instance _70ab209408560000_p_Instance _10ac209408560000_p_Instance _b0ac209408560000_p_Instance _50ad209408560000_p_Instance _f0ad209408560000_p_Instance _b0af209408560000_p_Instance _80b1209408560000_p_Instance _30b2209408560000_p_Instance _f0b3209408560000_p_Instance _c0b5209408560000_p_Instance _70b6209408560000_p_Instance _30b8209408560000_p_Instance _e0b8209408560000_p_Instance _80b9209408560000_p_Instance _20ba209408560000_p_Instance _e0bb209408560000_p_Instance _90bc209408560000_p_Instance _50be209408560000_p_Instance _20c0209408560000_p_Instance _f0c1209408560000_p_Instance _a0c2209408560000_p_Instance _60c4209408560000_p_Instance _30c6209408560000_p_Instance _00c8209408560000_p_Instance _d0c9209408560000_p_Instance _a0cb209408560000_p_Instance _50cc209408560000_p_Instance _f0cc209408560000_p_Instance _b0ce209408560000_p_Instance _60cf209408560000_p_Instance _00d0209408560000_p_Instance _c0d1209408560000_p_Instance _70d2209408560000_p_Instance _10d3209408560000_p_Instance _b0d3209408560000_p_Instance _70d5209408560000_p_Instance _20d6209408560000_p_Instance _c0d6209408560000_p_Instance _80d8209408560000_p_Instance _50da209408560000_p_Instance _00db209408560000_p_Instance _c0dc209408560000_p_Instance _70dd209408560000_p_Instance _10de209408560000_p_Instance _d0df209408560000_p_Instance _80e0209408560000_p_Instance _20e1209408560000_p_Instance _c0e1209408560000_p_Instance _60e2209408560000_p_Instance _20e4209408560000_p_Instance _d0e4209408560000_p_Instance _90e6209408560000_p_Instance _60e8209408560000_p_Instance _30ea209408560000_p_Instance _e0ea209408560000_p_Instance _a0ec209408560000_p_Instance _50ed209408560000_p_Instance _f0ed209408560000_p_Instance _90ee209408560000_p_Instance _30ef209408560000_p_Instance _d0ef209408560000_p_Instance _70f0209408560000_p_Instance _10f1209408560000_p_Instance _b0f1209408560000_p_Instance _50f2209408560000_p_Instance _10f4209408560000_p_Instance _c0f4209408560000_p_Instance _60f5209408560000_p_Instance _20f7209408560000_p_Instance _f0f8209408560000_p_Instance _c0fa209408560000_p_Instance _70fb209408560000_p_Instance _10fc209408560000_p_Instance _b0fc209408560000_p_Instance _70fe209408560000_p_Instance _20ff209408560000_p_Instance _e000219408560000_p_Instance _9001219408560000_p_Instance _3002219408560000_p_Instance _d002219408560000_p_Instance _9004219408560000_p_Instance _4005219408560000_p_Instance _e005219408560000_p_Instance _a007219408560000_p_Instance _5008219408560000_p_Instance _f008219408560000_p_Instance _b00a219408560000_p_Instance _600b219408560000_p_Instance _000c219408560000_p_Instance _c00d219408560000_p_Instance _700e219408560000_p_Instance _100f219408560000_p_Instance _d010219408560000_p_Instance _a012219408560000_p_Instance _5013219408560000_p_Instance _f013219408560000_p_Instance _b015219408560000_p_Instance _6016219408560000_p_Instance _0017219408560000_p_Instance _c018219408560000_p_Instance _901a219408560000_p_Instance _601c219408560000_p_Instance _101d219408560000_p_Instance _b01d219408560000_p_Instance _501e219408560000_p_Instance _f01e219408560000_p_Instance _b020219408560000_p_Instance _8022219408560000_p_Instance _3023219408560000_p_Instance _f024219408560000_p_Instance _a025219408560000_p_Instance _4026219408560000_p_Instance _e026219408560000_p_Instance _a028219408560000_p_Instance _5029219408560000_p_Instance _f029219408560000_p_Instance _b02b219408560000_p_Instance _602c219408560000_p_Instance _202e219408560000_p_Instance _d02e219408560000_p_Instance _9030219408560000_p_Instance _6032219408560000_p_Instance _1033219408560000_p_Instance _d034219408560000_p_Instance _8035219408560000_p_Instance _4037219408560000_p_Instance _1039219408560000_p_Instance _e03a219408560000_p_Instance _b03c219408560000_p_Instance _803e219408560000_p_Instance _303f219408560000_p_Instance _d03f219408560000_p_Instance _9041219408560000_p_Instance _4042219408560000_p_Instance _0044219408560000_p_Instance _d045219408560000_p_Instance _a047219408560000_p_Instance _5048219408560000_p_Instance _f048219408560000_p_Instance _b04a219408560000_p_Instance _604b219408560000_p_Instance _204d219408560000_p_Instance _d04d219408560000_p_Instance _904f219408560000_p_Instance _4050219408560000_p_Instance _e050219408560000_p_Instance _8051219408560000_p_Instance _2052219408560000_p_Instance _c052219408560000_p_Instance _8054219408560000_p_Instance _3055219408560000_p_Instance _d055219408560000_p_Instance _7056219408560000_p_Instance _1057219408560000_p_Instance _d058219408560000_p_Instance _a05a219408560000_p_Instance _705c219408560000_p_Instance _205d219408560000_p_Instance _c05d219408560000_p_Instance _605e219408560000_p_Instance _005f219408560000_p_Instance _c060219408560000_p_Instance _7061219408560000_p_Instance _1062219408560000_p_Instance _b062219408560000_p_Instance _7064219408560000_p_Instance _4066219408560000_p_Instance _1068219408560000_p_Instance _c068219408560000_p_Instance _6069219408560000_p_Instance _206b219408560000_p_Instance _d06b219408560000_p_Instance _706c219408560000_p_Instance _106d219408560000_p_Instance _b06d219408560000_p_Instance _506e219408560000_p_Instance _1070219408560000_p_Instance _c070219408560000_p_Instance _8072219408560000_p_Instance _3073219408560000_p_Instance _d073219408560000_p_Instance _7074219408560000_p_Instance _1075219408560000_p_Instance _d076219408560000_p_Instance _a078219408560000_p_Instance _707a219408560000_p_Instance _407c219408560000_p_Instance _107e219408560000_p_Instance _e07f219408560000_p_Instance _9080219408560000_p_Instance _3081219408560000_p_Instance _f082219408560000_p_Instance _c084219408560000_p_Instance _7085219408560000_p_Instance _3087219408560000_p_Instance _0089219408560000_p_Instance _b089219408560000_p_Instance _508a219408560000_p_Instance _f08a219408560000_p_Instance _908b219408560000_p_Instance _508d219408560000_p_Instance _008e219408560000_p_Instance _a08e219408560000_p_Instance _408f219408560000_p_Instance _e08f219408560000_p_Instance _8090219408560000_p_Instance _2091219408560000_p_Instance _c091219408560000_p_Instance _6092219408560000_p_Instance _0093219408560000_p_Instance _c094219408560000_p_Instance _7095219408560000_p_Instance _1096219408560000_p_Instance _b096219408560000_p_Instance _7098219408560000_p_Instance _409a219408560000_p_Instance _109c219408560000_p_Instance _c09c219408560000_p_Instance _809e219408560000_p_Instance _50a0219408560000_p_Instance _00a1219408560000_p_Instance _a0a1219408560000_p_Instance _60a3219408560000_p_Instance _10a4219408560000_p_Instance _b0a4219408560000_p_Instance _50a5219408560000_p_Instance _10a7219408560000_p_Instance _c0a7219408560000_p_Instance _60a8219408560000_p_Instance _00a9219408560000_p_Instance _a0a9219408560000_p_Instance _60ab219408560000_p_Instance _30ad219408560000_p_Instance _00af219408560000_p_Instance _b0af219408560000_p_Instance _70b1219408560000_p_Instance _20b2219408560000_p_Instance _c0b2219408560000_p_Instance _80b4219408560000_p_Instance _50b6219408560000_p_Instance _00b7219408560000_p_Instance _c0b8219408560000_p_Instance _90ba219408560000_p_Instance _60bc219408560000_p_Instance _30be219408560000_p_Instance _e0be219408560000_p_Instance _80bf219408560000_p_Instance _20c0219408560000_p_Instance _e0c1219408560000_p_Instance _90c2219408560000_p_Instance _30c3219408560000_p_Instance _d0c3219408560000_p_Instance _90c5219408560000_p_Instance _60c7219408560000_p_Instance _10c8219408560000_p_Instance _d0c9219408560000_p_Instance _a0cb219408560000_p_Instance _70cd219408560000_p_Instance _40cf219408560000_p_Instance _10d1219408560000_p_Instance _e0d2219408560000_p_Instance _b0d4219408560000_p_Instance _60d5219408560000_p_Instance _20d7219408560000_p_Instance _d0d7219408560000_p_Instance _70d8219408560000_p_Instance _30da219408560000_p_Instance _00dc219408560000_p_Instance _b0dc219408560000_p_Instance _50dd219408560000_p_Instance _10df219408560000_p_Instance _c0df219408560000_p_Instance _80e1219408560000_p_Instance _30e2219408560000_p_Instance _d0e2219408560000_p_Instance _90e4219408560000_p_Instance _40e5219408560000_p_Instance _00e7219408560000_p_Instance _d0e8219408560000_p_Instance _a0ea219408560000_p_Instance _70ec219408560000_p_Instance _40ee219408560000_p_Instance _f0ee219408560000_p_Instance _90ef219408560000_p_Instance _30f0219408560000_p_Instance _d0f0219408560000_p_Instance _90f2219408560000_p_Instance _40f3219408560000_p_Instance _e0f3219408560000_p_Instance _80f4219408560000_p_Instance _40f6219408560000_p_Instance _10f8219408560000_p_Instance _e0f9219408560000_p_Instance _b0fb219408560000_p_Instance _60fc219408560000_p_Instance _00fd219408560000_p_Instance _c0fe219408560000_p_Instance _9000229408560000_p_Instance _4001229408560000_p_Instance _0003229408560000_p_Instance _b003229408560000_p_Instance _7005229408560000_p_Instance _4007229408560000_p_Instance _f007229408560000_p_Instance _9008229408560000_p_Instance _3009229408560000_p_Instance _d009229408560000_p_Instance _700a229408560000_p_Instance _300c229408560000_p_Instance _000e229408560000_p_Instance _d00f229408560000_p_Instance _8010229408560000_p_Instance _2011229408560000_p_Instance _e012229408560000_p_Instance _9013229408560000_p_Instance _5015229408560000_p_Instance _2017229408560000_p_Instance _d017229408560000_p_Instance _9019229408560000_p_Instance _601b229408560000_p_Instance _301d229408560000_p_Instance _001f229408560000_p_Instance _d020229408560000_p_Instance _a022229408560000_p_Instance _7024229408560000_p_Instance _2025229408560000_p_Instance _e026229408560000_p_Instance _b028229408560000_p_Instance _802a229408560000_p_Instance _502c229408560000_p_Instance _202e229408560000_p_Instance _f02f229408560000_p_Instance _c031229408560000_p_Instance _7032229408560000_p_Instance _1033229408560000_p_Instance _d034229408560000_p_Instance _a036229408560000_p_Instance _5037229408560000_p_Instance _f037229408560000_p_Instance _9038229408560000_p_Instance _503a229408560000_p_Instance _003b229408560000_p_Instance _a03b229408560000_p_Instance _403c229408560000_p_Instance _e03c229408560000_p_Instance _a03e229408560000_p_Instance _7040229408560000_p_Instance _2041229408560000_p_Instance _c041229408560000_p_Instance _6042229408560000_p_Instance _0043229408560000_p_Instance _c044229408560000_p_Instance _9046229408560000_p_Instance _4047229408560000_p_Instance _0049229408560000_p_Instance _d04a229408560000_p_Instance _804b229408560000_p_Instance _404d229408560000_p_Instance _104f229408560000_p_Instance _e050229408560000_p_Instance _b052229408560000_p_Instance _6053229408560000_p_Instance _2055229408560000_p_Instance _d055229408560000_p_Instance _7056229408560000_p_Instance _3058229408560000_p_Instance _e058229408560000_p_Instance _a05a229408560000_p_Instance _505b229408560000_p_Instance _105d229408560000_p_Instance _e05e229408560000_p_Instance _b060229408560000_p_Instance _6061229408560000_p_Instance _2063229408560000_p_Instance _f064229408560000_p_Instance _c066229408560000_p_Instance _9068229408560000_p_Instance _4069229408560000_p_Instance _006b229408560000_p_Instance _d06c229408560000_p_Instance _a06e229408560000_p_Instance _506f229408560000_p_Instance _f06f229408560000_p_Instance _b071229408560000_p_Instance _6072229408560000_p_Instance _2074229408560000_p_Instance _d074229408560000_p_Instance _7075229408560000_p_Instance _3077229408560000_p_Instance _0079229408560000_p_Instance _b079229408560000_p_Instance _507a229408560000_p_Instance _f07a229408560000_p_Instance _907b229408560000_p_Instance _507d229408560000_p_Instance _007e229408560000_p_Instance _a07e229408560000_p_Instance _407f229408560000_p_Instance _e07f229408560000_p_Instance _a081229408560000_p_Instance _5082229408560000_p_Instance _f082229408560000_p_Instance _9083229408560000_p_Instance _5085229408560000_p_Instance _0086229408560000_p_Instance _a086229408560000_p_Instance _6088229408560000_p_Instance _308a229408560000_p_Instance _008c229408560000_p_Instance _d08d229408560000_p_Instance _a08f229408560000_p_Instance _5090229408560000_p_Instance _f090229408560000_p_Instance _b092229408560000_p_Instance _8094229408560000_p_Instance _5096229408560000_p_Instance _0097229408560000_p_Instance _c098229408560000_p_Instance _7099229408560000_p_Instance _309b229408560000_p_Instance _009d229408560000_p_Instance _d09e229408560000_p_Instance _a0a0229408560000_p_Instance _70a2229408560000_p_Instance _40a4229408560000_p_Instance _10a6229408560000_p_Instance _e0a7229408560000_p_Instance _b0a9229408560000_p_Instance _80ab229408560000_p_Instance _50ad229408560000_p_Instance _00ae229408560000_p_Instance _c0af229408560000_p_Instance _70b0229408560000_p_Instance _30b2229408560000_p_Instance _e0b2229408560000_p_Instance _80b3229408560000_p_Instance _20b4229408560000_p_Instance _c0b4229408560000_p_Instance _60b5229408560000_p_Instance _00b6229408560000_p_Instance _a0b6229408560000_p_Instance _60b8229408560000_p_Instance _10b9229408560000_p_Instance _d0ba229408560000_p_Instance _a0bc229408560000_p_Instance _70be229408560000_p_Instance _40c0229408560000_p_Instance _10c2229408560000_p_Instance _e0c3229408560000_p_Instance _b0c5229408560000_p_Instance _80c7229408560000_p_Instance _50c9229408560000_p_Instance _20cb229408560000_p_Instance _f0cc229408560000_p_Instance _c0ce229408560000_p_Instance _90d0229408560000_p_Instance _60d2229408560000_p_Instance _10d3229408560000_p_Instance _d0d4229408560000_p_Instance _a0d6229408560000_p_Instance _70d8229408560000_p_Instance _40da229408560000_p_Instance _10dc229408560000_p_Instance _c0dc229408560000_p_Instance _80de229408560000_p_Instance _50e0229408560000_p_Instance _00e1229408560000_p_Instance _a0e1229408560000_p_Instance _40e2229408560000_p_Instance _00e4229408560000_p_Instance _b0e4229408560000_p_Instance _50e5229408560000_p_Instance _f0e5229408560000_p_Instance _90e6229408560000_p_Instance _30e7229408560000_p_Instance _f0e8229408560000_p_Instance _a0e9229408560000_p_Instance _60eb229408560000_p_Instance _10ec229408560000_p_Instance _b0ec229408560000_p_Instance _70ee229408560000_p_Instance _20ef229408560000_p_Instance _c0ef229408560000_p_Instance _80f1229408560000_p_Instance _50f3229408560000_p_Instance _20f5229408560000_p_Instance _f0f6229408560000_p_Instance _a0f7229408560000_p_Instance _40f8229408560000_p_Instance _00fa229408560000_p_Instance _b0fa229408560000_p_Instance _50fb229408560000_p_Instance _10fd229408560000_p_Instance _c0fd229408560000_p_Instance _60fe229408560000_p_Instance _2000239408560000_p_Instance _f001239408560000_p_Instance _c003239408560000_p_Instance _7004239408560000_p_Instance _1005239408560000_p_Instance _d006239408560000_p_Instance _8007239408560000_p_Instance _2008239408560000_p_Instance _c008239408560000_p_Instance _800a239408560000_p_Instance _300b239408560000_p_Instance _d00b239408560000_p_Instance _700c239408560000_p_Instance _300e239408560000_p_Instance _e00e239408560000_p_Instance _800f239408560000_p_Instance _4011239408560000_p_Instance _f011239408560000_p_Instance _b013239408560000_p_Instance _6014239408560000_p_Instance _0015239408560000_p_Instance _a015239408560000_p_Instance _6017239408560000_p_Instance _1018239408560000_p_Instance _d019239408560000_p_Instance _801a239408560000_p_Instance _201b239408560000_p_Instance _c01b239408560000_p_Instance _601c239408560000_p_Instance _201e239408560000_p_Instance _d01e239408560000_p_Instance _701f239408560000_p_Instance _1020239408560000_p_Instance _d021239408560000_p_Instance _8022239408560000_p_Instance _2023239408560000_p_Instance _c023239408560000_p_Instance _8025239408560000_p_Instance _3026239408560000_p_Instance _d026239408560000_p_Instance _9028239408560000_p_Instance _602a239408560000_p_Instance _102b239408560000_p_Instance _d02c239408560000_p_Instance _a02e239408560000_p_Instance _7030239408560000_p_Instance _2031239408560000_p_Instance _c031239408560000_p_Instance _6032239408560000_p_Instance _2034239408560000_p_Instance _f035239408560000_p_Instance _a036239408560000_p_Instance _4037239408560000_p_Instance _0039239408560000_p_Instance _d03a239408560000_p_Instance _a03c239408560000_p_Instance _503d239408560000_p_Instance _103f239408560000_p_Instance _e040239408560000_p_Instance _b042239408560000_p_Instance _8044239408560000_p_Instance _3045239408560000_p_Instance _f046239408560000_p_Instance _c048239408560000_p_Instance _904a239408560000_p_Instance _604c239408560000_p_Instance _304e239408560000_p_Instance _0050239408560000_p_Instance _d051239408560000_p_Instance _a053239408560000_p_Instance _7055239408560000_p_Instance _4057239408560000_p_Instance _f057239408560000_p_Instance _b059239408560000_p_Instance _805b239408560000_p_Instance _505d239408560000_p_Instance _005e239408560000_p_Instance _a05e239408560000_p_Instance _6060239408560000_p_Instance _1061239408560000_p_Instance _b061239408560000_p_Instance _7063239408560000_p_Instance _2064239408560000_p_Instance _e065239408560000_p_Instance _9066239408560000_p_Instance _5068239408560000_p_Instance _0069239408560000_p_Instance _a069239408560000_p_Instance _606b239408560000_p_Instance _306d239408560000_p_Instance _e06d239408560000_p_Instance _a06f239408560000_p_Instance _5070239408560000_p_Instance _1072239408560000_p_Instance _c072239408560000_p_Instance _6073239408560000_p_Instance _2075239408560000_p_Instance _f076239408560000_p_Instance _a077239408560000_p_Instance _6079239408560000_p_Instance _107a239408560000_p_Instance _d07b239408560000_p_Instance _807c239408560000_p_Instance _207d239408560000_p_Instance _e07e239408560000_p_Instance _b080239408560000_p_Instance _8082239408560000_p_Instance _5084239408560000_p_Instance _2086239408560000_p_Instance _d086239408560000_p_Instance _9088239408560000_p_Instance _4089239408560000_p_Instance _008b239408560000_p_Instance _d08c239408560000_p_Instance _a08e239408560000_p_Instance _508f239408560000_p_Instance _1091239408560000_p_Instance _e092239408560000_p_Instance _b094239408560000_p_Instance _8096239408560000_p_Instance _5098239408560000_p_Instance _0099239408560000_p_Instance _a099239408560000_p_Instance _409a239408560000_p_Instance _009c239408560000_p_Instance _b09c239408560000_p_Instance _509d239408560000_p_Instance _109f239408560000_p_Instance _e0a0239408560000_p_Instance _b0a2239408560000_p_Instance _60a3239408560000_p_Instance _00a4239408560000_p_Instance _a0a4239408560000_p_Instance _60a6239408560000_p_Instance _10a7239408560000_p_Instance _d0a8239408560000_p_Instance _80a9239408560000_p_Instance _40ab239408560000_p_Instance _f0ab239408560000_p_Instance _90ac239408560000_p_Instance _50ae239408560000_p_Instance _00af239408560000_p_Instance _a0af239408560000_p_Instance _40b0239408560000_p_Instance _00b2239408560000_p_Instance _d0b3239408560000_p_Instance _80b4239408560000_p_Instance _40b6239408560000_p_Instance _f0b6239408560000_p_Instance _90b7239408560000_p_Instance _30b8239408560000_p_Instance _d0b8239408560000_p_Instance _70b9239408560000_p_Instance _10ba239408560000_p_Instance _b0ba239408560000_p_Instance _70bc239408560000_p_Instance _40be239408560000_p_Instance _10c0239408560000_p_Instance _c0c0239408560000_p_Instance _80c2239408560000_p_Instance _50c4239408560000_p_Instance _00c5239408560000_p_Instance _a0c5239408560000_p_Instance _60c7239408560000_p_Instance _10c8239408560000_p_Instance _b0c8239408560000_p_Instance _50c9239408560000_p_Instance _10cb239408560000_p_Instance _c0cb239408560000_p_Instance _80cd239408560000_p_Instance _30ce239408560000_p_Instance _f0cf239408560000_p_Instance _a0d0239408560000_p_Instance _60d2239408560000_p_Instance _30d4239408560000_p_Instance _e0d4239408560000_p_Instance _80d5239408560000_p_Instance _40d7239408560000_p_Instance _f0d7239408560000_p_Instance _90d8239408560000_p_Instance _30d9239408560000_p_Instance _f0da239408560000_p_Instance _a0db239408560000_p_Instance _40dc239408560000_p_Instance _e0dc239408560000_p_Instance _a0de239408560000_p_Instance _50df239408560000_p_Instance _f0df239408560000_p_Instance _90e0239408560000_p_Instance _50e2239408560000_p_Instance _00e3239408560000_p_Instance _a0e3239408560000_p_Instance _40e4239408560000_p_Instance _e0e4239408560000_p_Instance _a0e6239408560000_p_Instance _50e7239408560000_p_Instance _f0e7239408560000_p_Instance _90e8239408560000_p_Instance _50ea239408560000_p_Instance _00eb239408560000_p_Instance _a0eb239408560000_p_Instance _40ec239408560000_p_Instance _e0ec239408560000_p_Instance _80ed239408560000_p_Instance _40ef239408560000_p_Instance _10f1239408560000_p_Instance _c0f1239408560000_p_Instance _60f2239408560000_p_Instance _20f4239408560000_p_Instance _d0f4239408560000_p_Instance _90f6239408560000_p_Instance _40f7239408560000_p_Instance _e0f7239408560000_p_Instance _80f8239408560000_p_Instance _20f9239408560000_p_Instance _c0f9239408560000_p_Instance _80fb239408560000_p_Instance _30fc239408560000_p_Instance _d0fc239408560000_p_Instance _90fe239408560000_p_Instance _40ff239408560000_p_Instance _e0ff239408560000_p_Instance _a001249408560000_p_Instance _5002249408560000_p_Instance _f002249408560000_p_Instance _9003249408560000_p_Instance _5005249408560000_p_Instance _2007249408560000_p_Instance _f008249408560000_p_Instance _a009249408560000_p_Instance _400a249408560000_p_Instance _000c249408560000_p_Instance _d00d249408560000_p_Instance _800e249408560000_p_Instance _200f249408560000_p_Instance _e010249408560000_p_Instance _9011249408560000_p_Instance _5013249408560000_p_Instance _0014249408560000_p_Instance _c015249408560000_p_Instance _7016249408560000_p_Instance _3018249408560000_p_Instance _e018249408560000_p_Instance _8019249408560000_p_Instance _401b249408560000_p_Instance _f01b249408560000_p_Instance _b01d249408560000_p_Instance _601e249408560000_p_Instance _001f249408560000_p_Instance _c020249408560000_p_Instance _7021249408560000_p_Instance _3023249408560000_p_Instance _e023249408560000_p_Instance _a025249408560000_p_Instance _7027249408560000_p_Instance _2028249408560000_p_Instance _c028249408560000_p_Instance _6029249408560000_p_Instance _202b249408560000_p_Instance _d02b249408560000_p_Instance _902d249408560000_p_Instance _402e249408560000_p_Instance _e02e249408560000_p_Instance _802f249408560000_p_Instance _2030249408560000_p_Instance _e031249408560000_p_Instance _9032249408560000_p_Instance _3033249408560000_p_Instance _d033249408560000_p_Instance _9035249408560000_p_Instance _4036249408560000_p_Instance _e036249408560000_p_Instance _8037249408560000_p_Instance _2038249408560000_p_Instance _c038249408560000_p_Instance _6039249408560000_p_Instance _003a249408560000_p_Instance _c03b249408560000_p_Instance _703c249408560000_p_Instance _103d249408560000_p_Instance _b03d249408560000_p_Instance _503e249408560000_p_Instance _1040249408560000_p_Instance _e041249408560000_p_Instance _9042249408560000_p_Instance _3043249408560000_p_Instance _d043249408560000_p_Instance _7044249408560000_p_Instance _1045249408560000_p_Instance _d046249408560000_p_Instance _8047249408560000_p_Instance _4049249408560000_p_Instance _f049249408560000_p_Instance _904a249408560000_p_Instance _504c249408560000_p_Instance _004d249408560000_p_Instance _c04e249408560000_p_Instance _704f249408560000_p_Instance _1050249408560000_p_Instance _b050249408560000_p_Instance _7052249408560000_p_Instance _2053249408560000_p_Instance _c053249408560000_p_Instance _6054249408560000_p_Instance _0055249408560000_p_Instance _a055249408560000_p_Instance _4056249408560000_p_Instance _e056249408560000_p_Instance _8057249408560000_p_Instance _2058249408560000_p_Instance _c058249408560000_p_Instance _805a249408560000_p_Instance _505c249408560000_p_Instance _005d249408560000_p_Instance _a05d249408560000_p_Instance _605f249408560000_p_Instance _1060249408560000_p_Instance _b060249408560000_p_Instance _7062249408560000_p_Instance _2063249408560000_p_Instance _e064249408560000_p_Instance _9065249408560000_p_Instance _3066249408560000_p_Instance _f067249408560000_p_Instance _a068249408560000_p_Instance _4069249408560000_p_Instance _e069249408560000_p_Instance _806a249408560000_p_Instance _206b249408560000_p_Instance _c06b249408560000_p_Instance _806d249408560000_p_Instance _306e249408560000_p_Instance _d06e249408560000_p_Instance _706f249408560000_p_Instance _3071249408560000_p_Instance _e071249408560000_p_Instance _8072249408560000_p_Instance _2073249408560000_p_Instance _e074249408560000_p_Instance _9075249408560000_p_Instance _3076249408560000_p_Instance _d076249408560000_p_Instance _9078249408560000_p_Instance _4079249408560000_p_Instance _e079249408560000_p_Instance _807a249408560000_p_Instance _207b249408560000_p_Instance _e07c249408560000_p_Instance _b07e249408560000_p_Instance _8080249408560000_p_Instance _5082249408560000_p_Instance _0083249408560000_p_Instance _a083249408560000_p_Instance _6085249408560000_p_Instance _1086249408560000_p_Instance _b086249408560000_p_Instance _5087249408560000_p_Instance _1089249408560000_p_Instance _c089249408560000_p_Instance _608a249408560000_p_Instance _208c249408560000_p_Instance _d08c249408560000_p_Instance _908e249408560000_p_Instance _408f249408560000_p_Instance _0091249408560000_p_Instance _b091249408560000_p_Instance _5092249408560000_p_Instance _f092249408560000_p_Instance _9093249408560000_p_Instance _5095249408560000_p_Instance _2097249408560000_p_Instance _d097249408560000_p_Instance _9099249408560000_p_Instance _609b249408560000_p_Instance _309d249408560000_p_Instance _e09d249408560000_p_Instance _809e249408560000_p_Instance _209f249408560000_p_Instance _e0a0249408560000_p_Instance _90a1249408560000_p_Instance _50a3249408560000_p_Instance _00a4249408560000_p_Instance _a0a4249408560000_p_Instance _60a6249408560000_p_Instance _10a7249408560000_p_Instance _b0a7249408560000_p_Instance _70a9249408560000_p_Instance _20aa249408560000_p_Instance _e0ab249408560000_p_Instance _b0ad249408560000_p_Instance _80af249408560000_p_Instance _30b0249408560000_p_Instance _d0b0249408560000_p_Instance _70b1249408560000_p_Instance _10b2249408560000_p_Instance _b0b2249408560000_p_Instance _70b4249408560000_p_Instance _40b6249408560000_p_Instance _f0b6249408560000_p_Instance _b0b8249408560000_p_Instance _60b9249408560000_p_Instance _20bb249408560000_p_Instance _d0bb249408560000_p_Instance _70bc249408560000_p_Instance _30be249408560000_p_Instance _e0be249408560000_p_Instance _80bf249408560000_p_Instance _20c0249408560000_p_Instance _c0c0249408560000_p_Instance _80c2249408560000_p_Instance _30c3249408560000_p_Instance _d0c3249408560000_p_Instance _70c4249408560000_p_Instance _30c6249408560000_p_Instance _e0c6249408560000_p_Instance _a0c8249408560000_p_Instance _70ca249408560000_p_Instance _20cb249408560000_p_Instance _c0cb249408560000_p_Instance _60cc249408560000_p_Instance _00cd249408560000_p_Instance _a0cd249408560000_p_Instance _40ce249408560000_p_Instance _e0ce249408560000_p_Instance _80cf249408560000_p_Instance _20d0249408560000_p_Instance _c0d0249408560000_p_Instance _60d1249408560000_p_Instance _00d2249408560000_p_Instance _a0d2249408560000_p_Instance _40d3249408560000_p_Instance _e0d3249408560000_p_Instance _80d4249408560000_p_Instance _20d5249408560000_p_Instance _c0d5249408560000_p_Instance _60d6249408560000_p_Instance _00d7249408560000_p_Instance _a0d7249408560000_p_Instance _40d8249408560000_p_Instance _e0d8249408560000_p_Instance _80d9249408560000_p_Instance _20da249408560000_p_Instance _c0da249408560000_p_Instance _60db249408560000_p_Instance _00dc249408560000_p_Instance _a0dc249408560000_p_Instance _40dd249408560000_p_Instance _e0dd249408560000_p_Instance _80de249408560000_p_Instance _20df249408560000_p_Instance _c0df249408560000_p_Instance _60e0249408560000_p_Instance _00e1249408560000_p_Instance _a0e1249408560000_p_Instance _40e2249408560000_p_Instance _e0e2249408560000_p_Instance _80e3249408560000_p_Instance _20e4249408560000_p_Instance _c0e4249408560000_p_Instance _60e5249408560000_p_Instance _00e6249408560000_p_Instance _a0e6249408560000_p_Instance _40e7249408560000_p_Instance _e0e7249408560000_p_Instance _80e8249408560000_p_Instance _20e9249408560000_p_Instance _c0e9249408560000_p_Instance _60ea249408560000_p_Instance _00eb249408560000_p_Instance _a0eb249408560000_p_Instance _40ec249408560000_p_Instance _e0ec249408560000_p_Instance _80ed249408560000_p_Instance _20ee249408560000_p_Instance _c0ee249408560000_p_Instance _60ef249408560000_p_Instance _00f0249408560000_p_Instance _a0f0249408560000_p_Instance _40f1249408560000_p_Instance _e0f1249408560000_p_Instance _80f2249408560000_p_Instance _20f3249408560000_p_Instance _c0f3249408560000_p_Instance _60f4249408560000_p_Instance _00f5249408560000_p_Instance _a0f5249408560000_p_Instance _40f6249408560000_p_Instance _e0f6249408560000_p_Instance _80f7249408560000_p_Instance _20f8249408560000_p_Instance _c0f8249408560000_p_Instance _60f9249408560000_p_Instance _00fa249408560000_p_Instance _a0fa249408560000_p_Instance _40fb249408560000_p_Instance _e0fb249408560000_p_Instance _80fc249408560000_p_Instance _20fd249408560000_p_Instance _c0fd249408560000_p_Instance _60fe249408560000_p_Instance _00ff249408560000_p_Instance _a0ff249408560000_p_Instance _4000259408560000_p_Instance _e000259408560000_p_Instance _8001259408560000_p_Instance _2002259408560000_p_Instance _c002259408560000_p_Instance _6003259408560000_p_Instance _0004259408560000_p_Instance _a004259408560000_p_Instance _4005259408560000_p_Instance _e005259408560000_p_Instance _8006259408560000_p_Instance _2007259408560000_p_Instance _c007259408560000_p_Instance _6008259408560000_p_Instance _0009259408560000_p_Instance _a009259408560000_p_Instance _400a259408560000_p_Instance _e00a259408560000_p_Instance _800b259408560000_p_Instance _200c259408560000_p_Instance _c00c259408560000_p_Instance _600d259408560000_p_Instance _000e259408560000_p_Instance _a00e259408560000_p_Instance _400f259408560000_p_Instance _e00f259408560000_p_Instance _8010259408560000_p_Instance _2011259408560000_p_Instance _c011259408560000_p_Instance _6012259408560000_p_Instance _0013259408560000_p_Instance _a013259408560000_p_Instance _4014259408560000_p_Instance _e014259408560000_p_Instance _8015259408560000_p_Instance _2016259408560000_p_Instance _c016259408560000_p_Instance _6017259408560000_p_Instance _0018259408560000_p_Instance _a018259408560000_p_Instance _4019259408560000_p_Instance _e019259408560000_p_Instance _801a259408560000_p_Instance _201b259408560000_p_Instance _c01b259408560000_p_Instance _201d259408560000_p_Instance _601e259408560000_p_Instance _a01f259408560000_p_Instance _e020259408560000_p_Instance _2022259408560000_p_Instance _6023259408560000_p_Instance _a024259408560000_p_Instance _e025259408560000_p_Instance _2027259408560000_p_Instance _6028259408560000_p_Instance _a029259408560000_p_Instance _e02a259408560000_p_Instance _202c259408560000_p_Instance _602d259408560000_p_Instance _a02e259408560000_p_Instance _e02f259408560000_p_Instance _2031259408560000_p_Instance _6032259408560000_p_Instance _a033259408560000_p_Instance _e034259408560000_p_Instance _2036259408560000_p_Instance _6037259408560000_p_Instance _a038259408560000_p_Instance _e039259408560000_p_Instance _203b259408560000_p_Instance _603c259408560000_p_Instance _a03d259408560000_p_Instance _e03e259408560000_p_Instance _2040259408560000_p_Instance _6041259408560000_p_Instance _a042259408560000_p_Instance _e043259408560000_p_Instance _2045259408560000_p_Instance _6046259408560000_p_Instance _a047259408560000_p_Instance _e048259408560000_p_Instance _204a259408560000_p_Instance _604b259408560000_p_Instance _a04c259408560000_p_Instance _e04d259408560000_p_Instance _204f259408560000_p_Instance _6050259408560000_p_Instance _a051259408560000_p_Instance _e052259408560000_p_Instance _2054259408560000_p_Instance _6055259408560000_p_Instance _a056259408560000_p_Instance _e057259408560000_p_Instance _2059259408560000_p_Instance _605a259408560000_p_Instance _a05b259408560000_p_Instance _e05c259408560000_p_Instance _205e259408560000_p_Instance _605f259408560000_p_Instance _a060259408560000_p_Instance _e061259408560000_p_Instance _2063259408560000_p_Instance _6064259408560000_p_Instance _a065259408560000_p_Instance _e066259408560000_p_Instance _2068259408560000_p_Instance _6069259408560000_p_Instance _a06a259408560000_p_Instance _e06b259408560000_p_Instance _206d259408560000_p_Instance _606e259408560000_p_Instance _a06f259408560000_p_Instance _e070259408560000_p_Instance _2072259408560000_p_Instance _6073259408560000_p_Instance _a074259408560000_p_Instance _e075259408560000_p_Instance _2077259408560000_p_Instance _6078259408560000_p_Instance _a079259408560000_p_Instance _e07a259408560000_p_Instance _207c259408560000_p_Instance _607d259408560000_p_Instance _a07e259408560000_p_Instance _e07f259408560000_p_Instance _2081259408560000_p_Instance _6082259408560000_p_Instance _a083259408560000_p_Instance _e084259408560000_p_Instance _2086259408560000_p_Instance _6087259408560000_p_Instance _a088259408560000_p_Instance _e089259408560000_p_Instance _208b259408560000_p_Instance _608c259408560000_p_Instance _a08d259408560000_p_Instance _e08e259408560000_p_Instance _2090259408560000_p_Instance _6091259408560000_p_Instance _a092259408560000_p_Instance _e093259408560000_p_Instance _2095259408560000_p_Instance _6096259408560000_p_Instance _a097259408560000_p_Instance _e098259408560000_p_Instance _209a259408560000_p_Instance _609b259408560000_p_Instance _a09c259408560000_p_Instance _e09d259408560000_p_Instance _209f259408560000_p_Instance _60a0259408560000_p_Instance _a0a1259408560000_p_Instance _e0a2259408560000_p_Instance _20a4259408560000_p_Instance _60a5259408560000_p_Instance _a0a6259408560000_p_Instance _e0a7259408560000_p_Instance _20a9259408560000_p_Instance _60aa259408560000_p_Instance _a0ab259408560000_p_Instance _e0ac259408560000_p_Instance _20ae259408560000_p_Instance _60af259408560000_p_Instance _a0b0259408560000_p_Instance _e0b1259408560000_p_Instance _20b3259408560000_p_Instance _60b4259408560000_p_Instance _a0b5259408560000_p_Instance _e0b6259408560000_p_Instance _20b8259408560000_p_Instance _60b9259408560000_p_Instance _a0ba259408560000_p_Instance _e0bb259408560000_p_Instance _20bd259408560000_p_Instance _60be259408560000_p_Instance _a0bf259408560000_p_Instance _e0c0259408560000_p_Instance _20c2259408560000_p_Instance _60c3259408560000_p_Instance _a0c4259408560000_p_Instance _e0c5259408560000_p_Instance _20c7259408560000_p_Instance _60c8259408560000_p_Instance _a0c9259408560000_p_Instance _e0ca259408560000_p_Instance _20cc259408560000_p_Instance _60cd259408560000_p_Instance _a0ce259408560000_p_Instance _e0cf259408560000_p_Instance _20d1259408560000_p_Instance _60d2259408560000_p_Instance _a0d3259408560000_p_Instance _e0d4259408560000_p_Instance _20d6259408560000_p_Instance _60d7259408560000_p_Instance _a0d8259408560000_p_Instance _e0d9259408560000_p_Instance _20db259408560000_p_Instance _60dc259408560000_p_Instance _a0dd259408560000_p_Instance _e0de259408560000_p_Instance _20e0259408560000_p_Instance _60e1259408560000_p_Instance _a0e2259408560000_p_Instance _e0e3259408560000_p_Instance _20e5259408560000_p_Instance _60e6259408560000_p_Instance _a0e7259408560000_p_Instance _e0e8259408560000_p_Instance _20ea259408560000_p_Instance _60eb259408560000_p_Instance _a0ec259408560000_p_Instance _e0ed259408560000_p_Instance _20ef259408560000_p_Instance _60f0259408560000_p_Instance _a0f1259408560000_p_Instance _e0f2259408560000_p_Instance _20f4259408560000_p_Instance _60f5259408560000_p_Instance _a0f6259408560000_p_Instance _e0f7259408560000_p_Instance _20f9259408560000_p_Instance _60fa259408560000_p_Instance _a0fb259408560000_p_Instance _e0fc259408560000_p_Instance _20fe259408560000_p_Instance _60ff259408560000_p_Instance _a000269408560000_p_Instance _e001269408560000_p_Instance _2003269408560000_p_Instance _6004269408560000_p_Instance _a005269408560000_p_Instance _e006269408560000_p_Instance _2008269408560000_p_Instance _6009269408560000_p_Instance _a00a269408560000_p_Instance _e00b269408560000_p_Instance _200d269408560000_p_Instance _600e269408560000_p_Instance _a00f269408560000_p_Instance _e010269408560000_p_Instance _2012269408560000_p_Instance _6013269408560000_p_Instance _a014269408560000_p_Instance _e015269408560000_p_Instance _2017269408560000_p_Instance _6018269408560000_p_Instance _a019269408560000_p_Instance _e01a269408560000_p_Instance _201c269408560000_p_Instance _601d269408560000_p_Instance _a01e269408560000_p_Instance _e01f269408560000_p_Instance _2021269408560000_p_Instance _6022269408560000_p_Instance _a023269408560000_p_Instance _e024269408560000_p_Instance _2026269408560000_p_Instance _6027269408560000_p_Instance _a028269408560000_p_Instance _e029269408560000_p_Instance _202b269408560000_p_Instance _602c269408560000_p_Instance _a02d269408560000_p_Instance _e02e269408560000_p_Instance _2030269408560000_p_Instance _6031269408560000_p_Instance _a032269408560000_p_Instance _e033269408560000_p_Instance _2035269408560000_p_Instance _6036269408560000_p_Instance _a037269408560000_p_Instance _e038269408560000_p_Instance _203a269408560000_p_Instance _603b269408560000_p_Instance _a03c269408560000_p_Instance _e03d269408560000_p_Instance _203f269408560000_p_Instance _6040269408560000_p_Instance _a041269408560000_p_Instance _e042269408560000_p_Instance _2044269408560000_p_Instance _6045269408560000_p_Instance _a046269408560000_p_Instance _e047269408560000_p_Instance _2049269408560000_p_Instance _604a269408560000_p_Instance _a04b269408560000_p_Instance _e04c269408560000_p_Instance _204e269408560000_p_Instance _604f269408560000_p_Instance _a050269408560000_p_Instance _e051269408560000_p_Instance _2053269408560000_p_Instance _6054269408560000_p_Instance _a055269408560000_p_Instance _e056269408560000_p_Instance _2058269408560000_p_Instance _6059269408560000_p_Instance _a05a269408560000_p_Instance _e05b269408560000_p_Instance _205d269408560000_p_Instance _605e269408560000_p_Instance _a05f269408560000_p_Instance _e060269408560000_p_Instance _2062269408560000_p_Instance _6063269408560000_p_Instance _a064269408560000_p_Instance _e065269408560000_p_Instance _2067269408560000_p_Instance _6068269408560000_p_Instance _a069269408560000_p_Instance _e06a269408560000_p_Instance _206c269408560000_p_Instance _606d269408560000_p_Instance _a06e269408560000_p_Instance _e06f269408560000_p_Instance _2071269408560000_p_Instance _6072269408560000_p_Instance _a073269408560000_p_Instance _e074269408560000_p_Instance _2076269408560000_p_Instance _6077269408560000_p_Instance _a078269408560000_p_Instance _e079269408560000_p_Instance _207b269408560000_p_Instance _607c269408560000_p_Instance _a07d269408560000_p_Instance _e07e269408560000_p_Instance _2080269408560000_p_Instance _6081269408560000_p_Instance _a082269408560000_p_Instance _e083269408560000_p_Instance _2085269408560000_p_Instance _6086269408560000_p_Instance _a087269408560000_p_Instance _e088269408560000_p_Instance _208a269408560000_p_Instance _608b269408560000_p_Instance _a08c269408560000_p_Instance _e08d269408560000_p_Instance _208f269408560000_p_Instance _6090269408560000_p_Instance _a091269408560000_p_Instance _e092269408560000_p_Instance _2094269408560000_p_Instance _6095269408560000_p_Instance _a096269408560000_p_Instance _e097269408560000_p_Instance _2099269408560000_p_Instance _609a269408560000_p_Instance _a09b269408560000_p_Instance _e09c269408560000_p_Instance _209e269408560000_p_Instance _609f269408560000_p_Instance _a0a0269408560000_p_Instance _e0a1269408560000_p_Instance _20a3269408560000_p_Instance _60a4269408560000_p_Instance _a0a5269408560000_p_Instance _e0a6269408560000_p_Instance _20a8269408560000_p_Instance _60a9269408560000_p_Instance _a0aa269408560000_p_Instance _e0ab269408560000_p_Instance _20ad269408560000_p_Instance _60ae269408560000_p_Instance _a0af269408560000_p_Instance _e0b0269408560000_p_Instance _20b2269408560000_p_Instance _60b3269408560000_p_Instance _a0b4269408560000_p_Instance _e0b5269408560000_p_Instance _20b7269408560000_p_Instance _60b8269408560000_p_Instance _a0b9269408560000_p_Instance _e0ba269408560000_p_Instance _20bc269408560000_p_Instance _60bd269408560000_p_Instance _a0be269408560000_p_Instance _e0bf269408560000_p_Instance _20c1269408560000_p_Instance _60c2269408560000_p_Instance _a0c3269408560000_p_Instance _e0c4269408560000_p_Instance _20c6269408560000_p_Instance _60c7269408560000_p_Instance _a0c8269408560000_p_Instance _e0c9269408560000_p_Instance _20cb269408560000_p_Instance _60cc269408560000_p_Instance _a0cd269408560000_p_Instance _e0ce269408560000_p_Instance _20d0269408560000_p_Instance _60d1269408560000_p_Instance _a0d2269408560000_p_Instance _e0d3269408560000_p_Instance _20d5269408560000_p_Instance _60d6269408560000_p_Instance _a0d7269408560000_p_Instance _e0d8269408560000_p_Instance _20da269408560000_p_Instance _60db269408560000_p_Instance _a0dc269408560000_p_Instance _e0dd269408560000_p_Instance _20df269408560000_p_Instance _60e0269408560000_p_Instance _a0e1269408560000_p_Instance _e0e2269408560000_p_Instance _20e4269408560000_p_Instance _60e5269408560000_p_Instance _a0e6269408560000_p_Instance _e0e7269408560000_p_Instance _20e9269408560000_p_Instance _60ea269408560000_p_Instance _a0eb269408560000_p_Instance _e0ec269408560000_p_Instance _20ee269408560000_p_Instance _60ef269408560000_p_Instance _a0f0269408560000_p_Instance _e0f1269408560000_p_Instance _20f3269408560000_p_Instance _60f4269408560000_p_Instance _a0f5269408560000_p_Instance _e0f6269408560000_p_Instance _20f8269408560000_p_Instance _60f9269408560000_p_Instance _a0fa269408560000_p_Instance _e0fb269408560000_p_Instance _20fd269408560000_p_Instance _60fe269408560000_p_Instance _a0ff269408560000_p_Instance _e000279408560000_p_Instance _2002279408560000_p_Instance _6003279408560000_p_Instance _a004279408560000_p_Instance _e005279408560000_p_Instance _2007279408560000_p_Instance _6008279408560000_p_Instance _a009279408560000_p_Instance _e00a279408560000_p_Instance _200c279408560000_p_Instance _600d279408560000_p_Instance _a00e279408560000_p_Instance _e00f279408560000_p_Instance _2011279408560000_p_Instance _6012279408560000_p_Instance _a013279408560000_p_Instance _e014279408560000_p_Instance _2016279408560000_p_Instance _6017279408560000_p_Instance _a018279408560000_p_Instance _e019279408560000_p_Instance _201b279408560000_p_Instance _601c279408560000_p_Instance _a01d279408560000_p_Instance _e01e279408560000_p_Instance _2020279408560000_p_Instance _6021279408560000_p_Instance _a022279408560000_p_Instance _e023279408560000_p_Instance _2025279408560000_p_Instance _6026279408560000_p_Instance _a027279408560000_p_Instance _e028279408560000_p_Instance _202a279408560000_p_Instance _602b279408560000_p_Instance _a02c279408560000_p_Instance _e02d279408560000_p_Instance _202f279408560000_p_Instance _6030279408560000_p_Instance _a031279408560000_p_Instance _e032279408560000_p_Instance _2034279408560000_p_Instance _6035279408560000_p_Instance _a036279408560000_p_Instance _e037279408560000_p_Instance _2039279408560000_p_Instance _603a279408560000_p_Instance _a03b279408560000_p_Instance _e03c279408560000_p_Instance _203e279408560000_p_Instance _603f279408560000_p_Instance _a040279408560000_p_Instance _e041279408560000_p_Instance _2043279408560000_p_Instance _6044279408560000_p_Instance _a045279408560000_p_Instance _e046279408560000_p_Instance _2048279408560000_p_Instance _6049279408560000_p_Instance _a04a279408560000_p_Instance _e04b279408560000_p_Instance _204d279408560000_p_Instance _604e279408560000_p_Instance _a04f279408560000_p_Instance _e050279408560000_p_Instance _2052279408560000_p_Instance _6053279408560000_p_Instance _a054279408560000_p_Instance _e055279408560000_p_Instance _2057279408560000_p_Instance _6058279408560000_p_Instance _a059279408560000_p_Instance _e05a279408560000_p_Instance _205c279408560000_p_Instance _605d279408560000_p_Instance _a05e279408560000_p_Instance _e05f279408560000_p_Instance _2061279408560000_p_Instance _6062279408560000_p_Instance _a063279408560000_p_Instance _e064279408560000_p_Instance _2066279408560000_p_Instance _6067279408560000_p_Instance _a068279408560000_p_Instance _e069279408560000_p_Instance _206b279408560000_p_Instance _606c279408560000_p_Instance _a06d279408560000_p_Instance _e06e279408560000_p_Instance _2070279408560000_p_Instance _6071279408560000_p_Instance _a072279408560000_p_Instance _e073279408560000_p_Instance _2075279408560000_p_Instance _6076279408560000_p_Instance _a077279408560000_p_Instance _e078279408560000_p_Instance _207a279408560000_p_Instance _607b279408560000_p_Instance _a07c279408560000_p_Instance _e07d279408560000_p_Instance _207f279408560000_p_Instance _6080279408560000_p_Instance _a081279408560000_p_Instance _e082279408560000_p_Instance _2084279408560000_p_Instance _6085279408560000_p_Instance _a086279408560000_p_Instance _e087279408560000_p_Instance _2089279408560000_p_Instance _608a279408560000_p_Instance _a08b279408560000_p_Instance _e08c279408560000_p_Instance _208e279408560000_p_Instance _608f279408560000_p_Instance _a090279408560000_p_Instance _e091279408560000_p_Instance _2093279408560000_p_Instance _6094279408560000_p_Instance _a095279408560000_p_Instance _e096279408560000_p_Instance _2098279408560000_p_Instance _6099279408560000_p_Instance _a09a279408560000_p_Instance _e09b279408560000_p_Instance _209d279408560000_p_Instance _609e279408560000_p_Instance _a09f279408560000_p_Instance _e0a0279408560000_p_Instance _20a2279408560000_p_Instance _60a3279408560000_p_Instance _a0a4279408560000_p_Instance _e0a5279408560000_p_Instance _20a7279408560000_p_Instance _60a8279408560000_p_Instance _a0a9279408560000_p_Instance _e0aa279408560000_p_Instance _20ac279408560000_p_Instance _60ad279408560000_p_Instance _a0ae279408560000_p_Instance _e0af279408560000_p_Instance _20b1279408560000_p_Instance _60b2279408560000_p_Instance _a0b3279408560000_p_Instance _e0b4279408560000_p_Instance _20b6279408560000_p_Instance _60b7279408560000_p_Instance _a0b8279408560000_p_Instance _e0b9279408560000_p_Instance _20bb279408560000_p_Instance _60bc279408560000_p_Instance _a0bd279408560000_p_Instance _e0be279408560000_p_Instance _20c0279408560000_p_Instance _60c1279408560000_p_Instance _a0c2279408560000_p_Instance _e0c3279408560000_p_Instance _20c5279408560000_p_Instance _60c6279408560000_p_Instance _a0c7279408560000_p_Instance _e0c8279408560000_p_Instance _20ca279408560000_p_Instance _60cb279408560000_p_Instance _a0cc279408560000_p_Instance _e0cd279408560000_p_Instance _20cf279408560000_p_Instance _60d0279408560000_p_Instance _a0d1279408560000_p_Instance _e0d2279408560000_p_Instance _20d4279408560000_p_Instance _60d5279408560000_p_Instance _a0d6279408560000_p_Instance _e0d7279408560000_p_Instance _20d9279408560000_p_Instance _60da279408560000_p_Instance _a0db279408560000_p_Instance _e0dc279408560000_p_Instance _20de279408560000_p_Instance _60df279408560000_p_Instance _a0e0279408560000_p_Instance _e0e1279408560000_p_Instance _20e3279408560000_p_Instance _60e4279408560000_p_Instance _a0e5279408560000_p_Instance _e0e6279408560000_p_Instance _20e8279408560000_p_Instance _60e9279408560000_p_Instance _a0ea279408560000_p_Instance _e0eb279408560000_p_Instance _20ed279408560000_p_Instance _60ee279408560000_p_Instance _a0ef279408560000_p_Instance _e0f0279408560000_p_Instance _20f2279408560000_p_Instance _60f3279408560000_p_Instance _a0f4279408560000_p_Instance _e0f5279408560000_p_Instance _20f7279408560000_p_Instance _60f8279408560000_p_Instance _a0f9279408560000_p_Instance _e0fa279408560000_p_Instance _20fc279408560000_p_Instance _60fd279408560000_p_Instance _a0fe279408560000_p_Instance _e0ff279408560000_p_Instance _2001289408560000_p_Instance _6002289408560000_p_Instance _a003289408560000_p_Instance _e004289408560000_p_Instance _2006289408560000_p_Instance _6007289408560000_p_Instance _a008289408560000_p_Instance _e009289408560000_p_Instance _200b289408560000_p_Instance _600c289408560000_p_Instance _a00d289408560000_p_Instance _e00e289408560000_p_Instance _2010289408560000_p_Instance _6011289408560000_p_Instance _a012289408560000_p_Instance _e013289408560000_p_Instance _2015289408560000_p_Instance _6016289408560000_p_Instance _a017289408560000_p_Instance _e018289408560000_p_Instance _201a289408560000_p_Instance _601b289408560000_p_Instance _a01c289408560000_p_Instance _e01d289408560000_p_Instance _201f289408560000_p_Instance _6020289408560000_p_Instance _a021289408560000_p_Instance _e022289408560000_p_Instance _2024289408560000_p_Instance _6025289408560000_p_Instance _a026289408560000_p_Instance _e027289408560000_p_Instance _2029289408560000_p_Instance _602a289408560000_p_Instance _a02b289408560000_p_Instance _e02c289408560000_p_Instance _202e289408560000_p_Instance _602f289408560000_p_Instance _a030289408560000_p_Instance _e031289408560000_p_Instance _2033289408560000_p_Instance _6034289408560000_p_Instance _a035289408560000_p_Instance _e036289408560000_p_Instance _2038289408560000_p_Instance _6039289408560000_p_Instance _a03a289408560000_p_Instance _e03b289408560000_p_Instance _203d289408560000_p_Instance _603e289408560000_p_Instance _a03f289408560000_p_Instance _e040289408560000_p_Instance _2042289408560000_p_Instance _6043289408560000_p_Instance _a044289408560000_p_Instance _e045289408560000_p_Instance _2047289408560000_p_Instance _6048289408560000_p_Instance _a049289408560000_p_Instance _e04a289408560000_p_Instance _204c289408560000_p_Instance _604d289408560000_p_Instance _a04e289408560000_p_Instance _e04f289408560000_p_Instance _2051289408560000_p_Instance _6052289408560000_p_Instance _a053289408560000_p_Instance _e054289408560000_p_Instance _2056289408560000_p_Instance _6057289408560000_p_Instance _a058289408560000_p_Instance _e059289408560000_p_Instance _205b289408560000_p_Instance _605c289408560000_p_Instance _a05d289408560000_p_Instance _e05e289408560000_p_Instance _2060289408560000_p_Instance _6061289408560000_p_Instance _a062289408560000_p_Instance _e063289408560000_p_Instance _2065289408560000_p_Instance _6066289408560000_p_Instance _a067289408560000_p_Instance _e068289408560000_p_Instance _206a289408560000_p_Instance _606b289408560000_p_Instance _a06c289408560000_p_Instance _e06d289408560000_p_Instance _206f289408560000_p_Instance _6070289408560000_p_Instance _a071289408560000_p_Instance _e072289408560000_p_Instance _2074289408560000_p_Instance _6075289408560000_p_Instance _a076289408560000_p_Instance _e077289408560000_p_Instance _2079289408560000_p_Instance _607a289408560000_p_Instance _a07b289408560000_p_Instance _e07c289408560000_p_Instance _207e289408560000_p_Instance _607f289408560000_p_Instance _a080289408560000_p_Instance _e081289408560000_p_Instance _2083289408560000_p_Instance _6084289408560000_p_Instance _a085289408560000_p_Instance _e086289408560000_p_Instance _2088289408560000_p_Instance _6089289408560000_p_Instance _a08a289408560000_p_Instance _e08b289408560000_p_Instance _208d289408560000_p_Instance _608e289408560000_p_Instance _a08f289408560000_p_Instance _e090289408560000_p_Instance _2092289408560000_p_Instance _6093289408560000_p_Instance _a094289408560000_p_Instance _e095289408560000_p_Instance _2097289408560000_p_Instance _6098289408560000_p_Instance _a099289408560000_p_Instance _e09a289408560000_p_Instance _209c289408560000_p_Instance _609d289408560000_p_Instance _a09e289408560000_p_Instance _e09f289408560000_p_Instance _20a1289408560000_p_Instance _60a2289408560000_p_Instance _a0a3289408560000_p_Instance _e0a4289408560000_p_Instance _20a6289408560000_p_Instance _60a7289408560000_p_Instance _a0a8289408560000_p_Instance _e0a9289408560000_p_Instance _20ab289408560000_p_Instance _60ac289408560000_p_Instance _a0ad289408560000_p_Instance _e0ae289408560000_p_Instance _20b0289408560000_p_Instance _60b1289408560000_p_Instance _a0b2289408560000_p_Instance _e0b3289408560000_p_Instance _20b5289408560000_p_Instance _60b6289408560000_p_Instance _a0b7289408560000_p_Instance _e0b8289408560000_p_Instance _20ba289408560000_p_Instance _60bb289408560000_p_Instance _a0bc289408560000_p_Instance _e0bd289408560000_p_Instance _20bf289408560000_p_Instance _60c0289408560000_p_Instance _a0c1289408560000_p_Instance _e0c2289408560000_p_Instance _20c4289408560000_p_Instance _60c5289408560000_p_Instance _a0c6289408560000_p_Instance _e0c7289408560000_p_Instance _20c9289408560000_p_Instance _60ca289408560000_p_Instance _a0cb289408560000_p_Instance _e0cc289408560000_p_Instance _20ce289408560000_p_Instance _60cf289408560000_p_Instance _a0d0289408560000_p_Instance _e0d1289408560000_p_Instance _20d3289408560000_p_Instance _60d4289408560000_p_Instance _a0d5289408560000_p_Instance _e0d6289408560000_p_Instance _20d8289408560000_p_Instance _60d9289408560000_p_Instance _a0da289408560000_p_Instance _e0db289408560000_p_Instance _20dd289408560000_p_Instance _60de289408560000_p_Instance _a0df289408560000_p_Instance _e0e0289408560000_p_Instance _20e2289408560000_p_Instance _60e3289408560000_p_Instance _a0e4289408560000_p_Instance _e0e5289408560000_p_Instance _20e7289408560000_p_Instance _60e8289408560000_p_Instance _a0e9289408560000_p_Instance _e0ea289408560000_p_Instance _20ec289408560000_p_Instance _60ed289408560000_p_Instance _a0ee289408560000_p_Instance _e0ef289408560000_p_Instance _20f1289408560000_p_Instance _60f2289408560000_p_Instance _a0f3289408560000_p_Instance _e0f4289408560000_p_Instance _20f6289408560000_p_Instance _60f7289408560000_p_Instance _a0f8289408560000_p_Instance _e0f9289408560000_p_Instance _20fb289408560000_p_Instance _60fc289408560000_p_Instance _a0fd289408560000_p_Instance _e0fe289408560000_p_Instance _2000299408560000_p_Instance _6001299408560000_p_Instance _a002299408560000_p_Instance _e003299408560000_p_Instance _2005299408560000_p_Instance _6006299408560000_p_Instance _a007299408560000_p_Instance _e008299408560000_p_Instance _200a299408560000_p_Instance _600b299408560000_p_Instance _a00c299408560000_p_Instance _e00d299408560000_p_Instance _200f299408560000_p_Instance _6010299408560000_p_Instance _a011299408560000_p_Instance _e012299408560000_p_Instance _2014299408560000_p_Instance _6015299408560000_p_Instance _a016299408560000_p_Instance _e017299408560000_p_Instance _2019299408560000_p_Instance _601a299408560000_p_Instance _a01b299408560000_p_Instance _e01c299408560000_p_Instance _201e299408560000_p_Instance _601f299408560000_p_Instance _a020299408560000_p_Instance _e021299408560000_p_Instance _2023299408560000_p_Instance _6024299408560000_p_Instance _a025299408560000_p_Instance _e026299408560000_p_Instance _2028299408560000_p_Instance _6029299408560000_p_Instance _a02a299408560000_p_Instance _e02b299408560000_p_Instance _202d299408560000_p_Instance _602e299408560000_p_Instance _a02f299408560000_p_Instance _e030299408560000_p_Instance _2032299408560000_p_Instance _6033299408560000_p_Instance _a034299408560000_p_Instance _e035299408560000_p_Instance _2037299408560000_p_Instance _6038299408560000_p_Instance _a039299408560000_p_Instance _e03a299408560000_p_Instance _203c299408560000_p_Instance _603d299408560000_p_Instance _a03e299408560000_p_Instance _e03f299408560000_p_Instance _2041299408560000_p_Instance _6042299408560000_p_Instance _a043299408560000_p_Instance _e044299408560000_p_Instance _2046299408560000_p_Instance _6047299408560000_p_Instance _a048299408560000_p_Instance _e049299408560000_p_Instance _204b299408560000_p_Instance _604c299408560000_p_Instance _a04d299408560000_p_Instance _e04e299408560000_p_Instance _2050299408560000_p_Instance _6051299408560000_p_Instance _a052299408560000_p_Instance _e053299408560000_p_Instance _2055299408560000_p_Instance _6056299408560000_p_Instance _a057299408560000_p_Instance _e058299408560000_p_Instance _205a299408560000_p_Instance _605b299408560000_p_Instance _a05c299408560000_p_Instance _e05d299408560000_p_Instance _205f299408560000_p_Instance _6060299408560000_p_Instance _a061299408560000_p_Instance _e062299408560000_p_Instance _2064299408560000_p_Instance _6065299408560000_p_Instance _a066299408560000_p_Instance _e067299408560000_p_Instance _2069299408560000_p_Instance _606a299408560000_p_Instance _a06b299408560000_p_Instance _e06c299408560000_p_Instance _206e299408560000_p_Instance _606f299408560000_p_Instance _a070299408560000_p_Instance _e071299408560000_p_Instance _2073299408560000_p_Instance _6074299408560000_p_Instance _a075299408560000_p_Instance _e076299408560000_p_Instance _2078299408560000_p_Instance _6079299408560000_p_Instance _a07a299408560000_p_Instance _e07b299408560000_p_Instance _207d299408560000_p_Instance _607e299408560000_p_Instance _a07f299408560000_p_Instance _e080299408560000_p_Instance _2082299408560000_p_Instance _6083299408560000_p_Instance _a084299408560000_p_Instance _e085299408560000_p_Instance _2087299408560000_p_Instance _6088299408560000_p_Instance _a089299408560000_p_Instance _e08a299408560000_p_Instance _208c299408560000_p_Instance _608d299408560000_p_Instance _a08e299408560000_p_Instance _e08f299408560000_p_Instance _2091299408560000_p_Instance _6092299408560000_p_Instance _a093299408560000_p_Instance _e094299408560000_p_Instance _2096299408560000_p_Instance _6097299408560000_p_Instance _a098299408560000_p_Instance _e099299408560000_p_Instance _209b299408560000_p_Instance _609c299408560000_p_Instance _a09d299408560000_p_Instance _e09e299408560000_p_Instance _20a0299408560000_p_Instance _60a1299408560000_p_Instance _a0a2299408560000_p_Instance _e0a3299408560000_p_Instance _20a5299408560000_p_Instance _60a6299408560000_p_Instance _a0a7299408560000_p_Instance _e0a8299408560000_p_Instance _20aa299408560000_p_Instance _60ab299408560000_p_Instance _a0ac299408560000_p_Instance _e0ad299408560000_p_Instance _20af299408560000_p_Instance _60b0299408560000_p_Instance _a0b1299408560000_p_Instance _e0b2299408560000_p_Instance _20b4299408560000_p_Instance _60b5299408560000_p_Instance _a0b6299408560000_p_Instance _e0b7299408560000_p_Instance _20b9299408560000_p_Instance _60ba299408560000_p_Instance _a0bb299408560000_p_Instance _e0bc299408560000_p_Instance _20be299408560000_p_Instance _60bf299408560000_p_Instance _a0c0299408560000_p_Instance _e0c1299408560000_p_Instance _20c3299408560000_p_Instance _60c4299408560000_p_Instance _a0c5299408560000_p_Instance _e0c6299408560000_p_Instance _20c8299408560000_p_Instance _60c9299408560000_p_Instance _a0ca299408560000_p_Instance _e0cb299408560000_p_Instance _20cd299408560000_p_Instance _60ce299408560000_p_Instance _a0cf299408560000_p_Instance _e0d0299408560000_p_Instance _20d2299408560000_p_Instance _60d3299408560000_p_Instance _a0d4299408560000_p_Instance _e0d5299408560000_p_Instance _20d7299408560000_p_Instance _60d8299408560000_p_Instance _a0d9299408560000_p_Instance _e0da299408560000_p_Instance _20dc299408560000_p_Instance _60dd299408560000_p_Instance _a0de299408560000_p_Instance _e0df299408560000_p_Instance _20e1299408560000_p_Instance _60e2299408560000_p_Instance _a0e3299408560000_p_Instance _e0e4299408560000_p_Instance _20e6299408560000_p_Instance _60e7299408560000_p_Instance _a0e8299408560000_p_Instance _e0e9299408560000_p_Instance _20eb299408560000_p_Instance _60ec299408560000_p_Instance _a0ed299408560000_p_Instance _e0ee299408560000_p_Instance _20f0299408560000_p_Instance _60f1299408560000_p_Instance _a0f2299408560000_p_Instance _e0f3299408560000_p_Instance _20f5299408560000_p_Instance _60f6299408560000_p_Instance _a0f7299408560000_p_Instance _e0f8299408560000_p_Instance _20fa299408560000_p_Instance _60fb299408560000_p_Instance _a0fc299408560000_p_Instance _e0fd299408560000_p_Instance _20ff299408560000_p_Instance _60002a9408560000_p_Instance _a0012a9408560000_p_Instance _e0022a9408560000_p_Instance _20042a9408560000_p_Instance _60052a9408560000_p_Instance _a0062a9408560000_p_Instance _e0072a9408560000_p_Instance _20092a9408560000_p_Instance _600a2a9408560000_p_Instance _a00b2a9408560000_p_Instance _e00c2a9408560000_p_Instance _200e2a9408560000_p_Instance _600f2a9408560000_p_Instance _a0102a9408560000_p_Instance _e0112a9408560000_p_Instance _20132a9408560000_p_Instance _60142a9408560000_p_Instance _a0152a9408560000_p_Instance _e0162a9408560000_p_Instance _20182a9408560000_p_Instance _60192a9408560000_p_Instance _a01a2a9408560000_p_Instance _e01b2a9408560000_p_Instance _201d2a9408560000_p_Instance _601e2a9408560000_p_Instance _a01f2a9408560000_p_Instance _e0202a9408560000_p_Instance _20222a9408560000_p_Instance _60232a9408560000_p_Instance _a0242a9408560000_p_Instance _e0252a9408560000_p_Instance _20272a9408560000_p_Instance _60282a9408560000_p_Instance _a0292a9408560000_p_Instance _e02a2a9408560000_p_Instance _202c2a9408560000_p_Instance _602d2a9408560000_p_Instance _a02e2a9408560000_p_Instance _e02f2a9408560000_p_Instance _20312a9408560000_p_Instance _60322a9408560000_p_Instance _a0332a9408560000_p_Instance _e0342a9408560000_p_Instance _20362a9408560000_p_Instance _60372a9408560000_p_Instance _a0382a9408560000_p_Instance _e0392a9408560000_p_Instance _203b2a9408560000_p_Instance _603c2a9408560000_p_Instance _a03d2a9408560000_p_Instance _e03e2a9408560000_p_Instance _20402a9408560000_p_Instance _60412a9408560000_p_Instance _a0422a9408560000_p_Instance _e0432a9408560000_p_Instance _20452a9408560000_p_Instance _60462a9408560000_p_Instance _a0472a9408560000_p_Instance _e0482a9408560000_p_Instance _204a2a9408560000_p_Instance _604b2a9408560000_p_Instance _a04c2a9408560000_p_Instance _e04d2a9408560000_p_Instance _204f2a9408560000_p_Instance _60502a9408560000_p_Instance _a0512a9408560000_p_Instance _e0522a9408560000_p_Instance _20542a9408560000_p_Instance _60552a9408560000_p_Instance _a0562a9408560000_p_Instance _e0572a9408560000_p_Instance _20592a9408560000_p_Instance _605a2a9408560000_p_Instance _a05b2a9408560000_p_Instance _e05c2a9408560000_p_Instance _205e2a9408560000_p_Instance _605f2a9408560000_p_Instance _a0602a9408560000_p_Instance _e0612a9408560000_p_Instance _20632a9408560000_p_Instance _60642a9408560000_p_Instance _a0652a9408560000_p_Instance _e0662a9408560000_p_Instance _20682a9408560000_p_Instance _60692a9408560000_p_Instance _a06a2a9408560000_p_Instance _e06b2a9408560000_p_Instance _206d2a9408560000_p_Instance _606e2a9408560000_p_Instance _a06f2a9408560000_p_Instance _e0702a9408560000_p_Instance _20722a9408560000_p_Instance _60732a9408560000_p_Instance _a0742a9408560000_p_Instance _e0752a9408560000_p_Instance _20772a9408560000_p_Instance _60782a9408560000_p_Instance _a0792a9408560000_p_Instance _e07a2a9408560000_p_Instance _207c2a9408560000_p_Instance _607d2a9408560000_p_Instance _a07e2a9408560000_p_Instance _e07f2a9408560000_p_Instance _20812a9408560000_p_Instance _60822a9408560000_p_Instance _a0832a9408560000_p_Instance _e0842a9408560000_p_Instance _20862a9408560000_p_Instance _60872a9408560000_p_Instance _a0882a9408560000_p_Instance _e0892a9408560000_p_Instance _208b2a9408560000_p_Instance _608c2a9408560000_p_Instance _a08d2a9408560000_p_Instance _e08e2a9408560000_p_Instance _20902a9408560000_p_Instance _60912a9408560000_p_Instance _a0922a9408560000_p_Instance _e0932a9408560000_p_Instance _20952a9408560000_p_Instance _60962a9408560000_p_Instance _a0972a9408560000_p_Instance _e0982a9408560000_p_Instance _209a2a9408560000_p_Instance _609b2a9408560000_p_Instance _a09c2a9408560000_p_Instance _e09d2a9408560000_p_Instance _209f2a9408560000_p_Instance _60a02a9408560000_p_Instance _a0a12a9408560000_p_Instance _e0a22a9408560000_p_Instance _20a42a9408560000_p_Instance _60a52a9408560000_p_Instance _a0a62a9408560000_p_Instance _e0a72a9408560000_p_Instance _20a92a9408560000_p_Instance _60aa2a9408560000_p_Instance _a0ab2a9408560000_p_Instance _e0ac2a9408560000_p_Instance _20ae2a9408560000_p_Instance _60af2a9408560000_p_Instance _a0b02a9408560000_p_Instance _e0b12a9408560000_p_Instance _20b32a9408560000_p_Instance _60b42a9408560000_p_Instance _a0b52a9408560000_p_Instance _e0b62a9408560000_p_Instance _20b82a9408560000_p_Instance _60b92a9408560000_p_Instance _a0ba2a9408560000_p_Instance _e0bb2a9408560000_p_Instance _20bd2a9408560000_p_Instance _60be2a9408560000_p_Instance _a0bf2a9408560000_p_Instance _e0c02a9408560000_p_Instance _20c22a9408560000_p_Instance _60c32a9408560000_p_Instance _a0c42a9408560000_p_Instance _e0c52a9408560000_p_Instance _20c72a9408560000_p_Instance _60c82a9408560000_p_Instance _a0c92a9408560000_p_Instance _e0ca2a9408560000_p_Instance _20cc2a9408560000_p_Instance _60cd2a9408560000_p_Instance _a0ce2a9408560000_p_Instance _e0cf2a9408560000_p_Instance _20d12a9408560000_p_Instance _60d22a9408560000_p_Instance _a0d32a9408560000_p_Instance _e0d42a9408560000_p_Instance _20d62a9408560000_p_Instance _60d72a9408560000_p_Instance _a0d82a9408560000_p_Instance _e0d92a9408560000_p_Instance _20db2a9408560000_p_Instance _60dc2a9408560000_p_Instance _a0dd2a9408560000_p_Instance _e0de2a9408560000_p_Instance _20e02a9408560000_p_Instance _60e12a9408560000_p_Instance _a0e22a9408560000_p_Instance _e0e32a9408560000_p_Instance _20e52a9408560000_p_Instance _60e62a9408560000_p_Instance _a0e72a9408560000_p_Instance _e0e82a9408560000_p_Instance _20ea2a9408560000_p_Instance _60eb2a9408560000_p_Instance _a0ec2a9408560000_p_Instance _e0ed2a9408560000_p_Instance _20ef2a9408560000_p_Instance _60f02a9408560000_p_Instance _a0f12a9408560000_p_Instance _e0f22a9408560000_p_Instance _20f42a9408560000_p_Instance _60f52a9408560000_p_Instance _a0f62a9408560000_p_Instance _e0f72a9408560000_p_Instance _20f92a9408560000_p_Instance _60fa2a9408560000_p_Instance _a0fb2a9408560000_p_Instance _e0fc2a9408560000_p_Instance _20fe2a9408560000_p_Instance _60ff2a9408560000_p_Instance _a0002b9408560000_p_Instance _e0012b9408560000_p_Instance _20032b9408560000_p_Instance _60042b9408560000_p_Instance _a0052b9408560000_p_Instance _e0062b9408560000_p_Instance _20082b9408560000_p_Instance _60092b9408560000_p_Instance _a00a2b9408560000_p_Instance _e00b2b9408560000_p_Instance _200d2b9408560000_p_Instance _600e2b9408560000_p_Instance _a00f2b9408560000_p_Instance _e0102b9408560000_p_Instance _20122b9408560000_p_Instance _60132b9408560000_p_Instance _a0142b9408560000_p_Instance _e0152b9408560000_p_Instance _20172b9408560000_p_Instance _60182b9408560000_p_Instance _a0192b9408560000_p_Instance _e01a2b9408560000_p_Instance _201c2b9408560000_p_Instance _601d2b9408560000_p_Instance _a01e2b9408560000_p_Instance _e01f2b9408560000_p_Instance _20212b9408560000_p_Instance _60222b9408560000_p_Instance _a0232b9408560000_p_Instance _e0242b9408560000_p_Instance _20262b9408560000_p_Instance _60272b9408560000_p_Instance _a0282b9408560000_p_Instance _e0292b9408560000_p_Instance _202b2b9408560000_p_Instance _602c2b9408560000_p_Instance _a02d2b9408560000_p_Instance _e02e2b9408560000_p_Instance _20302b9408560000_p_Instance _60312b9408560000_p_Instance _a0322b9408560000_p_Instance _e0332b9408560000_p_Instance _20352b9408560000_p_Instance _60362b9408560000_p_Instance _a0372b9408560000_p_Instance _e0382b9408560000_p_Instance _203a2b9408560000_p_Instance _603b2b9408560000_p_Instance _a03c2b9408560000_p_Instance _e03d2b9408560000_p_Instance _203f2b9408560000_p_Instance _60402b9408560000_p_Instance _a0412b9408560000_p_Instance _e0422b9408560000_p_Instance _20442b9408560000_p_Instance _60452b9408560000_p_Instance _a0462b9408560000_p_Instance _e0472b9408560000_p_Instance _20492b9408560000_p_Instance _604a2b9408560000_p_Instance _a04b2b9408560000_p_Instance _e04c2b9408560000_p_Instance _204e2b9408560000_p_Instance _604f2b9408560000_p_Instance _a0502b9408560000_p_Instance _e0512b9408560000_p_Instance _20532b9408560000_p_Instance _60542b9408560000_p_Instance _a0552b9408560000_p_Instance _e0562b9408560000_p_Instance _20582b9408560000_p_Instance _60592b9408560000_p_Instance _a05a2b9408560000_p_Instance _e05b2b9408560000_p_Instance _205d2b9408560000_p_Instance _605e2b9408560000_p_Instance _a05f2b9408560000_p_Instance _e0602b9408560000_p_Instance _20622b9408560000_p_Instance _60632b9408560000_p_Instance _a0642b9408560000_p_Instance _e0652b9408560000_p_Instance _20672b9408560000_p_Instance _60682b9408560000_p_Instance _a0692b9408560000_p_Instance _e06a2b9408560000_p_Instance _206c2b9408560000_p_Instance _606d2b9408560000_p_Instance _a06e2b9408560000_p_Instance _e06f2b9408560000_p_Instance _20712b9408560000_p_Instance _60722b9408560000_p_Instance _a0732b9408560000_p_Instance _e0742b9408560000_p_Instance _20762b9408560000_p_Instance _60772b9408560000_p_Instance _a0782b9408560000_p_Instance _e0792b9408560000_p_Instance _207b2b9408560000_p_Instance _607c2b9408560000_p_Instance _a07d2b9408560000_p_Instance _e07e2b9408560000_p_Instance _20802b9408560000_p_Instance _60812b9408560000_p_Instance _a0822b9408560000_p_Instance _e0832b9408560000_p_Instance _20852b9408560000_p_Instance _60862b9408560000_p_Instance _a0872b9408560000_p_Instance _e0882b9408560000_p_Instance _208a2b9408560000_p_Instance _608b2b9408560000_p_Instance _a08c2b9408560000_p_Instance _e08d2b9408560000_p_Instance _208f2b9408560000_p_Instance _60902b9408560000_p_Instance _a0912b9408560000_p_Instance _e0922b9408560000_p_Instance _20942b9408560000_p_Instance _60952b9408560000_p_Instance _a0962b9408560000_p_Instance _e0972b9408560000_p_Instance _20992b9408560000_p_Instance _609a2b9408560000_p_Instance _a09b2b9408560000_p_Instance _e09c2b9408560000_p_Instance _209e2b9408560000_p_Instance _609f2b9408560000_p_Instance _a0a02b9408560000_p_Instance _e0a12b9408560000_p_Instance _20a32b9408560000_p_Instance _60a42b9408560000_p_Instance _a0a52b9408560000_p_Instance _e0a62b9408560000_p_Instance _20a82b9408560000_p_Instance _60a92b9408560000_p_Instance _a0aa2b9408560000_p_Instance _e0ab2b9408560000_p_Instance _20ad2b9408560000_p_Instance _60ae2b9408560000_p_Instance _a0af2b9408560000_p_Instance _e0b02b9408560000_p_Instance _20b22b9408560000_p_Instance _60b32b9408560000_p_Instance _a0b42b9408560000_p_Instance _e0b52b9408560000_p_Instance _20b72b9408560000_p_Instance _60b82b9408560000_p_Instance _a0b92b9408560000_p_Instance _e0ba2b9408560000_p_Instance _20bc2b9408560000_p_Instance _60bd2b9408560000_p_Instance _a0be2b9408560000_p_Instance _e0bf2b9408560000_p_Instance _20c12b9408560000_p_Instance _60c22b9408560000_p_Instance _a0c32b9408560000_p_Instance _e0c42b9408560000_p_Instance _20c62b9408560000_p_Instance _60c72b9408560000_p_Instance _a0c82b9408560000_p_Instance _e0c92b9408560000_p_Instance _20cb2b9408560000_p_Instance _60cc2b9408560000_p_Instance _a0cd2b9408560000_p_Instance _e0ce2b9408560000_p_Instance _20d02b9408560000_p_Instance _60d12b9408560000_p_Instance _a0d22b9408560000_p_Instance _e0d32b9408560000_p_Instance _20d52b9408560000_p_Instance _60d62b9408560000_p_Instance _a0d72b9408560000_p_Instance _e0d82b9408560000_p_Instance _20da2b9408560000_p_Instance _60db2b9408560000_p_Instance _a0dc2b9408560000_p_Instance _e0dd2b9408560000_p_Instance _20df2b9408560000_p_Instance _60e02b9408560000_p_Instance _a0e12b9408560000_p_Instance _e0e22b9408560000_p_Instance _20e42b9408560000_p_Instance _60e52b9408560000_p_Instance _a0e62b9408560000_p_Instance _e0e72b9408560000_p_Instance _20e92b9408560000_p_Instance _60ea2b9408560000_p_Instance _a0eb2b9408560000_p_Instance _e0ec2b9408560000_p_Instance _20ee2b9408560000_p_Instance _60ef2b9408560000_p_Instance _a0f02b9408560000_p_Instance _e0f12b9408560000_p_Instance _20f32b9408560000_p_Instance _60f42b9408560000_p_Instance _a0f52b9408560000_p_Instance _e0f62b9408560000_p_Instance _20f82b9408560000_p_Instance _60f92b9408560000_p_Instance _a0fa2b9408560000_p_Instance _e0fb2b9408560000_p_Instance _20fd2b9408560000_p_Instance _60fe2b9408560000_p_Instance _a0ff2b9408560000_p_Instance _e0002c9408560000_p_Instance _20022c9408560000_p_Instance _60032c9408560000_p_Instance _a0042c9408560000_p_Instance _e0052c9408560000_p_Instance _20072c9408560000_p_Instance _60082c9408560000_p_Instance _a0092c9408560000_p_Instance _e00a2c9408560000_p_Instance _200c2c9408560000_p_Instance _600d2c9408560000_p_Instance _a00e2c9408560000_p_Instance _e00f2c9408560000_p_Instance _20112c9408560000_p_Instance _60122c9408560000_p_Instance _a0132c9408560000_p_Instance _e0142c9408560000_p_Instance _20162c9408560000_p_Instance _60172c9408560000_p_Instance _a0182c9408560000_p_Instance _e0192c9408560000_p_Instance _201b2c9408560000_p_Instance _601c2c9408560000_p_Instance _a01d2c9408560000_p_Instance _e01e2c9408560000_p_Instance _20202c9408560000_p_Instance _60212c9408560000_p_Instance _a0222c9408560000_p_Instance _e0232c9408560000_p_Instance _20252c9408560000_p_Instance _60262c9408560000_p_Instance _a0272c9408560000_p_Instance _e0282c9408560000_p_Instance _202a2c9408560000_p_Instance _602b2c9408560000_p_Instance _a02c2c9408560000_p_Instance _e02d2c9408560000_p_Instance _202f2c9408560000_p_Instance _60302c9408560000_p_Instance _a0312c9408560000_p_Instance _e0322c9408560000_p_Instance _20342c9408560000_p_Instance _60352c9408560000_p_Instance _a0362c9408560000_p_Instance _e0372c9408560000_p_Instance _20392c9408560000_p_Instance _603a2c9408560000_p_Instance _a03b2c9408560000_p_Instance _e03c2c9408560000_p_Instance _203e2c9408560000_p_Instance _603f2c9408560000_p_Instance _a0402c9408560000_p_Instance _e0412c9408560000_p_Instance _20432c9408560000_p_Instance _60442c9408560000_p_Instance _a0452c9408560000_p_Instance _e0462c9408560000_p_Instance _20482c9408560000_p_Instance _60492c9408560000_p_Instance _a04a2c9408560000_p_Instance _e04b2c9408560000_p_Instance _204d2c9408560000_p_Instance _604e2c9408560000_p_Instance _a04f2c9408560000_p_Instance _e0502c9408560000_p_Instance _20522c9408560000_p_Instance _60532c9408560000_p_Instance _a0542c9408560000_p_Instance _e0552c9408560000_p_Instance _20572c9408560000_p_Instance _60582c9408560000_p_Instance _a0592c9408560000_p_Instance _e05a2c9408560000_p_Instance _205c2c9408560000_p_Instance _00602c9408560000_p_Instance _10622c9408560000_p_Instance _70682c9408560000_p_Instance _106b2c9408560000_p_Instance _206d2c9408560000_p_Instance _50702c9408560000_p_Instance _d0732c9408560000_p_Instance _e0752c9408560000_p_Instance _f0772c9408560000_p_Instance _407a2c9408560000_p_Instance _907c2c9408560000_p_Instance _a07e2c9408560000_p_Instance _f0802c9408560000_p_Instance _00832c9408560000_p_Instance _00862c9408560000_p_Instance _00892c9408560000_p_Instance _008c2c9408560000_p_Instance _008f2c9408560000_p_Instance _00922c9408560000_p_Instance _90952c9408560000_p_Instance _e0972c9408560000_p_Instance _709b2c9408560000_p_Instance _009f2c9408560000_p_Instance _40a22c9408560000_p_Instance _e0a42c9408560000_p_Instance _80a72c9408560000_p_Instance _90a92c9408560000_p_Instance _30ac2c9408560000_p_Instance _80ae2c9408560000_p_Instance _20b12c9408560000_p_Instance _c0b32c9408560000_p_Instance _d0b52c9408560000_p_Instance _70b82c9408560000_p_Instance _10bb2c9408560000_p_Instance _b0bd2c9408560000_p_Instance _50c02c9408560000_p_Instance _a0c22c9408560000_p_Instance _40c52c9408560000_p_Instance _90c72c9408560000_p_Instance _30ca2c9408560000_p_Instance _80cc2c9408560000_p_Instance _90ce2c9408560000_p_Instance _e0d02c9408560000_p_Instance _80d32c9408560000_p_Instance _d0d52c9408560000_p_Instance _70d82c9408560000_p_Instance _c0da2c9408560000_p_Instance _a0dd2c9408560000_p_Instance _b0df2c9408560000_p_Instance _c0e12c9408560000_p_Instance _d0e32c9408560000_p_Instance _e0e52c9408560000_p_Instance _f0e72c9408560000_p_Instance _00ea2c9408560000_p_Instance _10ec2c9408560000_p_Instance _20ee2c9408560000_p_Instance _30f02c9408560000_p_Instance _40f22c9408560000_p_Instance _50f42c9408560000_p_Instance _60f62c9408560000_p_Instance _70f82c9408560000_p_Instance _80fa2c9408560000_p_Instance _90fc2c9408560000_p_Instance _a0fe2c9408560000_p_Instance _b0002d9408560000_p_Instance _c0022d9408560000_p_Instance _d0042d9408560000_p_Instance _e0062d9408560000_p_Instance _f0082d9408560000_p_Instance _000b2d9408560000_p_Instance _100d2d9408560000_p_Instance _200f2d9408560000_p_Instance _30112d9408560000_p_Instance _40132d9408560000_p_Instance _50152d9408560000_p_Instance _60172d9408560000_p_Instance _70192d9408560000_p_Instance _801b2d9408560000_p_Instance _901d2d9408560000_p_Instance _a01f2d9408560000_p_Instance _b0212d9408560000_p_Instance _c0232d9408560000_p_Instance _d0252d9408560000_p_Instance _e0272d9408560000_p_Instance _f0292d9408560000_p_Instance _002c2d9408560000_p_Instance _102e2d9408560000_p_Instance _20302d9408560000_p_Instance _30322d9408560000_p_Instance _40342d9408560000_p_Instance _50362d9408560000_p_Instance _60382d9408560000_p_Instance _703a2d9408560000_p_Instance _803c2d9408560000_p_Instance _903e2d9408560000_p_Instance _a0402d9408560000_p_Instance _b0422d9408560000_p_Instance _c0442d9408560000_p_Instance _d0462d9408560000_p_Instance _e0482d9408560000_p_Instance _f04a2d9408560000_p_Instance _004d2d9408560000_p_Instance _104f2d9408560000_p_Instance _20512d9408560000_p_Instance _30532d9408560000_p_Instance _40552d9408560000_p_Instance _50572d9408560000_p_Instance _60592d9408560000_p_Instance _705b2d9408560000_p_Instance _805d2d9408560000_p_Instance _905f2d9408560000_p_Instance _a0612d9408560000_p_Instance _b0632d9408560000_p_Instance _c0652d9408560000_p_Instance _d0672d9408560000_p_Instance _e0692d9408560000_p_Instance _f06b2d9408560000_p_Instance _006e2d9408560000_p_Instance _10702d9408560000_p_Instance _20722d9408560000_p_Instance _30742d9408560000_p_Instance _40762d9408560000_p_Instance _50782d9408560000_p_Instance _607a2d9408560000_p_Instance _707c2d9408560000_p_Instance _807e2d9408560000_p_Instance _90802d9408560000_p_Instance _a0822d9408560000_p_Instance _b0842d9408560000_p_Instance _c0862d9408560000_p_Instance _d0882d9408560000_p_Instance _e08a2d9408560000_p_Instance _f08c2d9408560000_p_Instance _008f2d9408560000_p_Instance _10912d9408560000_p_Instance _20932d9408560000_p_Instance _30952d9408560000_p_Instance _40972d9408560000_p_Instance _50992d9408560000_p_Instance _609b2d9408560000_p_Instance _709d2d9408560000_p_Instance _809f2d9408560000_p_Instance _90a12d9408560000_p_Instance _a0a32d9408560000_p_Instance _b0a52d9408560000_p_Instance _c0a72d9408560000_p_Instance _d0a92d9408560000_p_Instance _e0ab2d9408560000_p_Instance _f0ad2d9408560000_p_Instance _00b02d9408560000_p_Instance _10b22d9408560000_p_Instance _20b42d9408560000_p_Instance _30b62d9408560000_p_Instance _40b82d9408560000_p_Instance _50ba2d9408560000_p_Instance _60bc2d9408560000_p_Instance _70be2d9408560000_p_Instance _80c02d9408560000_p_Instance _90c22d9408560000_p_Instance _a0c42d9408560000_p_Instance _b0c62d9408560000_p_Instance _c0c82d9408560000_p_Instance _d0ca2d9408560000_p_Instance _e0cc2d9408560000_p_Instance _f0ce2d9408560000_p_Instance _00d12d9408560000_p_Instance _10d32d9408560000_p_Instance _20d52d9408560000_p_Instance _30d72d9408560000_p_Instance _40d92d9408560000_p_Instance _50db2d9408560000_p_Instance _60dd2d9408560000_p_Instance _70df2d9408560000_p_Instance _80e12d9408560000_p_Instance _90e32d9408560000_p_Instance _a0e52d9408560000_p_Instance _b0e72d9408560000_p_Instance _c0e92d9408560000_p_Instance _d0eb2d9408560000_p_Instance _e0ed2d9408560000_p_Instance _f0ef2d9408560000_p_Instance _00f22d9408560000_p_Instance _10f42d9408560000_p_Instance _20f62d9408560000_p_Instance _90f72d9408560000_p_Instance _00fc2d9408560000_p_Instance _60022e9408560000_p_Instance _e0072e9408560000_p_Instance _300a2e9408560000_p_Instance _100d2e9408560000_p_Instance _b00f2e9408560000_p_Instance _00122e9408560000_p_Instance _a0142e9408560000_p_Instance _b0162e9408560000_p_Instance _50192e9408560000_p_Instance _a01b2e9408560000_p_Instance _b01d2e9408560000_p_Instance _e0212e9408560000_p_Instance _10262e9408560000_p_Instance _402a2e9408560000_p_Instance _702e2e9408560000_p_Instance _a0322e9408560000_p_Instance _40352e9408560000_p_Instance _e0372e9408560000_p_Instance _103b2e9408560000_p_Instance _203d2e9408560000_p_Instance _50402e9408560000_p_Instance _a0422e9408560000_p_Instance _f0442e9408560000_p_Instance _40472e9408560000_p_Instance _004b2e9408560000_p_Instance _a04d2e9408560000_p_Instance _b04f2e9408560000_p_Instance _c0512e9408560000_p_Instance _a0542e9408560000_p_Instance _b0562e9408560000_p_Instance _00592e9408560000_p_Instance _105b2e9408560000_p_Instance _f05d2e9408560000_p_Instance _d0602e9408560000_p_Instance _b0632e9408560000_p_Instance _50662e9408560000_p_Instance _60682e9408560000_p_Instance _706a2e9408560000_p_Instance _806c2e9408560000_p_Instance _206f2e9408560000_p_Instance _70712e9408560000_p_Instance _a0742e9408560000_p_Instance _b0762e9408560000_p_Instance _c0782e9408560000_p_Instance _d07a2e9408560000_p_Instance _e07c2e9408560000_p_Instance _f07e2e9408560000_p_Instance _d0812e9408560000_p_Instance _70842e9408560000_p_Instance _c0862e9408560000_p_Instance _d0882e9408560000_p_Instance _208b2e9408560000_p_Instance _908c2e9408560000_p_Instance _708e2e9408560000_p_Instance _10912e9408560000_p_Instance _60932e9408560000_p_Instance _00962e9408560000_p_Instance _10982e9408560000_p_Instance _b09a2e9408560000_p_Instance _209c2e9408560000_p_Instance _409e2e9408560000_p_Instance _20a12e9408560000_p_Instance _70a32e9408560000_p_Instance _80a52e9408560000_p_Instance _d0a72e9408560000_p_Instance _e0a92e9408560000_p_Instance _c0ac2e9408560000_p_Instance _a0af2e9408560000_p_Instance _c0b22e9408560000_p_Instance _d0b62e9408560000_p_Instance _b0b92e9408560000_p_Instance _90bc2e9408560000_p_Instance _a0be2e9408560000_p_Instance _40c12e9408560000_p_Instance _90c32e9408560000_p_Instance _30c62e9408560000_p_Instance _80c82e9408560000_p_Instance _d0ca2e9408560000_p_Instance _20cd2e9408560000_p_Instance _70cf2e9408560000_p_Instance _a0d22e9408560000_p_Instance _80d52e9408560000_p_Instance _e0da2e9408560000_p_Instance _60e02e9408560000_p_Instance _70e22e9408560000_p_Instance _f0e52e9408560000_p_Instance _90e82e9408560000_p_Instance _a0ea2e9408560000_p_Instance _b0ec2e9408560000_p_Instance _a0ef2e9408560000_p_Instance _b0f12e9408560000_p_Instance _c0f32e9408560000_p_Instance _b0f62e9408560000_p_Instance _c0f82e9408560000_p_Instance _b0fb2e9408560000_p_Instance _00fe2e9408560000_p_Instance _10002f9408560000_p_Instance _60022f9408560000_p_Instance _b0042f9408560000_p_Instance _50072f9408560000_p_Instance _a0092f9408560000_p_Instance _f00b2f9408560000_p_Instance _50102f9408560000_p_Instance _60122f9408560000_p_Instance _b0142f9408560000_p_Instance _c0162f9408560000_p_Instance _201b2f9408560000_p_Instance _c01d2f9408560000_p_Instance _60202f9408560000_p_Instance _b0222f9408560000_p_Instance _10272f9408560000_p_Instance _d02a2f9408560000_p_Instance _002e2f9408560000_p_Instance _a0302f9408560000_p_Instance _f0322f9408560000_p_Instance _20362f9408560000_p_Instance _30382f9408560000_p_Instance _403a2f9408560000_p_Instance _903c2f9408560000_p_Instance _e03e2f9408560000_p_Instance _80412f9408560000_p_Instance _20442f9408560000_p_Instance _30462f9408560000_p_Instance _b0492f9408560000_p_Instance _c04b2f9408560000_p_Instance _d04d2f9408560000_p_Instance _70502f9408560000_p_Instance _60552f9408560000_p_Instance _b0572f9408560000_p_Instance _c0592f9408560000_p_Instance _405d2f9408560000_p_Instance _c0602f9408560000_p_Instance _60632f9408560000_p_Instance _00662f9408560000_p_Instance _10682f9408560000_p_Instance _406b2f9408560000_p_Instance _506d2f9408560000_p_Instance _60732f9408560000_p_Instance _507a2f9408560000_p_Instance _f07c2f9408560000_p_Instance _20802f9408560000_p_Instance _30822f9408560000_p_Instance _40842f9408560000_p_Instance _508a2f9408560000_p_Instance _308d2f9408560000_p_Instance _10902f9408560000_p_Instance _20922f9408560000_p_Instance _30942f9408560000_p_Instance _80962f9408560000_p_Instance _90982f9408560000_p_Instance _a09a2f9408560000_p_Instance _409d2f9408560000_p_Instance _e09f2f9408560000_p_Instance _80a22f9408560000_p_Instance _20a52f9408560000_p_Instance _c0a72f9408560000_p_Instance _60aa2f9408560000_p_Instance _70ac2f9408560000_p_Instance _10af2f9408560000_p_Instance _b0b12f9408560000_p_Instance _00b42f9408560000_p_Instance _a0b62f9408560000_p_Instance _f0b82f9408560000_p_Instance _d0bb2f9408560000_p_Instance _70be2f9408560000_p_Instance _c0c02f9408560000_p_Instance _10c32f9408560000_p_Instance _40c62f9408560000_p_Instance _e0c82f9408560000_p_Instance _80cb2f9408560000_p_Instance _20ce2f9408560000_p_Instance _c0d02f9408560000_p_Instance _30d42f9408560000_p_Instance _10d72f9408560000_p_Instance _60d92f9408560000_p_Instance _40dc2f9408560000_p_Instance _30df2f9408560000_p_Instance _10e22f9408560000_p_Instance _20e42f9408560000_p_Instance _00e72f9408560000_p_Instance _10e92f9408560000_p_Instance _30ec2f9408560000_p_Instance _50ef2f9408560000_p_Instance _30f22f9408560000_p_Instance _20f52f9408560000_p_Instance _70f72f9408560000_p_Instance _80f92f9408560000_p_Instance _90fb2f9408560000_p_Instance _a0fd2f9408560000_p_Instance _1001309408560000_p_Instance _5005309408560000_p_Instance _a00a309408560000_p_Instance _200f309408560000_p_Instance _3014309408560000_p_Instance _1017309408560000_p_Instance _6019309408560000_p_Instance _701b309408560000_p_Instance _801d309408560000_p_Instance _901f309408560000_p_Instance _7022309408560000_p_Instance _5025309408560000_p_Instance _c028309408560000_p_Instance _a02b309408560000_p_Instance _102f309408560000_p_Instance _c032309408560000_p_Instance _a035309408560000_p_Instance _b037309408560000_p_Instance _e03a309408560000_p_Instance _303d309408560000_p_Instance _803f309408560000_p_Instance _6042309408560000_p_Instance _0045309408560000_p_Instance _2048309408560000_p_Instance _704a309408560000_p_Instance _804c309408560000_p_Instance _0050309408560000_p_Instance _1052309408560000_p_Instance _9055309408560000_p_Instance _a057309408560000_p_Instance _b059309408560000_p_Instance _005c309408560000_p_Instance _105e309408560000_p_Instance _2060309408560000_p_Instance _4063309408560000_p_Instance _9065309408560000_p_Instance _0069309408560000_p_Instance _206c309408560000_p_Instance _d06f309408560000_p_Instance _d073309408560000_p_Instance _7076309408560000_p_Instance _1079309408560000_p_Instance _b07b309408560000_p_Instance _507e309408560000_p_Instance _f080309408560000_p_Instance _4083309408560000_p_Instance _9085309408560000_p_Instance _3088309408560000_p_Instance _808a309408560000_p_Instance _908c309408560000_p_Instance _e08e309408560000_p_Instance _f090309408560000_p_Instance _0093309408560000_p_Instance _3096309408560000_p_Instance _6099309408560000_p_Instance _009c309408560000_p_Instance _e09e309408560000_p_Instance _00a2309408560000_p_Instance _50a4309408560000_p_Instance _a0a6309408560000_p_Instance _10aa309408560000_p_Instance _e0ae309408560000_p_Instance _90b2309408560000_p_Instance _70b5309408560000_p_Instance _c0b7309408560000_p_Instance _10ba309408560000_p_Instance _20bc309408560000_p_Instance _c0be309408560000_p_Instance _10c1309408560000_p_Instance _60c3309408560000_p_Instance _40c6309408560000_p_Instance _90c8309408560000_p_Instance _a0ca309408560000_p_Instance _d0cd309408560000_p_Instance _00d1309408560000_p_Instance _10d3309408560000_p_Instance _20d5309408560000_p_Instance _40d8309408560000_p_Instance _90da309408560000_p_Instance _a0dc309408560000_p_Instance _b0de309408560000_p_Instance _c0e0309408560000_p_Instance _d0e2309408560000_p_Instance _40e6309408560000_p_Instance _b0e9309408560000_p_Instance _20ed309408560000_p_Instance _d0f0309408560000_p_Instance _e0f2309408560000_p_Instance _10f6309408560000_p_Instance _60f8309408560000_p_Instance _b0fa309408560000_p_Instance _00fd309408560000_p_Instance _50ff309408560000_p_Instance _f001319408560000_p_Instance _4004319408560000_p_Instance _e006319408560000_p_Instance _3009319408560000_p_Instance _400b319408560000_p_Instance _900d319408560000_p_Instance _c010319408560000_p_Instance _d012319408560000_p_Instance _e014319408560000_p_Instance _0018319408560000_p_Instance _501a319408560000_p_Instance _201f319408560000_p_Instance _0022319408560000_p_Instance _5024319408560000_p_Instance _a026319408560000_p_Instance _4029319408560000_p_Instance _902b319408560000_p_Instance _e02d319408560000_p_Instance _3030319408560000_p_Instance _8032319408560000_p_Instance _d034319408560000_p_Instance _b037319408560000_p_Instance _003a319408560000_p_Instance _103c319408560000_p_Instance _203e319408560000_p_Instance _5041319408560000_p_Instance _8044319408560000_p_Instance _2047319408560000_p_Instance _404a319408560000_p_Instance _904c319408560000_p_Instance _6051319408560000_p_Instance _4054319408560000_p_Instance _9056319408560000_p_Instance _e058319408560000_p_Instance _305b319408560000_p_Instance _805d319408560000_p_Instance _2060319408560000_p_Instance _7062319408560000_p_Instance _c064319408560000_p_Instance _6067319408560000_p_Instance _006a319408560000_p_Instance _106c319408560000_p_Instance _206e319408560000_p_Instance _4071319408560000_p_Instance _9073319408560000_p_Instance _6078319408560000_p_Instance _407b319408560000_p_Instance _907d319408560000_p_Instance _e07f319408560000_p_Instance _3082319408560000_p_Instance _8084319408560000_p_Instance _9086319408560000_p_Instance _3089319408560000_p_Instance _d08b319408560000_p_Instance _208e319408560000_p_Instance _3090319408560000_p_Instance _d092319408560000_p_Instance _2095319408560000_p_Instance _3097319408560000_p_Instance _4099319408560000_p_Instance _909b319408560000_p_Instance _c09e319408560000_p_Instance _a0a1319408560000_p_Instance _10a5319408560000_p_Instance _20a7319408560000_p_Instance _30a9319408560000_p_Instance _d0ab319408560000_p_Instance _a0b0319408560000_p_Instance _80b3319408560000_p_Instance _d0b5319408560000_p_Instance _70b8319408560000_p_Instance _10bb319408560000_p_Instance _b0bd319408560000_p_Instance _00c0319408560000_p_Instance _50c2319408560000_p_Instance _60c4319408560000_p_Instance _70c6319408560000_p_Instance _c0c8319408560000_p_Instance _f0cb319408560000_p_Instance _00ce319408560000_p_Instance _a0d0319408560000_p_Instance _80d3319408560000_p_Instance _b0d6319408560000_p_Instance _c0d8319408560000_p_Instance _e0db319408560000_p_Instance _30de319408560000_p_Instance _40e0319408560000_p_Instance _50e2319408560000_p_Instance _60e4319408560000_p_Instance _b0e6319408560000_p_Instance _c0e8319408560000_p_Instance _70ec319408560000_p_Instance _c0ee319408560000_p_Instance _10f1319408560000_p_Instance _60f3319408560000_p_Instance _00f6319408560000_p_Instance _a0f8319408560000_p_Instance _f0fa319408560000_p_Instance _40fd319408560000_p_Instance _2000329408560000_p_Instance _7002329408560000_p_Instance _8004329408560000_p_Instance _d006329408560000_p_Instance _000a329408560000_p_Instance _100c329408560000_p_Instance _800f329408560000_p_Instance _9011329408560000_p_Instance _3014329408560000_p_Instance _e017329408560000_p_Instance _301a329408560000_p_Instance _801c329408560000_p_Instance _201f329408560000_p_Instance _c021329408560000_p_Instance _d023329408560000_p_Instance _2026329408560000_p_Instance _c028329408560000_p_Instance _602b329408560000_p_Instance _b02d329408560000_p_Instance _9030329408560000_p_Instance _e032329408560000_p_Instance _3035329408560000_p_Instance _6038329408560000_p_Instance _803b329408560000_p_Instance _d03d329408560000_p_Instance _8041329408560000_p_Instance _d043329408560000_p_Instance _2046329408560000_p_Instance _7048329408560000_p_Instance _c04a329408560000_p_Instance _104d329408560000_p_Instance _b04f329408560000_p_Instance _0052329408560000_p_Instance _a054329408560000_p_Instance _f056329408560000_p_Instance _0059329408560000_p_Instance _105b329408560000_p_Instance _205d329408560000_p_Instance _705f329408560000_p_Instance _a062329408560000_p_Instance _8065329408560000_p_Instance _f068329408560000_p_Instance _906b329408560000_p_Instance _406f329408560000_p_Instance _9071329408560000_p_Instance _e073329408560000_p_Instance _8076329408560000_p_Instance _2079329408560000_p_Instance _c07b329408560000_p_Instance _107e329408560000_p_Instance _6080329408560000_p_Instance _b082329408560000_p_Instance _e085329408560000_p_Instance _0089329408560000_p_Instance _508b329408560000_p_Instance _008f329408560000_p_Instance _5091329408560000_p_Instance _a093329408560000_p_Instance _f095329408560000_p_Instance _9098329408560000_p_Instance _c09b329408560000_p_Instance _109e329408560000_p_Instance _40a1329408560000_p_Instance _90a3329408560000_p_Instance _e0a5329408560000_p_Instance _80a8329408560000_p_Instance _20ab329408560000_p_Instance _c0ad329408560000_p_Instance _60b0329408560000_p_Instance _b0b2329408560000_p_Instance _00b5329408560000_p_Instance _30b8329408560000_p_Instance _50bb329408560000_p_Instance _a0bd329408560000_p_Instance _50c1329408560000_p_Instance _a0c3329408560000_p_Instance _f0c5329408560000_p_Instance _40c8329408560000_p_Instance _90ca329408560000_p_Instance _e0cc329408560000_p_Instance _80cf329408560000_p_Instance _20d2329408560000_p_Instance _70d4329408560000_p_Instance _50d7329408560000_p_Instance _a0d9329408560000_p_Instance _b0db329408560000_p_Instance _e0de329408560000_p_Instance _10e2329408560000_p_Instance _30e5329408560000_p_Instance _80e7329408560000_p_Instance _30eb329408560000_p_Instance _80ed329408560000_p_Instance _d0ef329408560000_p_Instance _20f2329408560000_p_Instance _70f4329408560000_p_Instance _c0f6329408560000_p_Instance _60f9329408560000_p_Instance _00fc329408560000_p_Instance _50fe329408560000_p_Instance _3001339408560000_p_Instance _8003339408560000_p_Instance _9005339408560000_p_Instance _c008339408560000_p_Instance _f00b339408560000_p_Instance _e00e339408560000_p_Instance _7016339408560000_p_Instance _8018339408560000_p_Instance _d01a339408560000_p_Instance _c01d339408560000_p_Instance _d01f339408560000_p_Instance _0023339408560000_p_Instance _c026339408560000_p_Instance _d028339408560000_p_Instance _e02a339408560000_p_Instance _f02c339408560000_p_Instance _d02f339408560000_p_Instance _2032339408560000_p_Instance _3034339408560000_p_Instance _2037339408560000_p_Instance _3039339408560000_p_Instance _f03c339408560000_p_Instance _2040339408560000_p_Instance _7042339408560000_p_Instance _b047339408560000_p_Instance _c04b339408560000_p_Instance _104e339408560000_p_Instance _2050339408560000_p_Instance _c054339408560000_p_Instance _1057339408560000_p_Instance _2059339408560000_p_Instance _c05b339408560000_p_Instance _405f339408560000_p_Instance _5061339408560000_p_Instance _6063339408560000_p_Instance _e066339408560000_p_Instance _8069339408560000_p_Instance _206c339408560000_p_Instance _c06e339408560000_p_Instance _1071339408560000_p_Instance _6073339408560000_p_Instance _4076339408560000_p_Instance _5078339408560000_p_Instance _f07a339408560000_p_Instance _207e339408560000_p_Instance _c080339408560000_p_Instance _1083339408560000_p_Instance _4086339408560000_p_Instance _e088339408560000_p_Instance _308b339408560000_p_Instance _808d339408560000_p_Instance _9091339408560000_p_Instance _1095339408560000_p_Instance _6097339408560000_p_Instance _b099339408560000_p_Instance _509c339408560000_p_Instance _a09e339408560000_p_Instance _80a1339408560000_p_Instance _20a4339408560000_p_Instance _c0a6339408560000_p_Instance _60a9339408560000_p_Instance _b0ab339408560000_p_Instance _50ae339408560000_p_Instance _d0b1339408560000_p_Instance _e0b3339408560000_p_Instance _80b6339408560000_p_Instance _d0b8339408560000_p_Instance _20bb339408560000_p_Instance _c0bd339408560000_p_Instance _d0bf339408560000_p_Instance _20c2339408560000_p_Instance _30c4339408560000_p_Instance _80c6339408560000_p_Instance _d0c8339408560000_p_Instance _70cb339408560000_p_Instance _10ce339408560000_p_Instance _f0d0339408560000_p_Instance _40d3339408560000_p_Instance _90d5339408560000_p_Instance _e0d7339408560000_p_Instance _30da339408560000_p_Instance _80dc339408560000_p_Instance _90de339408560000_p_Instance _a0e0339408560000_p_Instance _f0e2339408560000_p_Instance _00e5339408560000_p_Instance _c0e8339408560000_p_Instance _60eb339408560000_p_Instance _b0ed339408560000_p_Instance _e0f0339408560000_p_Instance _30f3339408560000_p_Instance _80f5339408560000_p_Instance _90f7339408560000_p_Instance _e0f9339408560000_p_Instance _80fc339408560000_p_Instance _b0ff339408560000_p_Instance _5002349408560000_p_Instance _f004349408560000_p_Instance _d007349408560000_p_Instance _e009349408560000_p_Instance _f00b349408560000_p_Instance _400e349408560000_p_Instance _e010349408560000_p_Instance _8013349408560000_p_Instance _6016349408560000_p_Instance _0019349408560000_p_Instance _a01b349408560000_p_Instance _f01d349408560000_p_Instance _0020349408560000_p_Instance _5022349408560000_p_Instance _f024349408560000_p_Instance _4027349408560000_p_Instance _e029349408560000_p_Instance _802c349408560000_p_Instance _d02e349408560000_p_Instance _2031349408560000_p_Instance _7033349408560000_p_Instance _c035349408560000_p_Instance _1038349408560000_p_Instance _b03a349408560000_p_Instance _003d349408560000_p_Instance _503f349408560000_p_Instance _a041349408560000_p_Instance _f043349408560000_p_Instance _4046349408560000_p_Instance _9048349408560000_p_Instance _304b349408560000_p_Instance _804d349408560000_p_Instance _2050349408560000_p_Instance _c052349408560000_p_Instance _1055349408560000_p_Instance _f057349408560000_p_Instance _405a349408560000_p_Instance _e05c349408560000_p_Instance _305f349408560000_p_Instance _d061349408560000_p_Instance _7064349408560000_p_Instance _c066349408560000_p_Instance _d068349408560000_p_Instance _206b349408560000_p_Instance _706d349408560000_p_Instance _c06f349408560000_p_Instance _d071349408560000_p_Instance _e073349408560000_p_Instance _f075349408560000_p_Instance _4078349408560000_p_Instance _907a349408560000_p_Instance _307d349408560000_p_Instance _407f349408560000_p_Instance _9081349408560000_p_Instance _e083349408560000_p_Instance _c086349408560000_p_Instance _1089349408560000_p_Instance _608b349408560000_p_Instance _708d349408560000_p_Instance _1090349408560000_p_Instance _6092349408560000_p_Instance _b094349408560000_p_Instance _9097349408560000_p_Instance _e099349408560000_p_Instance _f09b349408560000_p_Instance _909e349408560000_p_Instance _30a1349408560000_p_Instance _40a3349408560000_p_Instance _90a5349408560000_p_Instance _e0a7349408560000_p_Instance _80aa349408560000_p_Instance _20ad349408560000_p_Instance _c0af349408560000_p_Instance _60b2349408560000_p_Instance _b0b4349408560000_p_Instance _00b7349408560000_p_Instance _50b9349408560000_p_Instance _60bb349408560000_p_Instance _70bd349408560000_p_Instance _50c0349408560000_p_Instance _30c3349408560000_p_Instance _10c6349408560000_p_Instance _b0c8349408560000_p_Instance _e0cb349408560000_p_Instance _30ce349408560000_p_Instance _d0d0349408560000_p_Instance _70d3349408560000_p_Instance _80d5349408560000_p_Instance _60d8349408560000_p_Instance _40db349408560000_p_Instance _e0dd349408560000_p_Instance _f0df349408560000_p_Instance _40e2349408560000_p_Instance _e0e4349408560000_p_Instance _30e7349408560000_p_Instance _60ea349408560000_p_Instance _70ec349408560000_p_Instance _c0ee349408560000_p_Instance _10f1349408560000_p_Instance _60f3349408560000_p_Instance _b0f5349408560000_p_Instance _90f8349408560000_p_Instance _70fb349408560000_p_Instance _50fe349408560000_p_Instance _3001359408560000_p_Instance _d003359408560000_p_Instance _b006359408560000_p_Instance _5009359408560000_p_Instance _a00b359408560000_p_Instance _400e359408560000_p_Instance _9010359408560000_p_Instance _3013359408560000_p_Instance _d015359408560000_p_Instance _2018359408560000_p_Instance _001b359408560000_p_Instance _501d359408560000_p_Instance _a01f359408560000_p_Instance _b021359408560000_p_Instance _5024359408560000_p_Instance _f026359408560000_p_Instance _0029359408560000_p_Instance _102b359408560000_p_Instance _202d359408560000_p_Instance _0030359408560000_p_Instance _1032359408560000_p_Instance _2034359408560000_p_Instance _7036359408560000_p_Instance _a039359408560000_p_Instance _f03b359408560000_p_Instance _903e359408560000_p_Instance _3041359408560000_p_Instance _8043359408560000_p_Instance _d045359408560000_p_Instance _2048359408560000_p_Instance _504b359408560000_p_Instance _604d359408560000_p_Instance _4050359408560000_p_Instance _a054359408560000_p_Instance _0059359408560000_p_Instance _b05a359408560000_p_Instance _805c359408560000_p_Instance _605e359408560000_p_Instance _7060359408560000_p_Instance _c062359408560000_p_Instance _6065359408560000_p_Instance _0068359408560000_p_Instance _506a359408560000_p_Instance _a06c359408560000_p_Instance _f06e359408560000_p_Instance _d071359408560000_p_Instance _b074359408560000_p_Instance _5077359408560000_p_Instance _307a359408560000_p_Instance _207d359408560000_p_Instance _1080359408560000_p_Instance _0083359408560000_p_Instance _1085359408560000_p_Instance _b087359408560000_p_Instance _008a359408560000_p_Instance _e08c359408560000_p_Instance _f08e359408560000_p_Instance _0091359408560000_p_Instance _1093359408560000_p_Instance _b095359408560000_p_Instance _0098359408560000_p_Instance _a09a359408560000_p_Instance _809d359408560000_p_Instance _909f359408560000_p_Instance _e0a1359408560000_p_Instance _80a4359408560000_p_Instance _60a7359408560000_p_Instance _00aa359408560000_p_Instance _e0ac359408560000_p_Instance _10b0359408560000_p_Instance _b0b2359408560000_p_Instance _50b5359408560000_p_Instance _c0b8359408560000_p_Instance _60bb359408560000_p_Instance _00be359408560000_p_Instance _a0c0359408560000_p_Instance _30c3359408560000_p_Instance _10c5359408560000_p_Instance _60c7359408560000_p_Instance _b0c9359408560000_p_Instance _00cc359408560000_p_Instance _50ce359408560000_p_Instance _a0d0359408560000_p_Instance _80d3359408560000_p_Instance _20d6359408560000_p_Instance _c0d8359408560000_p_Instance _10db359408560000_p_Instance _b0dd359408560000_p_Instance _00e0359408560000_p_Instance _e0e2359408560000_p_Instance _30e5359408560000_p_Instance _a0e8359408560000_p_Instance _f0ea359408560000_p_Instance _90ed359408560000_p_Instance _70f0359408560000_p_Instance _10f3359408560000_p_Instance _60f5359408560000_p_Instance _d0f8359408560000_p_Instance _40fc359408560000_p_Instance _20ff359408560000_p_Instance _c001369408560000_p_Instance _a004369408560000_p_Instance _4007369408560000_p_Instance _e009369408560000_p_Instance _200c369408560000_p_Instance _400e369408560000_p_Instance _6010369408560000_p_Instance _5013369408560000_p_Instance _6015369408560000_p_Instance _8018369408560000_p_Instance _a01a369408560000_p_Instance _901d369408560000_p_Instance _a01f369408560000_p_Instance _c022369408560000_p_Instance _e024369408560000_p_Instance _d027369408560000_p_Instance _e029369408560000_p_Instance _d02c369408560000_p_Instance _e02e369408560000_p_Instance _d031369408560000_p_Instance _2034369408560000_p_Instance _7036369408560000_p_Instance _8038369408560000_p_Instance _803b369408560000_p_Instance _803e369408560000_p_Instance _b042369408560000_p_Instance _5045369408560000_p_Instance _a047369408560000_p_Instance _f049369408560000_p_Instance _004c369408560000_p_Instance _4051369408560000_p_Instance _9053369408560000_p_Instance _e055369408560000_p_Instance _3058369408560000_p_Instance _805a369408560000_p_Instance _005e369408560000_p_Instance _a060369408560000_p_Instance _8063369408560000_p_Instance _d065369408560000_p_Instance _e067369408560000_p_Instance _f069369408560000_p_Instance _f06c369408560000_p_Instance _006f369408560000_p_Instance _5071369408560000_p_Instance _a073369408560000_p_Instance _f075369408560000_p_Instance _2079369408560000_p_Instance _307b369408560000_p_Instance _807d369408560000_p_Instance _d07f369408560000_p_Instance _2082369408560000_p_Instance _3084369408560000_p_Instance _8086369408560000_p_Instance _9088369408560000_p_Instance _608c369408560000_p_Instance _008f369408560000_p_Instance _c092369408560000_p_Instance _d094369408560000_p_Instance _e096369408560000_p_Instance _109a369408560000_p_Instance _e09d369408560000_p_Instance _80a0369408560000_p_Instance _40a4369408560000_p_Instance _70a7369408560000_p_Instance _40ab369408560000_p_Instance _e0ad369408560000_p_Instance _a0b1369408560000_p_Instance _80b4369408560000_p_Instance _60b7369408560000_p_Instance _b0b9369408560000_p_Instance _00bc369408560000_p_Instance _50be369408560000_p_Instance _60c0369408560000_p_Instance _70c2369408560000_p_Instance _80c4369408560000_p_Instance _20c7369408560000_p_Instance _30c9369408560000_p_Instance _d0cb369408560000_p_Instance _70ce369408560000_p_Instance _10d1369408560000_p_Instance _20d3369408560000_p_Instance _00d6369408560000_p_Instance _a0d8369408560000_p_Instance _b0da369408560000_p_Instance _00dd369408560000_p_Instance _10df369408560000_p_Instance _b0e1369408560000_p_Instance _00e4369408560000_p_Instance _a0e6369408560000_p_Instance _40e9369408560000_p_Instance _90eb369408560000_p_Instance _e0ed369408560000_p_Instance _80f0369408560000_p_Instance _d0f2369408560000_p_Instance _70f5369408560000_p_Instance _10f8369408560000_p_Instance _60fa369408560000_p_Instance _b0fc369408560000_p_Instance _50ff369408560000_p_Instance _a001379408560000_p_Instance _4004379408560000_p_Instance _e006379408560000_p_Instance _8009379408560000_p_Instance _d00b379408560000_p_Instance _e00d379408560000_p_Instance _a011379408560000_p_Instance _b013379408560000_p_Instance _9016379408560000_p_Instance _3019379408560000_p_Instance _501d379408560000_p_Instance _f01f379408560000_p_Instance _d022379408560000_p_Instance _2025379408560000_p_Instance _c027379408560000_p_Instance _a02a379408560000_p_Instance _802d379408560000_p_Instance _2030379408560000_p_Instance _3032379408560000_p_Instance _4034379408560000_p_Instance _5036379408560000_p_Instance _a038379408560000_p_Instance _f03a379408560000_p_Instance _903d379408560000_p_Instance _6041379408560000_p_Instance _3045379408560000_p_Instance _2048379408560000_p_Instance _304a379408560000_p_Instance _204d379408560000_p_Instance _1050379408560000_p_Instance _6052379408560000_p_Instance _7054379408560000_p_Instance _1057379408560000_p_Instance _505c379408560000_p_Instance _605e379408560000_p_Instance _b060379408560000_p_Instance _0063379408560000_p_Instance _1065379408560000_p_Instance _6067379408560000_p_Instance _b069379408560000_p_Instance _306d379408560000_p_Instance _d06f379408560000_p_Instance _7072379408560000_p_Instance _8074379408560000_p_Instance _9076379408560000_p_Instance _3079379408560000_p_Instance _407b379408560000_p_Instance _507d379408560000_p_Instance _a07f379408560000_p_Instance _d082379408560000_p_Instance _7085379408560000_p_Instance _1088379408560000_p_Instance _608a379408560000_p_Instance _b08c379408560000_p_Instance _e08f379408560000_p_Instance _8092379408560000_p_Instance _9094379408560000_p_Instance _a096379408560000_p_Instance _f098379408560000_p_Instance _409b379408560000_p_Instance _e09d379408560000_p_Instance _f09f379408560000_p_Instance _d0a2379408560000_p_Instance _70a5379408560000_p_Instance _90a8379408560000_p_Instance _e0aa379408560000_p_Instance _30ad379408560000_p_Instance _e0b0379408560000_p_Instance _30b3379408560000_p_Instance _80b5379408560000_p_Instance _20b8379408560000_p_Instance _70ba379408560000_p_Instance _c0bc379408560000_p_Instance _d0be379408560000_p_Instance _b0c1379408560000_p_Instance _00c4379408560000_p_Instance _50c6379408560000_p_Instance _a0c8379408560000_p_Instance _b0ca379408560000_p_Instance _50cd379408560000_p_Instance _80d0379408560000_p_Instance _60d3379408560000_p_Instance _70d5379408560000_p_Instance _c0d7379408560000_p_Instance _10da379408560000_p_Instance _20dc379408560000_p_Instance _70de379408560000_p_Instance _a0e1379408560000_p_Instance _40e4379408560000_p_Instance _50e6379408560000_p_Instance _f0e8379408560000_p_Instance _40eb379408560000_p_Instance _e0ed379408560000_p_Instance _f0ef379408560000_p_Instance _40f2379408560000_p_Instance _50f4379408560000_p_Instance _60f6379408560000_p_Instance _70f8379408560000_p_Instance _e0f9379408560000_p_Instance _90fc379408560000_p_Instance _2001389408560000_p_Instance _b005389408560000_p_Instance _500a389408560000_p_Instance _f00e389408560000_p_Instance _9011389408560000_p_Instance _9014389408560000_p_Instance _c018389408560000_p_Instance _501c389408560000_p_Instance _a01e389408560000_p_Instance _8021389408560000_p_Instance _d023389408560000_p_Instance _e025389408560000_p_Instance _3028389408560000_p_Instance _402a389408560000_p_Instance _502c389408560000_p_Instance _602e389408560000_p_Instance _7030389408560000_p_Instance _1033389408560000_p_Instance _b037389408560000_p_Instance _503c389408560000_p_Instance _f040389408560000_p_Instance _8045389408560000_p_Instance _6048389408560000_p_Instance _704a389408560000_p_Instance _004e389408560000_p_Instance _1050389408560000_p_Instance _b052389408560000_p_Instance _5055389408560000_p_Instance _6057389408560000_p_Instance _405a389408560000_p_Instance _d05d389408560000_p_Instance _b060389408560000_p_Instance _c062389408560000_p_Instance _d064389408560000_p_Instance _7067389408560000_p_Instance _b06a389408560000_p_Instance _c06c389408560000_p_Instance _a06f389408560000_p_Instance _8072389408560000_p_Instance _c075389408560000_p_Instance _a078389408560000_p_Instance _e07b389408560000_p_Instance _c07e389408560000_p_Instance _5082389408560000_p_Instance _3085389408560000_p_Instance _c088389408560000_p_Instance _608b389408560000_p_Instance _008e389408560000_p_Instance _a090389408560000_p_Instance _8093389408560000_p_Instance _2096389408560000_p_Instance _b099389408560000_p_Instance _909c389408560000_p_Instance _a09e389408560000_p_Instance _70a2389408560000_p_Instance _10a5389408560000_p_Instance _20a7389408560000_p_Instance _30a9389408560000_p_Instance _40ab389408560000_p_Instance _10af389408560000_p_Instance _f0b1389408560000_p_Instance _40b4389408560000_p_Instance _20b7389408560000_p_Instance _b0ba389408560000_p_Instance _90bd389408560000_p_Instance _70c0389408560000_p_Instance _c0c2389408560000_p_Instance _10c5389408560000_p_Instance _60c7389408560000_p_Instance _70c9389408560000_p_Instance _00cd389408560000_p_Instance _50cf389408560000_p_Instance _80d2389408560000_p_Instance _20d5389408560000_p_Instance _30d7389408560000_p_Instance _80d9389408560000_p_Instance _20dc389408560000_p_Instance _b0df389408560000_p_Instance _90e2389408560000_p_Instance _30e5389408560000_p_Instance _40e7389408560000_p_Instance _c0eb389408560000_p_Instance _a0ee389408560000_p_Instance _80f1389408560000_p_Instance _90f3389408560000_p_Instance _e0f5389408560000_p_Instance _60fa389408560000_p_Instance _40fd389408560000_p_Instance _2000399408560000_p_Instance _7002399408560000_p_Instance _f006399408560000_p_Instance _d009399408560000_p_Instance _b00c399408560000_p_Instance _d010399408560000_p_Instance _7013399408560000_p_Instance _8015399408560000_p_Instance _a019399408560000_p_Instance _401c399408560000_p_Instance _501e399408560000_p_Instance _2022399408560000_p_Instance _f025399408560000_p_Instance _c029399408560000_p_Instance _a02c399408560000_p_Instance _d02f399408560000_p_Instance _e031399408560000_p_Instance _3034399408560000_p_Instance _4036399408560000_p_Instance _9038399408560000_p_Instance _a03a399408560000_p_Instance _403d399408560000_p_Instance _e03f399408560000_p_Instance _8042399408560000_p_Instance _9044399408560000_p_Instance _e046399408560000_p_Instance _3049399408560000_p_Instance _d04b399408560000_p_Instance _e04d399408560000_p_Instance _f04f399408560000_p_Instance _9052399408560000_p_Instance _3055399408560000_p_Instance _d057399408560000_p_Instance _b05a399408560000_p_Instance _505d399408560000_p_Instance _605f399408560000_p_Instance _b061399408560000_p_Instance _5064399408560000_p_Instance _a066399408560000_p_Instance _4069399408560000_p_Instance _e06b399408560000_p_Instance _306e399408560000_p_Instance _8070399408560000_p_Instance _2073399408560000_p_Instance _7075399408560000_p_Instance _1078399408560000_p_Instance _b07a399408560000_p_Instance _507d399408560000_p_Instance _3080399408560000_p_Instance _d082399408560000_p_Instance _e084399408560000_p_Instance _3087399408560000_p_Instance _108a399408560000_p_Instance _f08c399408560000_p_Instance _d08f399408560000_p_Instance _e091399408560000_p_Instance _8094399408560000_p_Instance _d096399408560000_p_Instance _7099399408560000_p_Instance _109c399408560000_p_Instance _609e399408560000_p_Instance _b0a0399408560000_p_Instance _50a3399408560000_p_Instance _a0a5399408560000_p_Instance _40a8399408560000_p_Instance _e0aa399408560000_p_Instance _30ad399408560000_p_Instance _40af399408560000_p_Instance _e0b1399408560000_p_Instance _80b4399408560000_p_Instance _20b7399408560000_p_Instance _00ba399408560000_p_Instance _a0bc399408560000_p_Instance _b0be399408560000_p_Instance _50c1399408560000_p_Instance _f0c3399408560000_p_Instance _90c6399408560000_p_Instance _70c9399408560000_p_Instance _10cc399408560000_p_Instance _20ce399408560000_p_Instance _70d0399408560000_p_Instance _50d3399408560000_p_Instance _a0d5399408560000_p_Instance _f0d7399408560000_p_Instance _00da399408560000_p_Instance _80dd399408560000_p_Instance _90df399408560000_p_Instance _10e3399408560000_p_Instance _20e5399408560000_p_Instance _a0e8399408560000_p_Instance _b0ea399408560000_p_Instance _30ee399408560000_p_Instance _40f0399408560000_p_Instance _50f2399408560000_p_Instance _d0f5399408560000_p_Instance _e0f7399408560000_p_Instance _60fb399408560000_p_Instance _70fd399408560000_p_Instance _f0003a9408560000_p_Instance _00033a9408560000_p_Instance _80063a9408560000_p_Instance _90083a9408560000_p_Instance _100c3a9408560000_p_Instance _200e3a9408560000_p_Instance _30103a9408560000_p_Instance _b0133a9408560000_p_Instance _c0153a9408560000_p_Instance _40193a9408560000_p_Instance _501b3a9408560000_p_Instance _d01e3a9408560000_p_Instance _e0203a9408560000_p_Instance _60243a9408560000_p_Instance _70263a9408560000_p_Instance _f0293a9408560000_p_Instance _002c3a9408560000_p_Instance _802f3a9408560000_p_Instance _90313a9408560000_p_Instance _10353a9408560000_p_Instance _20373a9408560000_p_Instance _203a3a9408560000_p_Instance _c03c3a9408560000_p_Instance _103f3a9408560000_p_Instance _60413a9408560000_p_Instance _b0433a9408560000_p_Instance _50463a9408560000_p_Instance _30493a9408560000_p_Instance _404b3a9408560000_p_Instance _004f3a9408560000_p_Instance _50513a9408560000_p_Instance _60533a9408560000_p_Instance _e0563a9408560000_p_Instance _f0583a9408560000_p_Instance _005b3a9408560000_p_Instance _a05d3a9408560000_p_Instance _90623a9408560000_p_Instance _50663a9408560000_p_Instance _d0693a9408560000_p_Instance _e06b3a9408560000_p_Instance _f06d3a9408560000_p_Instance _00703a9408560000_p_Instance _10723a9408560000_p_Instance _60743a9408560000_p_Instance _70763a9408560000_p_Instance _80783a9408560000_p_Instance _907a3a9408560000_p_Instance _507e3a9408560000_p_Instance _10823a9408560000_p_Instance _90853a9408560000_p_Instance _a0873a9408560000_p_Instance _408c3a9408560000_p_Instance _208f3a9408560000_p_Instance _a0923a9408560000_p_Instance _60963a9408560000_p_Instance _209a3a9408560000_p_Instance _a09d3a9408560000_p_Instance _60a13a9408560000_p_Instance _20a53a9408560000_p_Instance _90a83a9408560000_p_Instance _50ac3a9408560000_p_Instance _10b03a9408560000_p_Instance _90b33a9408560000_p_Instance _a0b53a9408560000_p_Instance _40ba3a9408560000_p_Instance _20bd3a9408560000_p_Instance _a0c03a9408560000_p_Instance _60c43a9408560000_p_Instance _20c83a9408560000_p_Instance _a0cb3a9408560000_p_Instance _40d03a9408560000_p_Instance _c0d33a9408560000_p_Instance _70d73a9408560000_p_Instance _c0d93a9408560000_p_Instance _d0db3a9408560000_p_Instance _e0dd3a9408560000_p_Instance _30e03a9408560000_p_Instance _80e23a9408560000_p_Instance _90e43a9408560000_p_Instance _a0e83a9408560000_p_Instance _80eb3a9408560000_p_Instance _00ef3a9408560000_p_Instance _10f13a9408560000_p_Instance _f0f33a9408560000_p_Instance _00f83a9408560000_p_Instance _c0fb3a9408560000_p_Instance _e0ff3a9408560000_p_Instance _60033b9408560000_p_Instance _70053b9408560000_p_Instance _90093b9408560000_p_Instance _100d3b9408560000_p_Instance _200f3b9408560000_p_Instance _30113b9408560000_p_Instance _70163b9408560000_p_Instance _b01b3b9408560000_p_Instance _701f3b9408560000_p_Instance _10223b9408560000_p_Instance _20243b9408560000_p_Instance _70263b9408560000_p_Instance _80283b9408560000_p_Instance _402c3b9408560000_p_Instance _00303b9408560000_p_Instance _c0333b9408560000_p_Instance _d0353b9408560000_p_Instance _e0373b9408560000_p_Instance _a03b3b9408560000_p_Instance _603f3b9408560000_p_Instance _70413b9408560000_p_Instance _30453b9408560000_p_Instance _f0483b9408560000_p_Instance _b04c3b9408560000_p_Instance _c04e3b9408560000_p_Instance _80523b9408560000_p_Instance _40563b9408560000_p_Instance _50583b9408560000_p_Instance _105c3b9408560000_p_Instance _d05f3b9408560000_p_Instance _90633b9408560000_p_Instance _50673b9408560000_p_Instance _106b3b9408560000_p_Instance _d06e3b9408560000_p_Instance _e0703b9408560000_p_Instance _f0723b9408560000_p_Instance _40753b9408560000_p_Instance _50773b9408560000_p_Instance _307a3b9408560000_p_Instance _107d3b9408560000_p_Instance _207f3b9408560000_p_Instance _00823b9408560000_p_Instance _10843b9408560000_p_Instance _20863b9408560000_p_Instance _30883b9408560000_p_Instance _108b3b9408560000_p_Instance _208d3b9408560000_p_Instance _308f3b9408560000_p_Instance _10923b9408560000_p_Instance _20943b9408560000_p_Instance _00973b9408560000_p_Instance _e0993b9408560000_p_Instance _f09b3b9408560000_p_Instance _e09e3b9408560000_p_Instance _80a13b9408560000_p_Instance _60a43b9408560000_p_Instance _b0a63b9408560000_p_Instance _20aa3b9408560000_p_Instance _30ac3b9408560000_p_Instance _a0af3b9408560000_p_Instance _b0b13b9408560000_p_Instance _20b53b9408560000_p_Instance _30b73b9408560000_p_Instance _a0ba3b9408560000_p_Instance _b0bc3b9408560000_p_Instance _e0bf3b9408560000_p_Instance _30c23b9408560000_p_Instance _60c53b9408560000_p_Instance _b0c73b9408560000_p_Instance _c0c93b9408560000_p_Instance _60cc3b9408560000_p_Instance _00cf3b9408560000_p_Instance _a0d13b9408560000_p_Instance _d0d43b9408560000_p_Instance _20d73b9408560000_p_Instance _c0d93b9408560000_p_Instance _10dc3b9408560000_p_Instance _60de3b9408560000_p_Instance _b0e03b9408560000_p_Instance _50e33b9408560000_p_Instance _f0e53b9408560000_p_Instance _20e93b9408560000_p_Instance _70eb3b9408560000_p_Instance _50ee3b9408560000_p_Instance _30f13b9408560000_p_Instance _80f33b9408560000_p_Instance _d0f53b9408560000_p_Instance _20f83b9408560000_p_Instance _30fa3b9408560000_p_Instance _40fc3b9408560000_p_Instance _d0ff3b9408560000_p_Instance _e0013c9408560000_p_Instance _70053c9408560000_p_Instance _80073c9408560000_p_Instance _100b3c9408560000_p_Instance _200d3c9408560000_p_Instance _b0103c9408560000_p_Instance _c0123c9408560000_p_Instance _50163c9408560000_p_Instance _60183c9408560000_p_Instance _701a3c9408560000_p_Instance _001e3c9408560000_p_Instance _10203c9408560000_p_Instance _a0233c9408560000_p_Instance _b0253c9408560000_p_Instance _40293c9408560000_p_Instance _502b3c9408560000_p_Instance _e02e3c9408560000_p_Instance _f0303c9408560000_p_Instance _80343c9408560000_p_Instance _90363c9408560000_p_Instance _203a3c9408560000_p_Instance _303c3c9408560000_p_Instance _c03f3c9408560000_p_Instance _d0413c9408560000_p_Instance _60453c9408560000_p_Instance _70473c9408560000_p_Instance _504a3c9408560000_p_Instance _304d3c9408560000_p_Instance _10503c9408560000_p_Instance _80533c9408560000_p_Instance _20563c9408560000_p_Instance _805a3c9408560000_p_Instance _d05c3c9408560000_p_Instance _e05e3c9408560000_p_Instance _f0603c9408560000_p_Instance _90633c9408560000_p_Instance _e0653c9408560000_p_Instance _80683c9408560000_p_Instance _206b3c9408560000_p_Instance _706d3c9408560000_p_Instance _806f3c9408560000_p_Instance _20723c9408560000_p_Instance _c0743c9408560000_p_Instance _d0763c9408560000_p_Instance _70793c9408560000_p_Instance _c07b3c9408560000_p_Instance _607e3c9408560000_p_Instance _70803c9408560000_p_Instance _10833c9408560000_p_Instance _b0853c9408560000_p_Instance _00883c9408560000_p_Instance _508a3c9408560000_p_Instance _f08c3c9408560000_p_Instance _408f3c9408560000_p_Instance _e0913c9408560000_p_Instance _80943c9408560000_p_Instance _d0963c9408560000_p_Instance _20993c9408560000_p_Instance _c09b3c9408560000_p_Instance _109e3c9408560000_p_Instance _b0a03c9408560000_p_Instance _50a33c9408560000_p_Instance _a0a53c9408560000_p_Instance _40a83c9408560000_p_Instance _e0aa3c9408560000_p_Instance _30ad3c9408560000_p_Instance _80b13c9408560000_p_Instance _20b43c9408560000_p_Instance _c0b63c9408560000_p_Instance _d0b83c9408560000_p_Instance _e0ba3c9408560000_p_Instance _40bf3c9408560000_p_Instance _50c13c9408560000_p_Instance _b0c53c9408560000_p_Instance _c0c73c9408560000_p_Instance _20cc3c9408560000_p_Instance _30ce3c9408560000_p_Instance _90d23c9408560000_p_Instance _a0d43c9408560000_p_Instance _00d93c9408560000_p_Instance _10db3c9408560000_p_Instance _20dd3c9408560000_p_Instance _80e13c9408560000_p_Instance _90e33c9408560000_p_Instance _f0e73c9408560000_p_Instance _00ea3c9408560000_p_Instance _60ee3c9408560000_p_Instance _70f03c9408560000_p_Instance _d0f43c9408560000_p_Instance _e0f63c9408560000_p_Instance _40fb3c9408560000_p_Instance _50fd3c9408560000_p_Instance _60ff3c9408560000_p_Instance _d0033d9408560000_p_Instance _e0053d9408560000_p_Instance _400a3d9408560000_p_Instance _500c3d9408560000_p_Instance _d00f3d9408560000_p_Instance _e0113d9408560000_p_Instance _60153d9408560000_p_Instance _70173d9408560000_p_Instance _f01a3d9408560000_p_Instance _001d3d9408560000_p_Instance _101f3d9408560000_p_Instance _b0213d9408560000_p_Instance _c0233d9408560000_p_Instance _10263d9408560000_p_Instance _20283d9408560000_p_Instance _602d3d9408560000_p_Instance _00303d9408560000_p_Instance _e0323d9408560000_p_Instance _30353d9408560000_p_Instance _d0373d9408560000_p_Instance _203a3d9408560000_p_Instance _403d3d9408560000_p_Instance _e03f3d9408560000_p_Instance _30423d9408560000_p_Instance _40443d9408560000_p_Instance _90463d9408560000_p_Instance _70493d9408560000_p_Instance _104c3d9408560000_p_Instance _b04e3d9408560000_p_Instance _c0503d9408560000_p_Instance _20553d9408560000_p_Instance _c0573d9408560000_p_Instance _d0593d9408560000_p_Instance _305e3d9408560000_p_Instance _d0603d9408560000_p_Instance _e0623d9408560000_p_Instance _40673d9408560000_p_Instance _c06a3d9408560000_p_Instance _d06c3d9408560000_p_Instance _30713d9408560000_p_Instance _b0743d9408560000_p_Instance _c0763d9408560000_p_Instance _207b3d9408560000_p_Instance _307d3d9408560000_p_Instance _b0803d9408560000_p_Instance _c0823d9408560000_p_Instance _d0843d9408560000_p_Instance _30893d9408560000_p_Instance _b08c3d9408560000_p_Instance _c08e3d9408560000_p_Instance _20933d9408560000_p_Instance _a0963d9408560000_p_Instance _b0983d9408560000_p_Instance _109d3d9408560000_p_Instance _90a03d9408560000_p_Instance _a0a23d9408560000_p_Instance _00a73d9408560000_p_Instance _80aa3d9408560000_p_Instance _90ac3d9408560000_p_Instance _f0b03d9408560000_p_Instance _00b33d9408560000_p_Instance _80b63d9408560000_p_Instance _90b83d9408560000_p_Instance _a0ba3d9408560000_p_Instance _00bf3d9408560000_p_Instance _80c23d9408560000_p_Instance _90c43d9408560000_p_Instance _f0c83d9408560000_p_Instance _70cc3d9408560000_p_Instance _80ce3d9408560000_p_Instance _e0d23d9408560000_p_Instance _60d63d9408560000_p_Instance _70d83d9408560000_p_Instance _d0dc3d9408560000_p_Instance _50e03d9408560000_p_Instance _60e23d9408560000_p_Instance _c0e63d9408560000_p_Instance _40ea3d9408560000_p_Instance _50ec3d9408560000_p_Instance _60ee3d9408560000_p_Instance _00f13d9408560000_p_Instance _10f33d9408560000_p_Instance _90f63d9408560000_p_Instance _e0f83d9408560000_p_Instance _f0fa3d9408560000_p_Instance _70fe3d9408560000_p_Instance _c0003e9408560000_p_Instance _d0023e9408560000_p_Instance _20073e9408560000_p_Instance _70093e9408560000_p_Instance _800b3e9408560000_p_Instance _d00f3e9408560000_p_Instance _20123e9408560000_p_Instance _30143e9408560000_p_Instance _b0173e9408560000_p_Instance _001a3e9408560000_p_Instance _101c3e9408560000_p_Instance _201e3e9408560000_p_Instance _30203e9408560000_p_Instance _b0233e9408560000_p_Instance _00263e9408560000_p_Instance _10283e9408560000_p_Instance _902b3e9408560000_p_Instance _e02d3e9408560000_p_Instance _f02f3e9408560000_p_Instance _70333e9408560000_p_Instance _c0353e9408560000_p_Instance _d0373e9408560000_p_Instance _503b3e9408560000_p_Instance _a03d3e9408560000_p_Instance _b03f3e9408560000_p_Instance _30433e9408560000_p_Instance _80453e9408560000_p_Instance _90473e9408560000_p_Instance _a0493e9408560000_p_Instance _204d3e9408560000_p_Instance _704f3e9408560000_p_Instance _80513e9408560000_p_Instance _00553e9408560000_p_Instance _50573e9408560000_p_Instance _60593e9408560000_p_Instance _005c3e9408560000_p_Instance _105e3e9408560000_p_Instance _f0603e9408560000_p_Instance _00633e9408560000_p_Instance _e0653e9408560000_p_Instance _60693e9408560000_p_Instance _706b3e9408560000_p_Instance _506e3e9408560000_p_Instance _60703e9408560000_p_Instance _e0733e9408560000_p_Instance _f0753e9408560000_p_Instance _d0783e9408560000_p_Instance _507c3e9408560000_p_Instance _607e3e9408560000_p_Instance _70803e9408560000_p_Instance _80823e9408560000_p_Instance _60853e9408560000_p_Instance _00883e9408560000_p_Instance _808b3e9408560000_p_Instance _908d3e9408560000_p_Instance _70903e9408560000_p_Instance _10933e9408560000_p_Instance _90963e9408560000_p_Instance _a0983e9408560000_p_Instance _b09a3e9408560000_p_Instance _909d3e9408560000_p_Instance _30a03e9408560000_p_Instance _b0a33e9408560000_p_Instance _c0a53e9408560000_p_Instance _a0a83e9408560000_p_Instance _40ab3e9408560000_p_Instance _50ad3e9408560000_p_Instance _d0b03e9408560000_p_Instance _e0b23e9408560000_p_Instance _c0b53e9408560000_p_Instance _60b83e9408560000_p_Instance _e0bb3e9408560000_p_Instance _f0bd3e9408560000_p_Instance _d0c03e9408560000_p_Instance _70c33e9408560000_p_Instance _f0c63e9408560000_p_Instance _00c93e9408560000_p_Instance _e0cb3e9408560000_p_Instance _80ce3e9408560000_p_Instance _00d23e9408560000_p_Instance _10d43e9408560000_p_Instance _f0d63e9408560000_p_Instance _90d93e9408560000_p_Instance _10dd3e9408560000_p_Instance _20df3e9408560000_p_Instance _30e13e9408560000_p_Instance _d0e33e9408560000_p_Instance _00e73e9408560000_p_Instance _a0e93e9408560000_p_Instance _b0eb3e9408560000_p_Instance _e0ee3e9408560000_p_Instance _c0f13e9408560000_p_Instance _60f43e9408560000_p_Instance _70f63e9408560000_p_Instance _a0f93e9408560000_p_Instance _40fc3e9408560000_p_Instance _50fe3e9408560000_p_Instance _80013f9408560000_p_Instance _20043f9408560000_p_Instance _30063f9408560000_p_Instance _40083f9408560000_p_Instance _e00a3f9408560000_p_Instance _300d3f9408560000_p_Instance _10103f9408560000_p_Instance _b0123f9408560000_p_Instance _c0143f9408560000_p_Instance _10173f9408560000_p_Instance _b0193f9408560000_p_Instance _e01c3f9408560000_p_Instance _b0203f9408560000_p_Instance _30243f9408560000_p_Instance _d0263f9408560000_p_Instance _f02a3f9408560000_p_Instance _702e3f9408560000_p_Instance _c0303f9408560000_p_Instance _d0323f9408560000_p_Instance _f0363f9408560000_p_Instance _703a3f9408560000_p_Instance _c03c3f9408560000_p_Instance _d03e3f9408560000_p_Instance _f0423f9408560000_p_Instance _70463f9408560000_p_Instance _c0483f9408560000_p_Instance _d04a3f9408560000_p_Instance _e04c3f9408560000_p_Instance _f04e3f9408560000_p_Instance _90513f9408560000_p_Instance _30543f9408560000_p_Instance _40563f9408560000_p_Instance _e0583f9408560000_p_Instance _805b3f9408560000_p_Instance _d05d3f9408560000_p_Instance _b0603f9408560000_p_Instance _90633f9408560000_p_Instance _c0663f9408560000_p_Instance _60693f9408560000_p_Instance _706b3f9408560000_p_Instance _106e3f9408560000_p_Instance _20703f9408560000_p_Instance _30723f9408560000_p_Instance _d0743f9408560000_p_Instance _20773f9408560000_p_Instance _007a3f9408560000_p_Instance _a07c3f9408560000_p_Instance _f07e3f9408560000_p_Instance _d0813f9408560000_p_Instance _70843f9408560000_p_Instance _c0863f9408560000_p_Instance _a0893f9408560000_p_Instance _408c3f9408560000_p_Instance _908e3f9408560000_p_Instance _a0903f9408560000_p_Instance _b0923f9408560000_p_Instance _90953f9408560000_p_Instance _30983f9408560000_p_Instance _809a3f9408560000_p_Instance _609d3f9408560000_p_Instance _709f3f9408560000_p_Instance _80a13f9408560000_p_Instance _90a33f9408560000_p_Instance _30a63f9408560000_p_Instance _80a83f9408560000_p_Instance _60ab3f9408560000_p_Instance _00ae3f9408560000_p_Instance _50b03f9408560000_p_Instance _30b33f9408560000_p_Instance _d0b53f9408560000_p_Instance _20b83f9408560000_p_Instance _00bb3f9408560000_p_Instance _a0bd3f9408560000_p_Instance _f0bf3f9408560000_p_Instance _00c23f9408560000_p_Instance _e0c43f9408560000_p_Instance _80c73f9408560000_p_Instance _d0c93f9408560000_p_Instance _b0cc3f9408560000_p_Instance _c0ce3f9408560000_p_Instance _d0d03f9408560000_p_Instance _e0d23f9408560000_p_Instance _80d53f9408560000_p_Instance _d0d73f9408560000_p_Instance _b0da3f9408560000_p_Instance _50dd3f9408560000_p_Instance _a0df3f9408560000_p_Instance _80e23f9408560000_p_Instance _20e53f9408560000_p_Instance _70e73f9408560000_p_Instance _50ea3f9408560000_p_Instance _f0ec3f9408560000_p_Instance _40ef3f9408560000_p_Instance _50f13f9408560000_p_Instance _30f43f9408560000_p_Instance _d0f63f9408560000_p_Instance _20f93f9408560000_p_Instance _00fc3f9408560000_p_Instance _a0fe3f9408560000_p_Instance _f000409408560000_p_Instance _d003409408560000_p_Instance _0007409408560000_p_Instance _e009409408560000_p_Instance _800c409408560000_p_Instance _d00e409408560000_p_Instance _e010409408560000_p_Instance _8013409408560000_p_Instance _2016409408560000_p_Instance _5019409408560000_p_Instance _601b409408560000_p_Instance _701d409408560000_p_Instance _1020409408560000_p_Instance _f022409408560000_p_Instance _9025409408560000_p_Instance _a027409408560000_p_Instance _f029409408560000_p_Instance _902c409408560000_p_Instance _302f409408560000_p_Instance _d031409408560000_p_Instance _b034409408560000_p_Instance _5037409408560000_p_Instance _303a409408560000_p_Instance _403c409408560000_p_Instance _203f409408560000_p_Instance _c041409408560000_p_Instance _a044409408560000_p_Instance _4047409408560000_p_Instance _9049409408560000_p_Instance _304c409408560000_p_Instance _604f409408560000_p_Instance _b051409408560000_p_Instance _9054409408560000_p_Instance _e056409408560000_p_Instance _8059409408560000_p_Instance _205c409408560000_p_Instance _305e409408560000_p_Instance _1061409408560000_p_Instance _f063409408560000_p_Instance _4066409408560000_p_Instance _a06a409408560000_p_Instance _f06c409408560000_p_Instance _906f409408560000_p_Instance _a071409408560000_p_Instance _b073409408560000_p_Instance _5076409408560000_p_Instance _6078409408560000_p_Instance _007b409408560000_p_Instance _107d409408560000_p_Instance _207f409408560000_p_Instance _3081409408560000_p_Instance _a084409408560000_p_Instance _b086409408560000_p_Instance _5089409408560000_p_Instance _608b409408560000_p_Instance _008e409408560000_p_Instance _1090409408560000_p_Instance _b092409408560000_p_Instance _c094409408560000_p_Instance _6097409408560000_p_Instance _7099409408560000_p_Instance _809b409408560000_p_Instance _209e409408560000_p_Instance _30a0409408560000_p_Instance _d0a2409408560000_p_Instance _e0a4409408560000_p_Instance _80a7409408560000_p_Instance _90a9409408560000_p_Instance _30ac409408560000_p_Instance _40ae409408560000_p_Instance _e0b0409408560000_p_Instance _f0b2409408560000_p_Instance _00b5409408560000_p_Instance _a0b7409408560000_p_Instance _b0b9409408560000_p_Instance _50bc409408560000_p_Instance _60be409408560000_p_Instance _00c1409408560000_p_Instance _10c3409408560000_p_Instance _b0c5409408560000_p_Instance _c0c7409408560000_p_Instance _10ca409408560000_p_Instance _60cc409408560000_p_Instance _a0d1409408560000_p_Instance _f0d3409408560000_p_Instance _d0d6409408560000_p_Instance _70d9409408560000_p_Instance _10dc409408560000_p_Instance _b0de409408560000_p_Instance _50e1409408560000_p_Instance _60e3409408560000_p_Instance _00e6409408560000_p_Instance _50e8409408560000_p_Instance _f0ea409408560000_p_Instance _00ed409408560000_p_Instance _a0ef409408560000_p_Instance _40f2409408560000_p_Instance _a0f6409408560000_p_Instance _b0f8409408560000_p_Instance _c0fa409408560000_p_Instance _40fe409408560000_p_Instance _5000419408560000_p_Instance _d003419408560000_p_Instance _e005419408560000_p_Instance _6009419408560000_p_Instance _700b419408560000_p_Instance _f00e419408560000_p_Instance _0011419408560000_p_Instance _8014419408560000_p_Instance _9016419408560000_p_Instance _a018419408560000_p_Instance _201c419408560000_p_Instance _301e419408560000_p_Instance _b021419408560000_p_Instance _c023419408560000_p_Instance _4027419408560000_p_Instance _5029419408560000_p_Instance _d02c419408560000_p_Instance _e02e419408560000_p_Instance _6032419408560000_p_Instance _7034419408560000_p_Instance _f037419408560000_p_Instance _003a419408560000_p_Instance _803d419408560000_p_Instance _903f419408560000_p_Instance _1043419408560000_p_Instance _2045419408560000_p_Instance _a048419408560000_p_Instance _b04a419408560000_p_Instance _004d419408560000_p_Instance _104f419408560000_p_Instance _b051419408560000_p_Instance _c053419408560000_p_Instance _f056419408560000_p_Instance _d059419408560000_p_Instance _005d419408560000_p_Instance _105f419408560000_p_Instance _f061419408560000_p_Instance _2065419408560000_p_Instance _0068419408560000_p_Instance _306b419408560000_p_Instance _106e419408560000_p_Instance _4071419408560000_p_Instance _2074419408560000_p_Instance _3076419408560000_p_Instance _4078419408560000_p_Instance _707b419408560000_p_Instance _507e419408560000_p_Instance _8081419408560000_p_Instance _9083419408560000_p_Instance _7086419408560000_p_Instance _a089419408560000_p_Instance _808c419408560000_p_Instance _b08f419408560000_p_Instance _9092419408560000_p_Instance _c095419408560000_p_Instance _a098419408560000_p_Instance _d09b419408560000_p_Instance _b09e419408560000_p_Instance _e0a1419408560000_p_Instance _c0a4419408560000_p_Instance _10a7419408560000_p_Instance _c0aa419408560000_p_Instance _d0ac419408560000_p_Instance _b0af419408560000_p_Instance _50b2419408560000_p_Instance _60b4419408560000_p_Instance _70b6419408560000_p_Instance _10b9419408560000_p_Instance _60bb419408560000_p_Instance _40be419408560000_p_Instance _20c1419408560000_p_Instance _70c3419408560000_p_Instance _80c5419408560000_p_Instance _20c8419408560000_p_Instance _00cb419408560000_p_Instance _a0cd419408560000_p_Instance _b0cf419408560000_p_Instance _50d2419408560000_p_Instance _a0d4419408560000_p_Instance _b0d6419408560000_p_Instance _50d9419408560000_p_Instance _f0db419408560000_p_Instance _40de419408560000_p_Instance _50e0419408560000_p_Instance _f0e2419408560000_p_Instance _90e5419408560000_p_Instance _e0e7419408560000_p_Instance _f0e9419408560000_p_Instance _00ec419408560000_p_Instance _a0ee419408560000_p_Instance _40f1419408560000_p_Instance _90f3419408560000_p_Instance _a0f5419408560000_p_Instance _40f8419408560000_p_Instance _e0fa419408560000_p_Instance _30fd419408560000_p_Instance _40ff419408560000_p_Instance _5001429408560000_p_Instance _f003429408560000_p_Instance _0006429408560000_p_Instance _a008429408560000_p_Instance _f00a429408560000_p_Instance _000d429408560000_p_Instance _a00f429408560000_p_Instance _4012429408560000_p_Instance _9014429408560000_p_Instance _a016429408560000_p_Instance _4019429408560000_p_Instance _e01b429408560000_p_Instance _301e429408560000_p_Instance _4020429408560000_p_Instance _5022429408560000_p_Instance _f024429408560000_p_Instance _9027429408560000_p_Instance _e029429408560000_p_Instance _f02b429408560000_p_Instance _902e429408560000_p_Instance _3031429408560000_p_Instance _8033429408560000_p_Instance _9035429408560000_p_Instance _a037429408560000_p_Instance _403a429408560000_p_Instance _503c429408560000_p_Instance _f03e429408560000_p_Instance _4041429408560000_p_Instance _5043429408560000_p_Instance _f045429408560000_p_Instance _9048429408560000_p_Instance _e04a429408560000_p_Instance _f04c429408560000_p_Instance _904f429408560000_p_Instance _3052429408560000_p_Instance _8054429408560000_p_Instance _9056429408560000_p_Instance _3059429408560000_p_Instance _d05b429408560000_p_Instance _205e429408560000_p_Instance _3060429408560000_p_Instance _d062429408560000_p_Instance _7065429408560000_p_Instance _c067429408560000_p_Instance _d069429408560000_p_Instance _706c429408560000_p_Instance _106f429408560000_p_Instance _6071429408560000_p_Instance _7073429408560000_p_Instance _a076429408560000_p_Instance _4079429408560000_p_Instance _e07b429408560000_p_Instance _307e429408560000_p_Instance _4080429408560000_p_Instance _9082429408560000_p_Instance _3085429408560000_p_Instance _1088429408560000_p_Instance _f08a429408560000_p_Instance _d08d429408560000_p_Instance _0091429408560000_p_Instance _e093429408560000_p_Instance _3096429408560000_p_Instance _d098429408560000_p_Instance _009c429408560000_p_Instance _e09e429408560000_p_Instance _30a1429408560000_p_Instance _40a3429408560000_p_Instance _70a6429408560000_p_Instance _c0a8429408560000_p_Instance _a0ab429408560000_p_Instance _40ae429408560000_p_Instance _e0b0429408560000_p_Instance _80b3429408560000_p_Instance _d0b5429408560000_p_Instance _20b8429408560000_p_Instance _50bb429408560000_p_Instance _a0bd429408560000_p_Instance _80c0429408560000_p_Instance _d0c2429408560000_p_Instance _70c5429408560000_p_Instance _10c8429408560000_p_Instance _20ca429408560000_p_Instance _00cd429408560000_p_Instance _e0cf429408560000_p_Instance _f0d1429408560000_p_Instance _00d4429408560000_p_Instance _10d6429408560000_p_Instance _b0d8429408560000_p_Instance _00db429408560000_p_Instance _10dd429408560000_p_Instance _60df429408560000_p_Instance _70e1429408560000_p_Instance _10e4429408560000_p_Instance _b0e6429408560000_p_Instance _50e9429408560000_p_Instance _f0eb429408560000_p_Instance _90ee429408560000_p_Instance _a0f0429408560000_p_Instance _f0f2429408560000_p_Instance _40f5429408560000_p_Instance _e0f7429408560000_p_Instance _f0f9429408560000_p_Instance _90fc429408560000_p_Instance _30ff429408560000_p_Instance _8001439408560000_p_Instance _2004439408560000_p_Instance _c006439408560000_p_Instance _1009439408560000_p_Instance _b00b439408560000_p_Instance _200f439408560000_p_Instance _7011439408560000_p_Instance _7015439408560000_p_Instance _7019439408560000_p_Instance _101c439408560000_p_Instance _201e439408560000_p_Instance _c020439408560000_p_Instance _4024439408560000_p_Instance _5026439408560000_p_Instance _f028439408560000_p_Instance _402b439408560000_p_Instance _902d439408560000_p_Instance _e02f439408560000_p_Instance _f031439408560000_p_Instance _9034439408560000_p_Instance _e036439408560000_p_Instance _8039439408560000_p_Instance _903b439408560000_p_Instance _303e439408560000_p_Instance _8040439408560000_p_Instance _d042439408560000_p_Instance _7045439408560000_p_Instance _c047439408560000_p_Instance _604a439408560000_p_Instance _004d439408560000_p_Instance _504f439408560000_p_Instance _a051439408560000_p_Instance _4054439408560000_p_Instance _9056439408560000_p_Instance _3059439408560000_p_Instance _d05b439408560000_p_Instance _205e439408560000_p_Instance _7060439408560000_p_Instance _8062439408560000_p_Instance _2065439408560000_p_Instance _7067439408560000_p_Instance _106a439408560000_p_Instance _b06c439408560000_p_Instance _006f439408560000_p_Instance _5071439408560000_p_Instance _f073439408560000_p_Instance _4076439408560000_p_Instance _e078439408560000_p_Instance _807b439408560000_p_Instance _d07d439408560000_p_Instance _7080439408560000_p_Instance _1083439408560000_p_Instance _6085439408560000_p_Instance _b087439408560000_p_Instance _508a439408560000_p_Instance _a08c439408560000_p_Instance _408f439408560000_p_Instance _e091439408560000_p_Instance _3094439408560000_p_Instance _d096439408560000_p_Instance _7099439408560000_p_Instance _c09b439408560000_p_Instance _609e439408560000_p_Instance _d0a1439408560000_p_Instance _70a4439408560000_p_Instance _10a7439408560000_p_Instance _20a9439408560000_p_Instance _70ab439408560000_p_Instance _80ad439408560000_p_Instance _20b0439408560000_p_Instance _30b2439408560000_p_Instance _d0b4439408560000_p_Instance _e0b6439408560000_p_Instance _80b9439408560000_p_Instance _90bb439408560000_p_Instance _30be439408560000_p_Instance _40c0439408560000_p_Instance _e0c2439408560000_p_Instance _f0c4439408560000_p_Instance _00c7439408560000_p_Instance _a0c9439408560000_p_Instance _b0cb439408560000_p_Instance _50ce439408560000_p_Instance _60d0439408560000_p_Instance _00d3439408560000_p_Instance _10d5439408560000_p_Instance _b0d7439408560000_p_Instance _c0d9439408560000_p_Instance _60dc439408560000_p_Instance _70de439408560000_p_Instance _80e0439408560000_p_Instance _20e3439408560000_p_Instance _30e5439408560000_p_Instance _d0e7439408560000_p_Instance _e0e9439408560000_p_Instance _80ec439408560000_p_Instance _90ee439408560000_p_Instance _30f1439408560000_p_Instance _40f3439408560000_p_Instance _e0f5439408560000_p_Instance _f0f7439408560000_p_Instance _90fa439408560000_p_Instance _a0fc439408560000_p_Instance _f0fe439408560000_p_Instance _0001449408560000_p_Instance _a003449408560000_p_Instance _b005449408560000_p_Instance _9008449408560000_p_Instance _700b449408560000_p_Instance _500e449408560000_p_Instance _3011449408560000_p_Instance _1014449408560000_p_Instance _f016449408560000_p_Instance _4019449408560000_p_Instance _701c449408560000_p_Instance _801e449408560000_p_Instance _9020449408560000_p_Instance _e022449408560000_p_Instance _f024449408560000_p_Instance _d027449408560000_p_Instance _e029449408560000_p_Instance _f02b449408560000_p_Instance _402e449408560000_p_Instance _9030449408560000_p_Instance _7033449408560000_p_Instance _1036449408560000_p_Instance _b038449408560000_p_Instance _c03a449408560000_p_Instance _603d449408560000_p_Instance _4040449408560000_p_Instance _9042449408560000_p_Instance _e044449408560000_p_Instance _8047449408560000_p_Instance _604a449408560000_p_Instance _b04c449408560000_p_Instance _004f449408560000_p_Instance _1051449408560000_p_Instance _2053449408560000_p_Instance _0056449408560000_p_Instance _1058449408560000_p_Instance _b05a449408560000_p_Instance _505d449408560000_p_Instance _f05f449408560000_p_Instance _d062449408560000_p_Instance _2065449408560000_p_Instance _7067449408560000_p_Instance _106a449408560000_p_Instance _f06c449408560000_p_Instance _406f449408560000_p_Instance _9071449408560000_p_Instance _7074449408560000_p_Instance _1077449408560000_p_Instance _b079449408560000_p_Instance _507c449408560000_p_Instance _307f449408560000_p_Instance _8081449408560000_p_Instance _d083449408560000_p_Instance _7086449408560000_p_Instance _8088449408560000_p_Instance _608b449408560000_p_Instance _708d449408560000_p_Instance _c08f449408560000_p_Instance _1092449408560000_p_Instance _f094449408560000_p_Instance _9097449408560000_p_Instance _309a449408560000_p_Instance _d09c449408560000_p_Instance _b09f449408560000_p_Instance _00a2449408560000_p_Instance _50a4449408560000_p_Instance _f0a6449408560000_p_Instance _d0a9449408560000_p_Instance _20ac449408560000_p_Instance _70ae449408560000_p_Instance _10b1449408560000_p_Instance _f0b3449408560000_p_Instance _40b6449408560000_p_Instance _90b8449408560000_p_Instance _a0ba449408560000_p_Instance _80bd449408560000_p_Instance _20c0449408560000_p_Instance _30c2449408560000_p_Instance _80c4449408560000_p_Instance _90c6449408560000_p_Instance _a0c8449408560000_p_Instance _40cb449408560000_p_Instance _e0cd449408560000_p_Instance _f0cf449408560000_p_Instance _90d2449408560000_p_Instance _a0d4449408560000_p_Instance _40d7449408560000_p_Instance _50d9449408560000_p_Instance _f0db449408560000_p_Instance _00de449408560000_p_Instance _10e0449408560000_p_Instance _b0e2449408560000_p_Instance _c0e4449408560000_p_Instance _60e7449408560000_p_Instance _70e9449408560000_p_Instance _10ec449408560000_p_Instance _20ee449408560000_p_Instance _c0f0449408560000_p_Instance _d0f2449408560000_p_Instance _70f5449408560000_p_Instance _80f7449408560000_p_Instance _90f9449408560000_p_Instance _30fc449408560000_p_Instance _40fe449408560000_p_Instance _e000459408560000_p_Instance _f002459408560000_p_Instance _9005459408560000_p_Instance _a007459408560000_p_Instance _400a459408560000_p_Instance _500c459408560000_p_Instance _f00e459408560000_p_Instance _0011459408560000_p_Instance _a013459408560000_p_Instance _b015459408560000_p_Instance _9018459408560000_p_Instance _a01a459408560000_p_Instance _401d459408560000_p_Instance _e01f459408560000_p_Instance _8022459408560000_p_Instance _d024459408560000_p_Instance _7027459408560000_p_Instance _c029459408560000_p_Instance _602c459408560000_p_Instance _002f459408560000_p_Instance _a031459408560000_p_Instance _4034459408560000_p_Instance _e036459408560000_p_Instance _8039459408560000_p_Instance _203c459408560000_p_Instance _903f459408560000_p_Instance _e041459408560000_p_Instance _8044459408560000_p_Instance _2047459408560000_p_Instance _c049459408560000_p_Instance _104c459408560000_p_Instance _204e459408560000_p_Instance _3050459408560000_p_Instance _8052459408560000_p_Instance _2055459408560000_p_Instance _0058459408560000_p_Instance _a05a459408560000_p_Instance _805d459408560000_p_Instance _2060459408560000_p_Instance _0063459408560000_p_Instance _a065459408560000_p_Instance _8068459408560000_p_Instance _d06a459408560000_p_Instance _206d459408560000_p_Instance _706f459408560000_p_Instance _2073459408560000_p_Instance _4076459408560000_p_Instance _2079459408560000_p_Instance _707b459408560000_p_Instance _207f459408560000_p_Instance _4082459408560000_p_Instance _2085459408560000_p_Instance _0088459408560000_p_Instance _408a459408560000_p_Instance _508c459408560000_p_Instance _008f459408560000_p_Instance _4091459408560000_p_Instance _5093459408560000_p_Instance _6095459408560000_p_Instance _7097459408560000_p_Instance _8099459408560000_p_Instance _909b459408560000_p_Instance _a09d459408560000_p_Instance _b09f459408560000_p_Instance _c0a1459408560000_p_Instance _d0a3459408560000_p_Instance _80a6459408560000_p_Instance _c0a8459408560000_p_Instance _70ab459408560000_p_Instance _b0ad459408560000_p_Instance _c0af459408560000_p_Instance _d0b1459408560000_p_Instance _80b4459408560000_p_Instance _c0b6459408560000_p_Instance _d0b8459408560000_p_Instance _80bb459408560000_p_Instance _60be459408560000_p_Instance _40c1459408560000_p_Instance _80c3459408560000_p_Instance _30c6459408560000_p_Instance _70c8459408560000_p_Instance _80ca459408560000_p_Instance _30cd459408560000_p_Instance _70cf459408560000_p_Instance _80d1459408560000_p_Instance _90d3459408560000_p_Instance _a0d5459408560000_p_Instance _b0d7459408560000_p_Instance _c0d9459408560000_p_Instance _d0db459408560000_p_Instance _80de459408560000_p_Instance _c0e0459408560000_p_Instance _d0e2459408560000_p_Instance _e0e4459408560000_p_Instance _f0e6459408560000_p_Instance _00e9459408560000_p_Instance _10eb459408560000_p_Instance _20ed459408560000_p_Instance _30ef459408560000_p_Instance _40f1459408560000_p_Instance _50f3459408560000_p_Instance _60f5459408560000_p_Instance _70f7459408560000_p_Instance _80f9459408560000_p_Instance _90fb459408560000_p_Instance _a0fd459408560000_p_Instance _b0ff459408560000_p_Instance _c001469408560000_p_Instance _d003469408560000_p_Instance _e005469408560000_p_Instance _f007469408560000_p_Instance _a00a469408560000_p_Instance _e00c469408560000_p_Instance _f00e469408560000_p_Instance _0011469408560000_p_Instance _1013469408560000_p_Instance _2015469408560000_p_Instance _3017469408560000_p_Instance _4019469408560000_p_Instance _501b469408560000_p_Instance _601d469408560000_p_Instance _1020469408560000_p_Instance _5022469408560000_p_Instance _6024469408560000_p_Instance _7026469408560000_p_Instance _8028469408560000_p_Instance _902a469408560000_p_Instance _a02c469408560000_p_Instance _b02e469408560000_p_Instance _c030469408560000_p_Instance _7033469408560000_p_Instance _b035469408560000_p_Instance _c037469408560000_p_Instance _703a469408560000_p_Instance _b03c469408560000_p_Instance _c03e469408560000_p_Instance _d040469408560000_p_Instance _e042469408560000_p_Instance _f044469408560000_p_Instance _0047469408560000_p_Instance _1049469408560000_p_Instance _204b469408560000_p_Instance _304d469408560000_p_Instance _404f469408560000_p_Instance _5051469408560000_p_Instance _6053469408560000_p_Instance _7055469408560000_p_Instance _8057469408560000_p_Instance _9059469408560000_p_Instance _a05b469408560000_p_Instance _b05d469408560000_p_Instance _c05f469408560000_p_Instance _8062469408560000_p_Instance _c064469408560000_p_Instance _d066469408560000_p_Instance _8069469408560000_p_Instance _c06b469408560000_p_Instance _d06d469408560000_p_Instance _e06f469408560000_p_Instance _9072469408560000_p_Instance _d074469408560000_p_Instance _8077469408560000_p_Instance _c079469408560000_p_Instance _d07b469408560000_p_Instance _e07d469408560000_p_Instance _f07f469408560000_p_Instance _0082469408560000_p_Instance _1084469408560000_p_Instance _c086469408560000_p_Instance _0089469408560000_p_Instance _108b469408560000_p_Instance _208d469408560000_p_Instance _308f469408560000_p_Instance _e091469408560000_p_Instance _2094469408560000_p_Instance _3096469408560000_p_Instance _4098469408560000_p_Instance _509a469408560000_p_Instance _609c469408560000_p_Instance _709e469408560000_p_Instance _80a0469408560000_p_Instance _90a2469408560000_p_Instance _a0a4469408560000_p_Instance _b0a6469408560000_p_Instance _c0a8469408560000_p_Instance _d0aa469408560000_p_Instance _e0ac469408560000_p_Instance _f0ae469408560000_p_Instance _00b1469408560000_p_Instance _10b3469408560000_p_Instance _20b5469408560000_p_Instance _d0b7469408560000_p_Instance _10ba469408560000_p_Instance _20bc469408560000_p_Instance _30be469408560000_p_Instance _40c0469408560000_p_Instance _50c2469408560000_p_Instance _60c4469408560000_p_Instance _70c6469408560000_p_Instance _80c8469408560000_p_Instance _90ca469408560000_p_Instance _40cd469408560000_p_Instance _80cf469408560000_p_Instance _90d1469408560000_p_Instance _a0d3469408560000_p_Instance _b0d5469408560000_p_Instance _c0d7469408560000_p_Instance _d0d9469408560000_p_Instance _e0db469408560000_p_Instance _f0dd469408560000_p_Instance _00e0469408560000_p_Instance _10e2469408560000_p_Instance _20e4469408560000_p_Instance _30e6469408560000_p_Instance _40e8469408560000_p_Instance _50ea469408560000_p_Instance _00ed469408560000_p_Instance _40ef469408560000_p_Instance _50f1469408560000_p_Instance _60f3469408560000_p_Instance _70f5469408560000_p_Instance _80f7469408560000_p_Instance _30fa469408560000_p_Instance _70fc469408560000_p_Instance _80fe469408560000_p_Instance _9000479408560000_p_Instance _a002479408560000_p_Instance _b004479408560000_p_Instance _c006479408560000_p_Instance _d008479408560000_p_Instance _e00a479408560000_p_Instance _f00c479408560000_p_Instance _000f479408560000_p_Instance _b011479408560000_p_Instance _f013479408560000_p_Instance _0016479408560000_p_Instance _1018479408560000_p_Instance _201a479408560000_p_Instance _301c479408560000_p_Instance _401e479408560000_p_Instance _5020479408560000_p_Instance _6022479408560000_p_Instance _7024479408560000_p_Instance _8026479408560000_p_Instance _9028479408560000_p_Instance _a02a479408560000_p_Instance _b02c479408560000_p_Instance _c02e479408560000_p_Instance _d030479408560000_p_Instance _e032479408560000_p_Instance _f034479408560000_p_Instance _0037479408560000_p_Instance _1039479408560000_p_Instance _203b479408560000_p_Instance _303d479408560000_p_Instance _403f479408560000_p_Instance _5041479408560000_p_Instance _6043479408560000_p_Instance _7045479408560000_p_Instance _8047479408560000_p_Instance _9049479408560000_p_Instance _a04b479408560000_p_Instance _b04d479408560000_p_Instance _c04f479408560000_p_Instance _d051479408560000_p_Instance _8054479408560000_p_Instance _c056479408560000_p_Instance _d058479408560000_p_Instance _e05a479408560000_p_Instance _f05c479408560000_p_Instance _005f479408560000_p_Instance _1061479408560000_p_Instance _2063479408560000_p_Instance _3065479408560000_p_Instance _4067479408560000_p_Instance _5069479408560000_p_Instance _606b479408560000_p_Instance _706d479408560000_p_Instance _806f479408560000_p_Instance _9071479408560000_p_Instance _a073479408560000_p_Instance _b075479408560000_p_Instance _c077479408560000_p_Instance _d079479408560000_p_Instance _e07b479408560000_p_Instance _f07d479408560000_p_Instance _0080479408560000_p_Instance _1082479408560000_p_Instance _2084479408560000_p_Instance _3086479408560000_p_Instance _4088479408560000_p_Instance _508a479408560000_p_Instance _608c479408560000_p_Instance _708e479408560000_p_Instance _8090479408560000_p_Instance _9092479408560000_p_Instance _a094479408560000_p_Instance _b096479408560000_p_Instance _c098479408560000_p_Instance _d09a479408560000_p_Instance _e09c479408560000_p_Instance _f09e479408560000_p_Instance _00a1479408560000_p_Instance _10a3479408560000_p_Instance _20a5479408560000_p_Instance _30a7479408560000_p_Instance _40a9479408560000_p_Instance _50ab479408560000_p_Instance _60ad479408560000_p_Instance _70af479408560000_p_Instance _80b1479408560000_p_Instance _90b3479408560000_p_Instance _a0b5479408560000_p_Instance _b0b7479408560000_p_Instance _c0b9479408560000_p_Instance _d0bb479408560000_p_Instance _e0bd479408560000_p_Instance _f0bf479408560000_p_Instance _00c2479408560000_p_Instance _10c4479408560000_p_Instance _20c6479408560000_p_Instance _30c8479408560000_p_Instance _40ca479408560000_p_Instance _50cc479408560000_p_Instance _60ce479408560000_p_Instance _70d0479408560000_p_Instance _80d2479408560000_p_Instance _90d4479408560000_p_Instance _a0d6479408560000_p_Instance _b0d8479408560000_p_Instance _c0da479408560000_p_Instance _d0dc479408560000_p_Instance _e0de479408560000_p_Instance _f0e0479408560000_p_Instance _00e3479408560000_p_Instance _10e5479408560000_p_Instance _20e7479408560000_p_Instance _30e9479408560000_p_Instance _40eb479408560000_p_Instance _50ed479408560000_p_Instance _60ef479408560000_p_Instance _70f1479408560000_p_Instance _80f3479408560000_p_Instance _90f5479408560000_p_Instance _a0f7479408560000_p_Instance _b0f9479408560000_p_Instance _c0fb479408560000_p_Instance _d0fd479408560000_p_Instance _e0ff479408560000_p_Instance _f001489408560000_p_Instance _0004489408560000_p_Instance _1006489408560000_p_Instance _2008489408560000_p_Instance _300a489408560000_p_Instance _400c489408560000_p_Instance _500e489408560000_p_Instance _6010489408560000_p_Instance _7012489408560000_p_Instance _8014489408560000_p_Instance _9016489408560000_p_Instance _a018489408560000_p_Instance _b01a489408560000_p_Instance _c01c489408560000_p_Instance _d01e489408560000_p_Instance _e020489408560000_p_Instance _f022489408560000_p_Instance _0025489408560000_p_Instance _1027489408560000_p_Instance _2029489408560000_p_Instance _302b489408560000_p_Instance _402d489408560000_p_Instance _502f489408560000_p_Instance _6031489408560000_p_Instance _7033489408560000_p_Instance _8035489408560000_p_Instance _9037489408560000_p_Instance _a039489408560000_p_Instance _b03b489408560000_p_Instance _c03d489408560000_p_Instance _d03f489408560000_p_Instance _e041489408560000_p_Instance _f043489408560000_p_Instance _0046489408560000_p_Instance _1048489408560000_p_Instance _204a489408560000_p_Instance _304c489408560000_p_Instance _404e489408560000_p_Instance _5050489408560000_p_Instance _6052489408560000_p_Instance _7054489408560000_p_Instance _8056489408560000_p_Instance _9058489408560000_p_Instance _a05a489408560000_p_Instance _b05c489408560000_p_Instance _c05e489408560000_p_Instance _d060489408560000_p_Instance _e062489408560000_p_Instance _f064489408560000_p_Instance _0067489408560000_p_Instance _1069489408560000_p_Instance _206b489408560000_p_Instance _306d489408560000_p_Instance _406f489408560000_p_Instance _5071489408560000_p_Instance _6073489408560000_p_Instance _7075489408560000_p_Instance _2078489408560000_p_Instance _007b489408560000_p_Instance _e07d489408560000_p_Instance _c080489408560000_p_Instance _a083489408560000_p_Instance _8086489408560000_p_Instance _6089489408560000_p_Instance _408c489408560000_p_Instance _208f489408560000_p_Instance _0092489408560000_p_Instance _e094489408560000_p_Instance _7099489408560000_p_Instance _509c489408560000_p_Instance _309f489408560000_p_Instance _10a2489408560000_p_Instance _f0a4489408560000_p_Instance _30a7489408560000_p_Instance _40a9489408560000_p_Instance _50ab489408560000_p_Instance _60ad489408560000_p_Instance _70af489408560000_p_Instance _80b1489408560000_p_Instance _90b3489408560000_p_Instance _a0b5489408560000_p_Instance _50b8489408560000_p_Instance _90ba489408560000_p_Instance _a0bc489408560000_p_Instance _b0be489408560000_p_Instance _c0c0489408560000_p_Instance _d0c2489408560000_p_Instance _e0c4489408560000_p_Instance _f0c6489408560000_p_Instance _00c9489408560000_p_Instance _b0cb489408560000_p_Instance _f0cd489408560000_p_Instance _00d0489408560000_p_Instance _10d2489408560000_p_Instance _20d4489408560000_p_Instance _30d6489408560000_p_Instance _40d8489408560000_p_Instance _50da489408560000_p_Instance _60dc489408560000_p_Instance _70de489408560000_p_Instance _80e0489408560000_p_Instance _90e2489408560000_p_Instance _a0e4489408560000_p_Instance _b0e6489408560000_p_Instance _c0e8489408560000_p_Instance _d0ea489408560000_p_Instance _e0ec489408560000_p_Instance _f0ee489408560000_p_Instance _00f1489408560000_p_Instance _10f3489408560000_p_Instance _20f5489408560000_p_Instance _30f7489408560000_p_Instance _40f9489408560000_p_Instance _50fb489408560000_p_Instance _60fd489408560000_p_Instance _70ff489408560000_p_Instance _8001499408560000_p_Instance _9003499408560000_p_Instance _a005499408560000_p_Instance _b007499408560000_p_Instance _c009499408560000_p_Instance _d00b499408560000_p_Instance _e00d499408560000_p_Instance _f00f499408560000_p_Instance _0012499408560000_p_Instance _1014499408560000_p_Instance _2016499408560000_p_Instance _3018499408560000_p_Instance _401a499408560000_p_Instance _501c499408560000_p_Instance _601e499408560000_p_Instance _7020499408560000_p_Instance _8022499408560000_p_Instance _9024499408560000_p_Instance _a026499408560000_p_Instance _b028499408560000_p_Instance _c02a499408560000_p_Instance _d02c499408560000_p_Instance _e02e499408560000_p_Instance _f030499408560000_p_Instance _0033499408560000_p_Instance _1035499408560000_p_Instance _2037499408560000_p_Instance _3039499408560000_p_Instance _403b499408560000_p_Instance _503d499408560000_p_Instance _603f499408560000_p_Instance _7041499408560000_p_Instance _8043499408560000_p_Instance _9045499408560000_p_Instance _a047499408560000_p_Instance _b049499408560000_p_Instance _c04b499408560000_p_Instance _d04d499408560000_p_Instance _e04f499408560000_p_Instance _f051499408560000_p_Instance _0054499408560000_p_Instance _1056499408560000_p_Instance _2058499408560000_p_Instance _305a499408560000_p_Instance _405c499408560000_p_Instance _505e499408560000_p_Instance _6060499408560000_p_Instance _7062499408560000_p_Instance _8064499408560000_p_Instance _9066499408560000_p_Instance _a068499408560000_p_Instance _b06a499408560000_p_Instance _c06c499408560000_p_Instance _d06e499408560000_p_Instance _e070499408560000_p_Instance _f072499408560000_p_Instance _0075499408560000_p_Instance _1077499408560000_p_Instance _f078499408560000_p_Instance _007b499408560000_p_Instance _107d499408560000_p_Instance _207f499408560000_p_Instance _3081499408560000_p_Instance _4083499408560000_p_Instance _5085499408560000_p_Instance _6087499408560000_p_Instance _7089499408560000_p_Instance _808b499408560000_p_Instance _908d499408560000_p_Instance _a08f499408560000_p_Instance _b091499408560000_p_Instance _c093499408560000_p_Instance _d095499408560000_p_Instance _e097499408560000_p_Instance _f099499408560000_p_Instance _009c499408560000_p_Instance _109e499408560000_p_Instance _20a0499408560000_p_Instance _30a2499408560000_p_Instance _40a4499408560000_p_Instance _50a6499408560000_p_Instance _60a8499408560000_p_Instance _70aa499408560000_p_Instance _80ac499408560000_p_Instance _90ae499408560000_p_Instance _a0b0499408560000_p_Instance _b0b2499408560000_p_Instance _c0b4499408560000_p_Instance _d0b6499408560000_p_Instance _e0b8499408560000_p_Instance _f0ba499408560000_p_Instance _00bd499408560000_p_Instance _10bf499408560000_p_Instance _20c1499408560000_p_Instance _30c3499408560000_p_Instance _40c5499408560000_p_Instance _50c7499408560000_p_Instance _60c9499408560000_p_Instance _70cb499408560000_p_Instance _80cd499408560000_p_Instance _90cf499408560000_p_Instance _a0d1499408560000_p_Instance _b0d3499408560000_p_Instance _c0d5499408560000_p_Instance _d0d7499408560000_p_Instance _e0d9499408560000_p_Instance _f0db499408560000_p_Instance _00de499408560000_p_Instance _10e0499408560000_p_Instance _20e2499408560000_p_Instance _30e4499408560000_p_Instance _40e6499408560000_p_Instance _50e8499408560000_p_Instance _60ea499408560000_p_Instance _70ec499408560000_p_Instance _80ee499408560000_p_Instance _90f0499408560000_p_Instance _a0f2499408560000_p_Instance _b0f4499408560000_p_Instance _c0f6499408560000_p_Instance _d0f8499408560000_p_Instance _e0fa499408560000_p_Instance _f0fc499408560000_p_Instance _00ff499408560000_p_Instance _10014a9408560000_p_Instance _20034a9408560000_p_Instance _90044a9408560000_p_Instance _d0054a9408560000_p_Instance _10074a9408560000_p_Instance _f0084a9408560000_p_Instance _000b4a9408560000_p_Instance _100d4a9408560000_p_Instance _200f4a9408560000_p_Instance _30114a9408560000_p_Instance _40134a9408560000_p_Instance _50154a9408560000_p_Instance _60174a9408560000_p_Instance _10194a9408560000_p_Instance _901a4a9408560000_p_Instance _101c4a9408560000_p_Instance _901d4a9408560000_p_Instance _101f4a9408560000_p_Instance _90204a9408560000_p_Instance _10224a9408560000_p_Instance _90234a9408560000_p_Instance _10254a9408560000_p_Instance _90264a9408560000_p_Instance _10284a9408560000_p_Instance _90294a9408560000_p_Instance _102b4a9408560000_p_Instance _902c4a9408560000_p_Instance _102e4a9408560000_p_Instance _902f4a9408560000_p_Instance _10314a9408560000_p_Instance _90324a9408560000_p_Instance _10344a9408560000_p_Instance _90354a9408560000_p_Instance _10374a9408560000_p_Instance _90384a9408560000_p_Instance _103a4a9408560000_p_Instance _903b4a9408560000_p_Instance _103d4a9408560000_p_Instance _903e4a9408560000_p_Instance _10404a9408560000_p_Instance _90414a9408560000_p_Instance _10434a9408560000_p_Instance _90444a9408560000_p_Instance _10464a9408560000_p_Instance _90474a9408560000_p_Instance _10494a9408560000_p_Instance _904a4a9408560000_p_Instance _104c4a9408560000_p_Instance _904d4a9408560000_p_Instance _104f4a9408560000_p_Instance _90504a9408560000_p_Instance _10524a9408560000_p_Instance _90534a9408560000_p_Instance _10554a9408560000_p_Instance _90564a9408560000_p_Instance _10584a9408560000_p_Instance _90594a9408560000_p_Instance _105b4a9408560000_p_Instance _905c4a9408560000_p_Instance _105e4a9408560000_p_Instance _905f4a9408560000_p_Instance _10614a9408560000_p_Instance _90624a9408560000_p_Instance _10644a9408560000_p_Instance _90654a9408560000_p_Instance _10674a9408560000_p_Instance _90684a9408560000_p_Instance _106a4a9408560000_p_Instance _906b4a9408560000_p_Instance _106d4a9408560000_p_Instance _906e4a9408560000_p_Instance _10704a9408560000_p_Instance _90714a9408560000_p_Instance _10734a9408560000_p_Instance _90744a9408560000_p_Instance _10764a9408560000_p_Instance _90774a9408560000_p_Instance _10794a9408560000_p_Instance _907a4a9408560000_p_Instance _107c4a9408560000_p_Instance _907d4a9408560000_p_Instance _107f4a9408560000_p_Instance _90804a9408560000_p_Instance _10824a9408560000_p_Instance _90834a9408560000_p_Instance _10854a9408560000_p_Instance _90864a9408560000_p_Instance _10884a9408560000_p_Instance _90894a9408560000_p_Instance _108b4a9408560000_p_Instance _908c4a9408560000_p_Instance _108e4a9408560000_p_Instance _908f4a9408560000_p_Instance _10914a9408560000_p_Instance _90924a9408560000_p_Instance _10944a9408560000_p_Instance _90954a9408560000_p_Instance _10974a9408560000_p_Instance _90984a9408560000_p_Instance _109a4a9408560000_p_Instance _909b4a9408560000_p_Instance _109d4a9408560000_p_Instance _909e4a9408560000_p_Instance _10a04a9408560000_p_Instance _90a14a9408560000_p_Instance _10a34a9408560000_p_Instance _90a44a9408560000_p_Instance _10a64a9408560000_p_Instance _90a74a9408560000_p_Instance _10a94a9408560000_p_Instance _90aa4a9408560000_p_Instance _10ac4a9408560000_p_Instance _90ad4a9408560000_p_Instance _10af4a9408560000_p_Instance _90b04a9408560000_p_Instance _10b24a9408560000_p_Instance _90b34a9408560000_p_Instance _10b54a9408560000_p_Instance _90b64a9408560000_p_Instance _10b84a9408560000_p_Instance _90b94a9408560000_p_Instance _70bb4a9408560000_p_Instance _80bd4a9408560000_p_Instance _90bf4a9408560000_p_Instance _00c14a9408560000_p_Instance _40c24a9408560000_p_Instance _20c44a9408560000_p_Instance _90c54a9408560000_p_Instance _d0c64a9408560000_p_Instance _b0c84a9408560000_p_Instance _c0ca4a9408560000_p_Instance _d0cc4a9408560000_p_Instance _e0ce4a9408560000_p_Instance _f0d04a9408560000_p_Instance _60d24a9408560000_p_Instance _a0d34a9408560000_p_Instance _e0d44a9408560000_p_Instance _20d64a9408560000_p_Instance _60d74a9408560000_p_Instance _a0d84a9408560000_p_Instance _e0d94a9408560000_p_Instance _20db4a9408560000_p_Instance _60dc4a9408560000_p_Instance _a0dd4a9408560000_p_Instance _e0de4a9408560000_p_Instance _20e04a9408560000_p_Instance _60e14a9408560000_p_Instance _a0e24a9408560000_p_Instance _e0e34a9408560000_p_Instance _20e54a9408560000_p_Instance _60e64a9408560000_p_Instance _a0e74a9408560000_p_Instance _e0e84a9408560000_p_Instance _20ea4a9408560000_p_Instance _60eb4a9408560000_p_Instance _a0ec4a9408560000_p_Instance _e0ed4a9408560000_p_Instance _20ef4a9408560000_p_Instance _60f04a9408560000_p_Instance _a0f14a9408560000_p_Instance _e0f24a9408560000_p_Instance _20f44a9408560000_p_Instance _60f54a9408560000_p_Instance _a0f64a9408560000_p_Instance _e0f74a9408560000_p_Instance _c0f94a9408560000_p_Instance _d0fb4a9408560000_p_Instance _b0fe4a9408560000_p_Instance _90014b9408560000_p_Instance _a0034b9408560000_p_Instance _80064b9408560000_p_Instance _60094b9408560000_p_Instance _700b4b9408560000_p_Instance _500e4b9408560000_p_Instance _60104b9408560000_p_Instance _40134b9408560000_p_Instance _20164b9408560000_p_Instance _30184b9408560000_p_Instance _401a4b9408560000_p_Instance _201d4b9408560000_p_Instance _00204b9408560000_p_Instance _10224b9408560000_p_Instance _f0244b9408560000_p_Instance _d0274b9408560000_p_Instance _b02a4b9408560000_p_Instance _902d4b9408560000_p_Instance _a02f4b9408560000_p_Instance _b0314b9408560000_p_Instance _c0334b9408560000_p_Instance _30354b9408560000_p_Instance _70364b9408560000_p_Instance _20394b9408560000_p_Instance _003c4b9408560000_p_Instance _e03e4b9408560000_p_Instance _c0414b9408560000_p_Instance _30434b9408560000_p_Instance _10454b9408560000_p_Instance _20474b9408560000_p_Instance _90484b9408560000_p_Instance _704a4b9408560000_p_Instance _e04b4b9408560000_p_Instance _c04d4b9408560000_p_Instance _d04f4b9408560000_p_Instance _40514b9408560000_p_Instance _80524b9408560000_p_Instance _c0534b9408560000_p_Instance _a0554b9408560000_p_Instance _10574b9408560000_p_Instance _50584b9408560000_p_Instance _90594b9408560000_p_Instance _705b4b9408560000_p_Instance _e05c4b9408560000_p_Instance _205e4b9408560000_p_Instance _605f4b9408560000_p_Instance _a0604b9408560000_p_Instance _e0614b9408560000_p_Instance _c0634b9408560000_p_Instance _d0654b9408560000_p_Instance _e0674b9408560000_p_Instance _f0694b9408560000_p_Instance _006c4b9408560000_p_Instance _106e4b9408560000_p_Instance _20704b9408560000_p_Instance _30724b9408560000_p_Instance _40744b9408560000_p_Instance _50764b9408560000_p_Instance _60784b9408560000_p_Instance _707a4b9408560000_p_Instance _807c4b9408560000_p_Instance _907e4b9408560000_p_Instance _a0804b9408560000_p_Instance _b0824b9408560000_p_Instance _c0844b9408560000_p_Instance _d0864b9408560000_p_Instance _e0884b9408560000_p_Instance _f08a4b9408560000_p_Instance _008d4b9408560000_p_Instance _108f4b9408560000_p_Instance _20914b9408560000_p_Instance _30934b9408560000_p_Instance _40954b9408560000_p_Instance _50974b9408560000_p_Instance _60994b9408560000_p_Instance _709b4b9408560000_p_Instance _809d4b9408560000_p_Instance _909f4b9408560000_p_Instance _a0a14b9408560000_p_Instance _b0a34b9408560000_p_Instance _c0a54b9408560000_p_Instance _d0a74b9408560000_p_Instance _e0a94b9408560000_p_Instance _f0ab4b9408560000_p_Instance _00ae4b9408560000_p_Instance _10b04b9408560000_p_Instance _20b24b9408560000_p_Instance _30b44b9408560000_p_Instance _40b64b9408560000_p_Instance _50b84b9408560000_p_Instance _60ba4b9408560000_p_Instance _70bc4b9408560000_p_Instance _80be4b9408560000_p_Instance _90c04b9408560000_p_Instance _a0c24b9408560000_p_Instance _b0c44b9408560000_p_Instance _c0c64b9408560000_p_Instance _d0c84b9408560000_p_Instance _e0ca4b9408560000_p_Instance _f0cc4b9408560000_p_Instance _00cf4b9408560000_p_Instance _10d14b9408560000_p_Instance _20d34b9408560000_p_Instance _30d54b9408560000_p_Instance _40d74b9408560000_p_Instance _50d94b9408560000_p_Instance _60db4b9408560000_p_Instance _70dd4b9408560000_p_Instance _80df4b9408560000_p_Instance _90e14b9408560000_p_Instance _a0e34b9408560000_p_Instance _b0e54b9408560000_p_Instance _c0e74b9408560000_p_Instance _d0e94b9408560000_p_Instance _e0eb4b9408560000_p_Instance _f0ed4b9408560000_p_Instance _00f04b9408560000_p_Instance _10f24b9408560000_p_Instance _20f44b9408560000_p_Instance _30f64b9408560000_p_Instance _40f84b9408560000_p_Instance _50fa4b9408560000_p_Instance _60fc4b9408560000_p_Instance _70fe4b9408560000_p_Instance _80004c9408560000_p_Instance _90024c9408560000_p_Instance _a0044c9408560000_p_Instance _b0064c9408560000_p_Instance _20084c9408560000_p_Instance _60094c9408560000_p_Instance _400b4c9408560000_p_Instance _b00c4c9408560000_p_Instance _f00d4c9408560000_p_Instance _300f4c9408560000_p_Instance _70104c9408560000_p_Instance _b0114c9408560000_p_Instance _f0124c9408560000_p_Instance _30144c9408560000_p_Instance _70154c9408560000_p_Instance _b0164c9408560000_p_Instance _f0174c9408560000_p_Instance _30194c9408560000_p_Instance _701a4c9408560000_p_Instance _b01b4c9408560000_p_Instance _f01c4c9408560000_p_Instance _301e4c9408560000_p_Instance _701f4c9408560000_p_Instance _b0204c9408560000_p_Instance _f0214c9408560000_p_Instance _30234c9408560000_p_Instance _70244c9408560000_p_Instance _b0254c9408560000_p_Instance _f0264c9408560000_p_Instance _30284c9408560000_p_Instance _70294c9408560000_p_Instance _b02a4c9408560000_p_Instance _f02b4c9408560000_p_Instance _302d4c9408560000_p_Instance _702e4c9408560000_p_Instance _b02f4c9408560000_p_Instance _f0304c9408560000_p_Instance _30324c9408560000_p_Instance _70334c9408560000_p_Instance _b0344c9408560000_p_Instance _f0354c9408560000_p_Instance _30374c9408560000_p_Instance _70384c9408560000_p_Instance _b0394c9408560000_p_Instance _f03a4c9408560000_p_Instance _303c4c9408560000_p_Instance _703d4c9408560000_p_Instance _b03e4c9408560000_p_Instance _f03f4c9408560000_p_Instance _30414c9408560000_p_Instance _70424c9408560000_p_Instance _b0434c9408560000_p_Instance _f0444c9408560000_p_Instance _30464c9408560000_p_Instance _70474c9408560000_p_Instance _b0484c9408560000_p_Instance _f0494c9408560000_p_Instance _304b4c9408560000_p_Instance _704c4c9408560000_p_Instance _b04d4c9408560000_p_Instance _f04e4c9408560000_p_Instance _30504c9408560000_p_Instance _70514c9408560000_p_Instance
% _70514c9408560000_p_Instance
% _70514c9408560000_p_Instance
% invalid command name "D"
% _208a819408560000_p_Instance
% soc
% invalid command name "A"
% invalid command name "]"
%