blob: 29afe4d81108a3e5eaae8135cbe8353f1fc5a367 [file] [log] [blame]
export CARAVEL_ROOT=/home/askartos/sandbox/caravel_tutorial/fossiAES/caravel && cd openlane && make user_project_wrapper
make[1]: Entering directory '/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane'
###############################################
[INFO]:
___ ____ ___ ____ _ ____ ____ ___
/ \ | \ / _]| \ | | / || \ / _]
| | | o ) [_ | _ || | | o || _ | / [_
| O | | _/ _]| | || |___ | || | || _]
| | | | | [_ | | || || _ || | || [_
\___/ |__| |_____||__|__||_____||__|__||__|__||_____|
[INFO]: Version: 2022.02.23_02.50.41
[INFO]: Running non-interactively
[INFO]: Using design configuration at /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/config.tcl
[INFO]: Sourcing Configurations from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/config.tcl
[INFO]: PDKs root directory: /home/askartos/sandbox/caravel_tutorial/pdks
[INFO]: PDK: sky130A
[INFO]: Setting PDKPATH to /home/askartos/sandbox/caravel_tutorial/pdks/sky130A
[INFO]: Standard Cell Library: sky130_fd_sc_hd
[INFO]: Optimization Standard Cell Library is set to: sky130_fd_sc_hd
[INFO]: Sourcing Configurations from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/config.tcl
[WARNING]: Removing exisiting run /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper
[INFO]: Current run directory is /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper
[INFO]: Storing configs into config.tcl ...
[INFO]: Preparing LEF Files
[INFO]: Extracting the number of available metal layers from /home/askartos/sandbox/caravel_tutorial/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef
[INFO]: The available metal layers (6) are li1 met1 met2 met3 met4 met5
[INFO]: Merging LEF Files...
mergeLef.py : Merging LEFs
sky130_fd_sc_hd.lef: SITEs matched found: 0
sky130_fd_sc_hd.lef: MACROs matched found: 441
mergeLef.py : Merging LEFs complete
mergeLef.py : Merging LEFs
aes.lef: SITEs matched found: 0
aes.lef: MACROs matched found: 1
mergeLef.py : Merging LEFs complete
[INFO]: Merging the following extra LEFs: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/../../lef/aes.lef
[INFO]: Trimming Liberty...
[INFO]: Generating Exclude List...
[INFO]: Generating Exclude List...
[INFO]: Creating ::env(DONT_USE_CELLS)...
[INFO]: Looking for files defined in ::env(EXTRA_GDS_FILES) /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/../../gds/aes.gds ...
[INFO]: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/../../gds/aes.gds exists.
[INFO]: Preparation complete
[INFO]: Incremented step index to 0.
[INFO]: Running Synthesis...
/----------------------------------------------------------------------------\
| |
| yosys -- Yosys Open SYnthesis Suite |
| |
| Copyright (C) 2012 - 2020 Claire Xenia Wolf <claire@yosyshq.com> |
| |
| Permission to use, copy, modify, and/or distribute this software for any |
| purpose with or without fee is hereby granted, provided that the above |
| copyright notice and this permission notice appear in all copies. |
| |
| THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES |
| WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF |
| MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR |
| ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES |
| WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN |
| ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF |
| OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. |
| |
\----------------------------------------------------------------------------/
Yosys 0.12+45 (git sha1 UNKNOWN, gcc 8.3.1 -fPIC -Os)
[TCL: yosys -import] Command name collision: found pre-existing command `cd' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `eval' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `exec' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `read' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `trace' -> skip.
1. Executing Verilog-2005 frontend: /home/askartos/sandbox/caravel_tutorial/fossiAES/caravel/verilog/rtl/defines.v
Parsing Verilog input from `/home/askartos/sandbox/caravel_tutorial/fossiAES/caravel/verilog/rtl/defines.v' to AST representation.
Successfully finished Verilog frontend.
2. Executing Verilog-2005 frontend: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/../../verilog/rtl/aes/generated/aes.v
Parsing Verilog input from `/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/../../verilog/rtl/aes/generated/aes.v' to AST representation.
Generating RTLIL representation for module `\sbox'.
Generating RTLIL representation for module `\mix'.
Generating RTLIL representation for module `\aes'.
Successfully finished Verilog frontend.
3. Executing Verilog-2005 frontend: /home/askartos/sandbox/caravel_tutorial/fossiAES/caravel/verilog/rtl/defines.v
Parsing Verilog input from `/home/askartos/sandbox/caravel_tutorial/fossiAES/caravel/verilog/rtl/defines.v' to AST representation.
Successfully finished Verilog frontend.
4. Executing Verilog-2005 frontend: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/../../verilog/rtl/user_project_wrapper.v
Parsing Verilog input from `/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/../../verilog/rtl/user_project_wrapper.v' to AST representation.
Generating RTLIL representation for module `\user_project_wrapper'.
Successfully finished Verilog frontend.
5. Generating Graphviz representation of design.
Writing dot description to `/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/hierarchy.dot'.
Dumping module user_project_wrapper to page 1.
6. Executing HIERARCHY pass (managing design hierarchy).
6.1. Analyzing design hierarchy..
Top module: \user_project_wrapper
6.2. Analyzing design hierarchy..
Top module: \user_project_wrapper
Removed 0 unused modules.
7. Printing statistics.
=== user_project_wrapper ===
Number of wires: 19
Number of wire bits: 637
Number of public wires: 19
Number of public wire bits: 637
Number of memories: 0
Number of memory bits: 0
Number of processes: 0
Number of cells: 1
aes 1
8. Executing SPLITNETS pass (splitting up multi-bit signals).
9. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \user_project_wrapper..
10. Executing CHECK pass (checking for obvious problems).
Checking module user_project_wrapper...
Warning: Wire user_project_wrapper.\user_irq [2] is used but has no driver.
Warning: Wire user_project_wrapper.\user_irq [1] is used but has no driver.
Warning: Wire user_project_wrapper.\user_irq [0] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [127] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [126] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [125] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [124] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [123] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [122] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [121] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [120] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [119] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [118] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [117] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [116] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [115] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [114] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [113] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [112] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [111] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [110] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [109] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [108] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [107] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [106] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [105] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [104] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [103] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [102] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [101] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [100] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [99] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [98] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [97] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [96] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [95] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [94] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [93] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [92] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [91] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [90] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [89] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [88] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [87] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [86] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [85] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [84] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [83] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [82] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [81] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [80] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [79] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [78] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [77] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [76] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [75] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [74] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [73] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [72] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [71] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [70] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [69] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [68] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [67] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [66] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [65] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [64] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [63] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [62] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [61] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [60] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [59] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [58] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [57] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [56] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [55] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [54] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [53] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [52] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [51] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [50] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [49] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [48] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [47] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [46] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [45] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [44] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [43] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [42] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [41] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [40] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [39] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [38] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [37] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [36] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [35] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [34] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [33] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [32] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [31] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [30] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [29] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [28] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [27] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [26] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [25] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [24] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [23] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [22] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [21] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [20] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [19] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [18] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [17] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [16] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [15] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [14] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [13] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [12] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [11] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [10] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [9] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [8] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [7] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [6] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [5] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [4] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [3] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [2] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [1] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [0] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [37] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [36] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [35] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [34] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [33] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [32] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [31] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [30] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [29] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [28] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [27] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [26] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [25] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [24] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [23] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [22] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [21] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [20] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [19] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [18] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [17] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [16] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [15] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [14] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [13] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [12] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [11] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [10] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [9] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [8] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [7] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [6] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [5] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [4] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [3] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [2] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [1] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [0] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [37] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [36] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [35] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [34] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [33] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [32] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [31] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [30] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [29] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [28] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [27] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [26] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [25] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [24] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [23] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [22] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [21] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [20] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [19] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [18] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [17] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [16] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [15] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [14] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [13] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [12] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [11] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [10] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [9] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [8] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [7] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [6] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [5] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [4] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [3] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [2] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [1] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [0] is used but has no driver.
Found and reported 207 problems.
11. Printing statistics.
=== user_project_wrapper ===
Number of wires: 19
Number of wire bits: 637
Number of public wires: 19
Number of public wire bits: 637
Number of memories: 0
Number of memory bits: 0
Number of processes: 0
Number of cells: 1
aes 1
Area for cell type \aes is unknown!
12. Executing Verilog backend.
Dumping module `\user_project_wrapper'.
Warnings: 207 unique messages, 207 total
End of script. Logfile hash: 3d08a80d4b, CPU: user 0.29s system 0.01s, MEM: 31.78 MB peak
Yosys 0.12+45 (git sha1 UNKNOWN, gcc 8.3.1 -fPIC -Os)
Time spent: 58% 2x stat (0 sec), 37% 8x read_verilog (0 sec), ...
[INFO]: Changing netlist from 0 to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/synthesis/user_project_wrapper.v
[INFO]: Incremented step index to 1.
[INFO]: Running Static Timing Analysis...
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[WARNING ORD-1011] LEF master aes has no liberty cell.
if {[info exists ::env(CLOCK_PORT)] && $::env(CLOCK_PORT) != ""} {
create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD)
} else {
create_clock -name __VIRTUAL_CLK__ -period $::env(CLOCK_PERIOD)
set ::env(CLOCK_PORT) __VIRTUAL_CLK__
}
set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]
set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]
puts "\[INFO\]: Setting output delay to: $output_delay_value"
[INFO]: Setting output delay to: 2.0
puts "\[INFO\]: Setting input delay to: $input_delay_value"
[INFO]: Setting input delay to: 2.0
set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design]
set clk_indx [lsearch [all_inputs] [get_port $::env(CLOCK_PORT)]]
#set rst_indx [lsearch [all_inputs] [get_port resetn]]
set all_inputs_wo_clk [lreplace [all_inputs] $clk_indx $clk_indx]
#set all_inputs_wo_clk_rst [lreplace $all_inputs_wo_clk $rst_indx $rst_indx]
set all_inputs_wo_clk_rst $all_inputs_wo_clk
# correct resetn
set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] $all_inputs_wo_clk_rst
#set_input_delay 0.0 -clock [get_clocks $::env(CLOCK_PORT)] {resetn}
set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs]
# TODO set this as parameter
set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs]
set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]
puts "\[INFO\]: Setting load to: $cap_load"
[INFO]: Setting load to: 0.033442
set_load $cap_load [all_outputs]
puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)"
[INFO]: Setting clock uncertainity to: 0.25
set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks $::env(CLOCK_PORT)]
puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)"
[INFO]: Setting clock transition to: 0.15
set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks $::env(CLOCK_PORT)]
puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %"
[INFO]: Setting timing derate to: 0.5 %
set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}]
set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}]
min_report
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
No paths found.
min_report_end
max_report
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
No paths found.
max_report_end
check_report
===========================================================================
report_checks -unconstrained
============================================================================
Startpoint: wb_clk_i (input port clocked by user_clock2)
Endpoint: fossiAES/clock (internal pin)
Path Group: (none)
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
2.00 2.00 ^ input external delay
0.01 0.00 2.00 ^ wb_clk_i (in)
1 0.00 wb_clk_i (net)
0.01 0.00 2.00 ^ fossiAES/clock (aes)
2.00 data arrival time
-----------------------------------------------------------------------------
(Path is unconstrained)
===========================================================================
report_checks --slack_max -0.01
============================================================================
No paths found.
check_report_end
check_slew
===========================================================================
report_check_types -max_slew -max_cap -max_fanout -violators
============================================================================
===========================================================================
max slew violation count 0
max fanout violation count 0
max cap violation count 0
============================================================================
check_slew_end
tns_report
===========================================================================
report_tns
============================================================================
tns 0.00
tns_report_end
wns_report
===========================================================================
report_wns
============================================================================
wns 0.00
wns_report_end
worst_slack
===========================================================================
report_worst_slack -max (Setup)
============================================================================
worst slack INF
===========================================================================
report_worst_slack -min (Hold)
============================================================================
worst slack INF
worst_slack_end
clock_skew
===========================================================================
report_clock_skew
============================================================================
Clock user_clock2
No launch/capture paths found.
clock_skew_end
power_report
===========================================================================
report_power
============================================================================
Group Internal Switching Leakage Total
Power Power Power Power
----------------------------------------------------------------
Sequential 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Combinational 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
0.0% 0.0% 0.0%
power_report_end
area_report
===========================================================================
report_design_area
============================================================================
Design area 640000 u^2 100% utilization.
area_report_end
[INFO]: Synthesis was successful
[INFO]: Creating a netlist with power/ground pins.
/----------------------------------------------------------------------------\
| |
| yosys -- Yosys Open SYnthesis Suite |
| |
| Copyright (C) 2012 - 2020 Claire Xenia Wolf <claire@yosyshq.com> |
| |
| Permission to use, copy, modify, and/or distribute this software for any |
| purpose with or without fee is hereby granted, provided that the above |
| copyright notice and this permission notice appear in all copies. |
| |
| THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES |
| WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF |
| MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR |
| ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES |
| WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN |
| ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF |
| OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. |
| |
\----------------------------------------------------------------------------/
Yosys 0.12+45 (git sha1 UNKNOWN, gcc 8.3.1 -fPIC -Os)
[TCL: yosys -import] Command name collision: found pre-existing command `cd' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `eval' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `exec' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `read' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `trace' -> skip.
1. Executing Verilog-2005 frontend: /home/askartos/sandbox/caravel_tutorial/fossiAES/caravel/verilog/rtl/defines.v
Parsing Verilog input from `/home/askartos/sandbox/caravel_tutorial/fossiAES/caravel/verilog/rtl/defines.v' to AST representation.
Successfully finished Verilog frontend.
2. Executing Verilog-2005 frontend: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/../../verilog/rtl/aes/generated/aes.v
Parsing Verilog input from `/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/../../verilog/rtl/aes/generated/aes.v' to AST representation.
Generating RTLIL representation for module `\sbox'.
Generating RTLIL representation for module `\mix'.
Generating RTLIL representation for module `\aes'.
Successfully finished Verilog frontend.
3. Executing Verilog-2005 frontend: /home/askartos/sandbox/caravel_tutorial/fossiAES/caravel/verilog/rtl/defines.v
Parsing Verilog input from `/home/askartos/sandbox/caravel_tutorial/fossiAES/caravel/verilog/rtl/defines.v' to AST representation.
Successfully finished Verilog frontend.
4. Executing Verilog-2005 frontend: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/../../verilog/rtl/user_project_wrapper.v
Parsing Verilog input from `/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/../../verilog/rtl/user_project_wrapper.v' to AST representation.
Generating RTLIL representation for module `\user_project_wrapper'.
Successfully finished Verilog frontend.
5. Generating Graphviz representation of design.
Writing dot description to `/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/hierarchy.dot'.
Dumping module user_project_wrapper to page 1.
6. Executing HIERARCHY pass (managing design hierarchy).
6.1. Analyzing design hierarchy..
Top module: \user_project_wrapper
6.2. Analyzing design hierarchy..
Top module: \user_project_wrapper
Removed 0 unused modules.
7. Printing statistics.
=== user_project_wrapper ===
Number of wires: 27
Number of wire bits: 645
Number of public wires: 27
Number of public wire bits: 645
Number of memories: 0
Number of memory bits: 0
Number of processes: 0
Number of cells: 1
aes 1
8. Executing SPLITNETS pass (splitting up multi-bit signals).
9. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \user_project_wrapper..
10. Executing CHECK pass (checking for obvious problems).
Checking module user_project_wrapper...
Warning: Wire user_project_wrapper.\user_irq [2] is used but has no driver.
Warning: Wire user_project_wrapper.\user_irq [1] is used but has no driver.
Warning: Wire user_project_wrapper.\user_irq [0] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [127] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [126] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [125] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [124] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [123] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [122] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [121] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [120] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [119] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [118] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [117] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [116] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [115] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [114] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [113] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [112] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [111] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [110] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [109] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [108] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [107] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [106] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [105] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [104] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [103] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [102] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [101] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [100] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [99] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [98] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [97] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [96] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [95] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [94] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [93] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [92] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [91] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [90] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [89] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [88] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [87] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [86] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [85] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [84] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [83] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [82] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [81] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [80] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [79] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [78] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [77] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [76] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [75] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [74] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [73] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [72] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [71] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [70] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [69] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [68] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [67] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [66] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [65] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [64] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [63] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [62] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [61] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [60] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [59] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [58] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [57] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [56] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [55] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [54] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [53] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [52] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [51] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [50] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [49] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [48] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [47] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [46] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [45] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [44] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [43] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [42] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [41] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [40] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [39] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [38] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [37] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [36] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [35] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [34] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [33] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [32] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [31] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [30] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [29] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [28] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [27] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [26] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [25] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [24] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [23] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [22] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [21] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [20] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [19] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [18] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [17] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [16] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [15] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [14] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [13] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [12] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [11] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [10] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [9] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [8] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [7] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [6] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [5] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [4] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [3] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [2] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [1] is used but has no driver.
Warning: Wire user_project_wrapper.\la_data_out [0] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [37] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [36] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [35] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [34] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [33] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [32] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [31] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [30] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [29] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [28] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [27] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [26] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [25] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [24] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [23] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [22] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [21] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [20] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [19] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [18] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [17] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [16] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [15] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [14] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [13] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [12] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [11] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [10] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [9] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [8] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [7] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [6] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [5] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [4] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [3] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [2] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [1] is used but has no driver.
Warning: Wire user_project_wrapper.\io_out [0] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [37] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [36] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [35] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [34] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [33] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [32] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [31] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [30] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [29] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [28] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [27] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [26] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [25] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [24] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [23] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [22] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [21] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [20] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [19] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [18] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [17] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [16] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [15] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [14] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [13] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [12] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [11] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [10] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [9] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [8] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [7] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [6] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [5] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [4] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [3] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [2] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [1] is used but has no driver.
Warning: Wire user_project_wrapper.\io_oeb [0] is used but has no driver.
Found and reported 207 problems.
11. Printing statistics.
=== user_project_wrapper ===
Number of wires: 27
Number of wire bits: 645
Number of public wires: 27
Number of public wire bits: 645
Number of memories: 0
Number of memory bits: 0
Number of processes: 0
Number of cells: 1
aes 1
Area for cell type \aes is unknown!
12. Executing Verilog backend.
Dumping module `\user_project_wrapper'.
Warnings: 207 unique messages, 207 total
End of script. Logfile hash: f27e3cb16c, CPU: user 0.29s system 0.01s, MEM: 31.70 MB peak
Yosys 0.12+45 (git sha1 UNKNOWN, gcc 8.3.1 -fPIC -Os)
Time spent: 54% 2x stat (0 sec), 42% 8x read_verilog (0 sec), ...
[INFO]: Running Floorplanning...
[INFO]: Running Initial Floorplanning...
[INFO]: Incremented step index to 2.
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[WARNING ORD-1011] LEF master aes has no liberty cell.
if {[info exists ::env(CLOCK_PORT)] && $::env(CLOCK_PORT) != ""} {
create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD)
} else {
create_clock -name __VIRTUAL_CLK__ -period $::env(CLOCK_PERIOD)
set ::env(CLOCK_PORT) __VIRTUAL_CLK__
}
set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]
set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]
puts "\[INFO\]: Setting output delay to: $output_delay_value"
[INFO]: Setting output delay to: 2.0
puts "\[INFO\]: Setting input delay to: $input_delay_value"
[INFO]: Setting input delay to: 2.0
set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design]
set clk_indx [lsearch [all_inputs] [get_port $::env(CLOCK_PORT)]]
#set rst_indx [lsearch [all_inputs] [get_port resetn]]
set all_inputs_wo_clk [lreplace [all_inputs] $clk_indx $clk_indx]
#set all_inputs_wo_clk_rst [lreplace $all_inputs_wo_clk $rst_indx $rst_indx]
set all_inputs_wo_clk_rst $all_inputs_wo_clk
# correct resetn
set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] $all_inputs_wo_clk_rst
#set_input_delay 0.0 -clock [get_clocks $::env(CLOCK_PORT)] {resetn}
set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs]
# TODO set this as parameter
set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs]
set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]
puts "\[INFO\]: Setting load to: $cap_load"
[INFO]: Setting load to: 0.033442
set_load $cap_load [all_outputs]
puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)"
[INFO]: Setting clock uncertainity to: 0.25
set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks $::env(CLOCK_PORT)]
puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)"
[INFO]: Setting clock transition to: 0.15
set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks $::env(CLOCK_PORT)]
puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %"
[INFO]: Setting timing derate to: 0.5 %
set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}]
set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}]
[WARNING IFP-0028] Core area lower left (5.520, 10.880) snapped to (5.520, 10.880).
[INFO IFP-0001] Added 1286 rows of 6323 sites.
[INFO]: Core area width: 2908.96
[INFO]: Core area height: 3498.24
[INFO]: Final Vertical PDN Offset: 5
[INFO]: Final Horizontal PDN Offset: 5
[INFO]: Final Vertical PDN Pitch: 180
[INFO]: Final Horizontal PDN Pitch: 180
[INFO]: Changing layout from 0 to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/3-initial_fp.def
[INFO]: Setting Core Dimensions...
[INFO]: Incremented step index to 3.
[INFO]: Running IO Placement...
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef
[INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/3-initial_fp.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0130] Created 637 pins.
[INFO ODB-0131] Created 1 components and 108 component-terminals.
[INFO ODB-0133] Created 637 nets and 106 connections.
[INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/3-initial_fp.def
Top-level design name: user_project_wrapper
Block boundaries: 0 0 2920000 3520000
Writing /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/4-io.def...
[INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/3-initial_fp.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/4-io.def
[INFO]: Incremented step index to 4.
[INFO]: Performing Manual Macro Placement...
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef
[INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/4-io.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0130] Created 637 pins.
[INFO ODB-0131] Created 1 components and 108 component-terminals.
[INFO ODB-0133] Created 637 nets and 106 connections.
[INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/4-io.def
Placing the following macros:
{'fossiAES': ['1175000', '1690000', 'N']}
Design name: user_project_wrapper
Placing fossiAES
Successfully placed 1 instances
[INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/4-io.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/4-io.macro_placement.def
[WARNING]: Skipping Tap/Decap Insertion.
[INFO]: Power planning the following nets
[INFO]: Power: vccd1 vccd2 vdda1 vdda2
[INFO]: Ground: vssd1 vssd2 vssa1 vssa2
[INFO]: Connecting mprj to vccd1 and vssd1 nets.
[INFO]: Incremented step index to 5.
[INFO]: Generating PDN...
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/4-io.macro_placement.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0130] Created 637 pins.
[INFO ODB-0131] Created 1 components and 108 component-terminals.
[INFO ODB-0133] Created 637 nets and 106 connections.
[INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/4-io.macro_placement.def
[INFO PDN-0016] Power Delivery Network Generator: Generating PDN
config: /openlane/scripts/openroad/pdn_cfg.tcl
[INFO PDN-0008] Design name is user_project_wrapper.
[INFO PDN-0009] Reading technology data.
[INFO PDN-0011] ****** INFO ******
Type: stdcell, stdcell_grid
Core Rings
Layer: met4 - width: 3.100 spacing: 1.700 core_offset: 14.000
Layer: met5 - width: 3.100 spacing: 1.700 core_offset: 14.000
Straps
Layer: met4 - width: 3.100 pitch: 180.000 offset: 5.000
Layer: met5 - width: 3.100 pitch: 180.000 offset: 5.000
Connect: {met4 met5}
Type: macro, CORE_macro_grid_1
Macro orientation: R0 R180 MX MY R90 R270 MXR90 MYR90
Straps
Connect: {met4_PIN_ver met5}
[INFO PDN-0012] **** END INFO ****
[INFO PDN-0013] Inserting stdcell grid - stdcell_grid.
[INFO PDN-0010] Inserting macro grid for 1 macros.
[INFO PDN-0034] - grid CORE_macro_grid_1 for instance fossiAES
[INFO PDN-0015] Writing to database.
[INFO]: Setting RC values...
[INFO PSM-0002] Output voltage file is specified as: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/6-pdn.pga.rpt.
[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.
[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.
[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.
[WARNING PSM-0019] Voltage on net vccd1 is not explicitly set.
[WARNING PSM-0022] Using voltage 1.800V for VDD network.
[WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction
[WARNING PSM-0030] VSRC location at (285.520um, 150.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 195.880um).
[WARNING PSM-0030] VSRC location at (1125.520um, 150.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 195.880um).
[WARNING PSM-0030] VSRC location at (1965.520um, 150.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 195.880um).
[WARNING PSM-0030] VSRC location at (2805.520um, 150.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 195.880um).
[WARNING PSM-0030] VSRC location at (565.520um, 290.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 375.880um).
[WARNING PSM-0030] VSRC location at (1405.520um, 290.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 375.880um).
[WARNING PSM-0030] VSRC location at (2245.520um, 290.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 375.880um).
[WARNING PSM-0030] VSRC location at (5.520um, 430.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 375.880um).
[WARNING PSM-0030] VSRC location at (845.520um, 430.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 375.880um).
[WARNING PSM-0030] VSRC location at (1685.520um, 430.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 375.880um).
[WARNING PSM-0030] VSRC location at (2525.520um, 430.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 375.880um).
[WARNING PSM-0030] VSRC location at (285.520um, 570.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 555.880um).
[WARNING PSM-0030] VSRC location at (1125.520um, 570.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 555.880um).
[WARNING PSM-0030] VSRC location at (1965.520um, 570.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 555.880um).
[WARNING PSM-0030] VSRC location at (2805.520um, 570.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 555.880um).
[WARNING PSM-0030] VSRC location at (565.520um, 710.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 735.880um).
[WARNING PSM-0030] VSRC location at (1405.520um, 710.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 735.880um).
[WARNING PSM-0030] VSRC location at (2245.520um, 710.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 735.880um).
[WARNING PSM-0030] VSRC location at (5.520um, 850.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 915.880um).
[WARNING PSM-0030] VSRC location at (845.520um, 850.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 915.880um).
[WARNING PSM-0030] VSRC location at (1685.520um, 850.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 915.880um).
[WARNING PSM-0030] VSRC location at (2525.520um, 850.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 915.880um).
[WARNING PSM-0030] VSRC location at (285.520um, 990.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 915.880um).
[WARNING PSM-0030] VSRC location at (1125.520um, 990.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 915.880um).
[WARNING PSM-0030] VSRC location at (1965.520um, 990.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 915.880um).
[WARNING PSM-0030] VSRC location at (2805.520um, 990.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 915.880um).
[WARNING PSM-0030] VSRC location at (565.520um, 1130.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 1095.880um).
[WARNING PSM-0030] VSRC location at (1405.520um, 1130.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 1095.880um).
[WARNING PSM-0030] VSRC location at (2245.520um, 1130.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 1095.880um).
[WARNING PSM-0030] VSRC location at (285.520um, 1410.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 1455.880um).
[WARNING PSM-0030] VSRC location at (1125.520um, 1410.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 1455.880um).
[WARNING PSM-0030] VSRC location at (1965.520um, 1410.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 1455.880um).
[WARNING PSM-0030] VSRC location at (2805.520um, 1410.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 1455.880um).
[WARNING PSM-0030] VSRC location at (565.520um, 1550.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 1635.880um).
[WARNING PSM-0030] VSRC location at (1405.520um, 1550.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 1635.880um).
[WARNING PSM-0030] VSRC location at (2245.520um, 1550.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 1635.880um).
[WARNING PSM-0030] VSRC location at (5.520um, 1690.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 1635.880um).
[WARNING PSM-0030] VSRC location at (845.520um, 1690.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 1635.880um).
[WARNING PSM-0030] VSRC location at (1685.520um, 1690.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 1635.880um).
[WARNING PSM-0030] VSRC location at (2525.520um, 1690.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 1635.880um).
[WARNING PSM-0030] VSRC location at (285.520um, 1830.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 1815.880um).
[WARNING PSM-0030] VSRC location at (1125.520um, 1830.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 1815.880um).
[WARNING PSM-0030] VSRC location at (1965.520um, 1830.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 1815.880um).
[WARNING PSM-0030] VSRC location at (2805.520um, 1830.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 1815.880um).
[WARNING PSM-0030] VSRC location at (565.520um, 1970.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 1995.880um).
[WARNING PSM-0030] VSRC location at (1405.520um, 1970.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 1995.880um).
[WARNING PSM-0030] VSRC location at (2245.520um, 1970.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 1995.880um).
[WARNING PSM-0030] VSRC location at (5.520um, 2110.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 2175.880um).
[WARNING PSM-0030] VSRC location at (845.520um, 2110.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 2175.880um).
[WARNING PSM-0030] VSRC location at (1685.520um, 2110.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 2175.880um).
[WARNING PSM-0030] VSRC location at (2525.520um, 2110.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 2175.880um).
[WARNING PSM-0030] VSRC location at (285.520um, 2250.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 2175.880um).
[WARNING PSM-0030] VSRC location at (1125.520um, 2250.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 2175.880um).
[WARNING PSM-0030] VSRC location at (1965.520um, 2250.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 2175.880um).
[WARNING PSM-0030] VSRC location at (2805.520um, 2250.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 2175.880um).
[WARNING PSM-0030] VSRC location at (565.520um, 2390.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 2355.880um).
[WARNING PSM-0030] VSRC location at (1405.520um, 2390.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 2355.880um).
[WARNING PSM-0030] VSRC location at (2245.520um, 2390.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 2355.880um).
[WARNING PSM-0030] VSRC location at (285.520um, 2670.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 2715.880um).
[WARNING PSM-0030] VSRC location at (1125.520um, 2670.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 2715.880um).
[WARNING PSM-0030] VSRC location at (1965.520um, 2670.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 2715.880um).
[WARNING PSM-0030] VSRC location at (2805.520um, 2670.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 2715.880um).
[WARNING PSM-0030] VSRC location at (565.520um, 2810.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 2895.880um).
[WARNING PSM-0030] VSRC location at (1405.520um, 2810.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 2895.880um).
[WARNING PSM-0030] VSRC location at (2245.520um, 2810.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 2895.880um).
[WARNING PSM-0030] VSRC location at (5.520um, 2950.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 2895.880um).
[WARNING PSM-0030] VSRC location at (845.520um, 2950.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 2895.880um).
[WARNING PSM-0030] VSRC location at (1685.520um, 2950.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 2895.880um).
[WARNING PSM-0030] VSRC location at (2525.520um, 2950.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 2895.880um).
[WARNING PSM-0030] VSRC location at (285.520um, 3090.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 3075.880um).
[WARNING PSM-0030] VSRC location at (1125.520um, 3090.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 3075.880um).
[WARNING PSM-0030] VSRC location at (1965.520um, 3090.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 3075.880um).
[WARNING PSM-0030] VSRC location at (2805.520um, 3090.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 3075.880um).
[WARNING PSM-0030] VSRC location at (565.520um, 3230.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 3255.880um).
[WARNING PSM-0030] VSRC location at (1405.520um, 3230.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 3255.880um).
[WARNING PSM-0030] VSRC location at (2245.520um, 3230.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 3255.880um).
[INFO PSM-0031] Number of PDN nodes on net vccd1 = 12047.
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1964840, 2355880).
[WARNING PSM-0032] Node at (1809000, 3014575) and layer 5 moved from (1811240, 2355880).
[WARNING PSM-0032] Node at (1630800, 3014575) and layer 5 moved from (1657640, 2355880).
[WARNING PSM-0032] Node at (1447200, 3014575) and layer 5 moved from (1504040, 2355880).
[WARNING PSM-0032] Node at (1269000, 3014575) and layer 5 moved from (1350440, 2355880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1196840, 2355880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1964840, 2175880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1811240, 2175880).
[WARNING PSM-0032] Node at (1630800, 3014575) and layer 5 moved from (1657640, 2175880).
[WARNING PSM-0032] Node at (1447200, 3014575) and layer 5 moved from (1504040, 2175880).
[WARNING PSM-0032] Node at (1269000, 3014575) and layer 5 moved from (1350440, 2175880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1196840, 2175880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1964840, 1995880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1811240, 1995880).
[WARNING PSM-0032] Node at (1630800, 3014575) and layer 5 moved from (1657640, 1995880).
[WARNING PSM-0032] Node at (1447200, 3014575) and layer 5 moved from (1504040, 1995880).
[WARNING PSM-0032] Node at (1269000, 3014575) and layer 5 moved from (1350440, 1995880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1196840, 1995880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1964840, 1815880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1811240, 1815880).
[WARNING PSM-0032] Node at (1630800, 835265) and layer 5 moved from (1657640, 1815880).
[WARNING PSM-0032] Node at (1447200, 835265) and layer 5 moved from (1504040, 1815880).
[WARNING PSM-0032] Node at (1269000, 835265) and layer 5 moved from (1350440, 1815880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1196840, 1815880).
[WARNING PSM-0032] Node at (2926800, 1759840) and layer 5 moved from (2928100, 3522800).
[WARNING PSM-0032] Node at (2889000, 1759840) and layer 5 moved from (2890520, 3522800).
[WARNING PSM-0032] Node at (2710800, 1759840) and layer 5 moved from (2710520, 3522800).
[WARNING PSM-0032] Node at (2527200, 1759840) and layer 5 moved from (2530520, 3522800).
[WARNING PSM-0032] Node at (2349000, 1759840) and layer 5 moved from (2350520, 3522800).
[WARNING PSM-0032] Node at (2170800, 1759840) and layer 5 moved from (2170520, 3522800).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1990520, 3522800).
[WARNING PSM-0032] Node at (1809000, 3014575) and layer 5 moved from (1810520, 3522800).
[WARNING PSM-0032] Node at (1630800, 3014575) and layer 5 moved from (1630520, 3522800).
[WARNING PSM-0032] Node at (1447200, 3014575) and layer 5 moved from (1450520, 3522800).
[WARNING PSM-0032] Node at (1269000, 3014575) and layer 5 moved from (1270520, 3522800).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1090520, 3522800).
[WARNING PSM-0032] Node at (907200, 1759840) and layer 5 moved from (910520, 3522800).
[WARNING PSM-0032] Node at (729000, 1759840) and layer 5 moved from (730520, 3522800).
[WARNING PSM-0032] Node at (550800, 1759840) and layer 5 moved from (550520, 3522800).
[WARNING PSM-0032] Node at (367200, 1759840) and layer 5 moved from (370520, 3522800).
[WARNING PSM-0032] Node at (189000, 1759840) and layer 5 moved from (190520, 3522800).
[WARNING PSM-0032] Node at (10800, 1759840) and layer 5 moved from (10520, 3522800).
[WARNING PSM-0032] Node at (-5400, 1759840) and layer 5 moved from (-8480, 3522800).
[WARNING PSM-0032] Node at (2926800, 1759840) and layer 5 moved from (2928100, 3435880).
[WARNING PSM-0032] Node at (2889000, 1759840) and layer 5 moved from (2890520, 3435880).
[WARNING PSM-0032] Node at (2710800, 1759840) and layer 5 moved from (2710520, 3435880).
[WARNING PSM-0032] Node at (2527200, 1759840) and layer 5 moved from (2530520, 3435880).
[WARNING PSM-0032] Node at (2349000, 1759840) and layer 5 moved from (2350520, 3435880).
[WARNING PSM-0032] Node at (2170800, 1759840) and layer 5 moved from (2170520, 3435880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1990520, 3435880).
[WARNING PSM-0032] Node at (1809000, 3014575) and layer 5 moved from (1810520, 3435880).
[WARNING PSM-0032] Node at (1630800, 3014575) and layer 5 moved from (1630520, 3435880).
[WARNING PSM-0032] Node at (1447200, 3014575) and layer 5 moved from (1450520, 3435880).
[WARNING PSM-0032] Node at (1269000, 3014575) and layer 5 moved from (1270520, 3435880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1090520, 3435880).
[WARNING PSM-0032] Node at (907200, 1759840) and layer 5 moved from (910520, 3435880).
[WARNING PSM-0032] Node at (729000, 1759840) and layer 5 moved from (730520, 3435880).
[WARNING PSM-0032] Node at (550800, 1759840) and layer 5 moved from (550520, 3435880).
[WARNING PSM-0032] Node at (367200, 1759840) and layer 5 moved from (370520, 3435880).
[WARNING PSM-0032] Node at (189000, 1759840) and layer 5 moved from (190520, 3435880).
[WARNING PSM-0032] Node at (10800, 1759840) and layer 5 moved from (10520, 3435880).
[WARNING PSM-0032] Node at (-5400, 1759840) and layer 5 moved from (-8480, 3435880).
[WARNING PSM-0032] Node at (2926800, 1759840) and layer 5 moved from (2928100, 3255880).
[WARNING PSM-0032] Node at (2889000, 1759840) and layer 5 moved from (2890520, 3255880).
[WARNING PSM-0032] Node at (2710800, 1759840) and layer 5 moved from (2710520, 3255880).
[WARNING PSM-0032] Node at (2527200, 1759840) and layer 5 moved from (2530520, 3255880).
[WARNING PSM-0032] Node at (2349000, 1759840) and layer 5 moved from (2350520, 3255880).
[WARNING PSM-0032] Node at (2170800, 1759840) and layer 5 moved from (2170520, 3255880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1990520, 3255880).
[WARNING PSM-0032] Node at (1809000, 3014575) and layer 5 moved from (1810520, 3255880).
[WARNING PSM-0032] Node at (1630800, 3014575) and layer 5 moved from (1630520, 3255880).
[WARNING PSM-0032] Node at (1447200, 3014575) and layer 5 moved from (1450520, 3255880).
[WARNING PSM-0032] Node at (1269000, 3014575) and layer 5 moved from (1270520, 3255880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1090520, 3255880).
[WARNING PSM-0032] Node at (907200, 1759840) and layer 5 moved from (910520, 3255880).
[WARNING PSM-0032] Node at (729000, 1759840) and layer 5 moved from (730520, 3255880).
[WARNING PSM-0032] Node at (550800, 1759840) and layer 5 moved from (550520, 3255880).
[WARNING PSM-0032] Node at (367200, 1759840) and layer 5 moved from (370520, 3255880).
[WARNING PSM-0032] Node at (189000, 1759840) and layer 5 moved from (190520, 3255880).
[WARNING PSM-0032] Node at (10800, 1759840) and layer 5 moved from (10520, 3255880).
[WARNING PSM-0032] Node at (-5400, 1759840) and layer 5 moved from (-8480, 3255880).
[WARNING PSM-0032] Node at (2926800, 1759840) and layer 5 moved from (2928100, 3075880).
[WARNING PSM-0032] Node at (2889000, 1759840) and layer 5 moved from (2890520, 3075880).
[WARNING PSM-0032] Node at (2710800, 1759840) and layer 5 moved from (2710520, 3075880).
[WARNING PSM-0032] Node at (2527200, 1759840) and layer 5 moved from (2530520, 3075880).
[WARNING PSM-0032] Node at (2349000, 1759840) and layer 5 moved from (2350520, 3075880).
[WARNING PSM-0032] Node at (2170800, 1759840) and layer 5 moved from (2170520, 3075880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1990520, 3075880).
[WARNING PSM-0032] Node at (1809000, 3014575) and layer 5 moved from (1810520, 3075880).
[WARNING PSM-0032] Node at (1630800, 3014575) and layer 5 moved from (1630520, 3075880).
[WARNING PSM-0032] Node at (1447200, 3014575) and layer 5 moved from (1450520, 3075880).
[WARNING PSM-0032] Node at (1269000, 3014575) and layer 5 moved from (1270520, 3075880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1090520, 3075880).
[WARNING PSM-0032] Node at (907200, 1759840) and layer 5 moved from (910520, 3075880).
[WARNING PSM-0032] Node at (729000, 1759840) and layer 5 moved from (730520, 3075880).
[WARNING PSM-0032] Node at (550800, 1759840) and layer 5 moved from (550520, 3075880).
[WARNING PSM-0032] Node at (367200, 1759840) and layer 5 moved from (370520, 3075880).
[WARNING PSM-0032] Node at (189000, 1759840) and layer 5 moved from (190520, 3075880).
[WARNING PSM-0032] Node at (10800, 1759840) and layer 5 moved from (10520, 3075880).
[WARNING PSM-0032] Node at (-5400, 1759840) and layer 5 moved from (-8480, 3075880).
[WARNING PSM-0032] Node at (2926800, 1759840) and layer 5 moved from (2928100, 2895880).
[WARNING PSM-0032] Node at (2889000, 1759840) and layer 5 moved from (2890520, 2895880).
[WARNING PSM-0032] Node at (2710800, 1759840) and layer 5 moved from (2710520, 2895880).
[WARNING PSM-0032] Node at (2527200, 1759840) and layer 5 moved from (2530520, 2895880).
[WARNING PSM-0032] Node at (2349000, 1759840) and layer 5 moved from (2350520, 2895880).
[WARNING PSM-0032] Node at (2170800, 1759840) and layer 5 moved from (2170520, 2895880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1990520, 2895880).
[WARNING PSM-0032] Node at (1809000, 3014575) and layer 5 moved from (1810520, 2895880).
[WARNING PSM-0032] Node at (1630800, 3014575) and layer 5 moved from (1630520, 2895880).
[WARNING PSM-0032] Node at (1447200, 3014575) and layer 5 moved from (1450520, 2895880).
[WARNING PSM-0032] Node at (1269000, 3014575) and layer 5 moved from (1270520, 2895880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1090520, 2895880).
[WARNING PSM-0032] Node at (907200, 1759840) and layer 5 moved from (910520, 2895880).
[WARNING PSM-0032] Node at (729000, 1759840) and layer 5 moved from (730520, 2895880).
[WARNING PSM-0032] Node at (550800, 1759840) and layer 5 moved from (550520, 2895880).
[WARNING PSM-0032] Node at (367200, 1759840) and layer 5 moved from (370520, 2895880).
[WARNING PSM-0032] Node at (189000, 1759840) and layer 5 moved from (190520, 2895880).
[WARNING PSM-0032] Node at (10800, 1759840) and layer 5 moved from (10520, 2895880).
[WARNING PSM-0032] Node at (-5400, 1759840) and layer 5 moved from (-8480, 2895880).
[WARNING PSM-0032] Node at (2926800, 1759840) and layer 5 moved from (2928100, 2715880).
[WARNING PSM-0032] Node at (2889000, 1759840) and layer 5 moved from (2890520, 2715880).
[WARNING PSM-0032] Node at (2710800, 1759840) and layer 5 moved from (2710520, 2715880).
[WARNING PSM-0032] Node at (2527200, 1759840) and layer 5 moved from (2530520, 2715880).
[WARNING PSM-0032] Node at (2349000, 1759840) and layer 5 moved from (2350520, 2715880).
[WARNING PSM-0032] Node at (2170800, 1759840) and layer 5 moved from (2170520, 2715880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1990520, 2715880).
[WARNING PSM-0032] Node at (1809000, 3014575) and layer 5 moved from (1810520, 2715880).
[WARNING PSM-0032] Node at (1630800, 3014575) and layer 5 moved from (1630520, 2715880).
[WARNING PSM-0032] Node at (1447200, 3014575) and layer 5 moved from (1450520, 2715880).
[WARNING PSM-0032] Node at (1269000, 3014575) and layer 5 moved from (1270520, 2715880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1090520, 2715880).
[WARNING PSM-0032] Node at (907200, 1759840) and layer 5 moved from (910520, 2715880).
[WARNING PSM-0032] Node at (729000, 1759840) and layer 5 moved from (730520, 2715880).
[WARNING PSM-0032] Node at (550800, 1759840) and layer 5 moved from (550520, 2715880).
[WARNING PSM-0032] Node at (367200, 1759840) and layer 5 moved from (370520, 2715880).
[WARNING PSM-0032] Node at (189000, 1759840) and layer 5 moved from (190520, 2715880).
[WARNING PSM-0032] Node at (10800, 1759840) and layer 5 moved from (10520, 2715880).
[WARNING PSM-0032] Node at (-5400, 1759840) and layer 5 moved from (-8480, 2715880).
[WARNING PSM-0032] Node at (2926800, 1759840) and layer 5 moved from (2928100, 2535880).
[WARNING PSM-0032] Node at (2889000, 1759840) and layer 5 moved from (2890520, 2535880).
[WARNING PSM-0032] Node at (2710800, 1759840) and layer 5 moved from (2710520, 2535880).
[WARNING PSM-0032] Node at (2527200, 1759840) and layer 5 moved from (2530520, 2535880).
[WARNING PSM-0032] Node at (2349000, 1759840) and layer 5 moved from (2350520, 2535880).
[WARNING PSM-0032] Node at (2170800, 1759840) and layer 5 moved from (2170520, 2535880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1990520, 2535880).
[WARNING PSM-0032] Node at (1809000, 3014575) and layer 5 moved from (1810520, 2535880).
[WARNING PSM-0032] Node at (1630800, 3014575) and layer 5 moved from (1630520, 2535880).
[WARNING PSM-0032] Node at (1447200, 3014575) and layer 5 moved from (1450520, 2535880).
[WARNING PSM-0032] Node at (1269000, 3014575) and layer 5 moved from (1270520, 2535880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1090520, 2535880).
[WARNING PSM-0032] Node at (907200, 1759840) and layer 5 moved from (910520, 2535880).
[WARNING PSM-0032] Node at (729000, 1759840) and layer 5 moved from (730520, 2535880).
[WARNING PSM-0032] Node at (550800, 1759840) and layer 5 moved from (550520, 2535880).
[WARNING PSM-0032] Node at (367200, 1759840) and layer 5 moved from (370520, 2535880).
[WARNING PSM-0032] Node at (189000, 1759840) and layer 5 moved from (190520, 2535880).
[WARNING PSM-0032] Node at (10800, 1759840) and layer 5 moved from (10520, 2535880).
[WARNING PSM-0032] Node at (-5400, 1759840) and layer 5 moved from (-8480, 2535880).
[WARNING PSM-0032] Node at (2926800, 1759840) and layer 5 moved from (2928100, 2355880).
[WARNING PSM-0032] Node at (2889000, 1759840) and layer 5 moved from (2890520, 2355880).
[WARNING PSM-0032] Node at (2710800, 1759840) and layer 5 moved from (2710520, 2355880).
[WARNING PSM-0032] Node at (2527200, 1759840) and layer 5 moved from (2530520, 2355880).
[WARNING PSM-0032] Node at (2349000, 1759840) and layer 5 moved from (2350520, 2355880).
[WARNING PSM-0032] Node at (2170800, 1759840) and layer 5 moved from (2170520, 2355880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1990520, 2355880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1090520, 2355880).
[WARNING PSM-0032] Node at (907200, 1759840) and layer 5 moved from (910520, 2355880).
[WARNING PSM-0032] Node at (729000, 1759840) and layer 5 moved from (730520, 2355880).
[WARNING PSM-0032] Node at (550800, 1759840) and layer 5 moved from (550520, 2355880).
[WARNING PSM-0032] Node at (367200, 1759840) and layer 5 moved from (370520, 2355880).
[WARNING PSM-0032] Node at (189000, 1759840) and layer 5 moved from (190520, 2355880).
[WARNING PSM-0032] Node at (10800, 1759840) and layer 5 moved from (10520, 2355880).
[WARNING PSM-0032] Node at (-5400, 1759840) and layer 5 moved from (-8480, 2355880).
[WARNING PSM-0032] Node at (2926800, 1759840) and layer 5 moved from (2928100, 2175880).
[WARNING PSM-0032] Node at (2889000, 1759840) and layer 5 moved from (2890520, 2175880).
[WARNING PSM-0032] Node at (2710800, 1759840) and layer 5 moved from (2710520, 2175880).
[WARNING PSM-0032] Node at (2527200, 1759840) and layer 5 moved from (2530520, 2175880).
[WARNING PSM-0032] Node at (2349000, 1759840) and layer 5 moved from (2350520, 2175880).
[WARNING PSM-0032] Node at (2170800, 1759840) and layer 5 moved from (2170520, 2175880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1990520, 2175880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1090520, 2175880).
[WARNING PSM-0032] Node at (907200, 1759840) and layer 5 moved from (910520, 2175880).
[WARNING PSM-0032] Node at (729000, 1759840) and layer 5 moved from (730520, 2175880).
[WARNING PSM-0032] Node at (550800, 1759840) and layer 5 moved from (550520, 2175880).
[WARNING PSM-0032] Node at (367200, 1759840) and layer 5 moved from (370520, 2175880).
[WARNING PSM-0032] Node at (189000, 1759840) and layer 5 moved from (190520, 2175880).
[WARNING PSM-0032] Node at (10800, 1759840) and layer 5 moved from (10520, 2175880).
[WARNING PSM-0032] Node at (-5400, 1759840) and layer 5 moved from (-8480, 2175880).
[WARNING PSM-0032] Node at (2926800, 1759840) and layer 5 moved from (2928100, 1995880).
[WARNING PSM-0032] Node at (2889000, 1759840) and layer 5 moved from (2890520, 1995880).
[WARNING PSM-0032] Node at (2710800, 1759840) and layer 5 moved from (2710520, 1995880).
[WARNING PSM-0032] Node at (2527200, 1759840) and layer 5 moved from (2530520, 1995880).
[WARNING PSM-0032] Node at (2349000, 1759840) and layer 5 moved from (2350520, 1995880).
[WARNING PSM-0032] Node at (2170800, 1759840) and layer 5 moved from (2170520, 1995880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1990520, 1995880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1090520, 1995880).
[WARNING PSM-0032] Node at (907200, 1759840) and layer 5 moved from (910520, 1995880).
[WARNING PSM-0032] Node at (729000, 1759840) and layer 5 moved from (730520, 1995880).
[WARNING PSM-0032] Node at (550800, 1759840) and layer 5 moved from (550520, 1995880).
[WARNING PSM-0032] Node at (367200, 1759840) and layer 5 moved from (370520, 1995880).
[WARNING PSM-0032] Node at (189000, 1759840) and layer 5 moved from (190520, 1995880).
[WARNING PSM-0032] Node at (10800, 1759840) and layer 5 moved from (10520, 1995880).
[WARNING PSM-0032] Node at (-5400, 1759840) and layer 5 moved from (-8480, 1995880).
[WARNING PSM-0032] Node at (2926800, 1759840) and layer 5 moved from (2928100, 1815880).
[WARNING PSM-0032] Node at (2889000, 1759840) and layer 5 moved from (2890520, 1815880).
[WARNING PSM-0032] Node at (2710800, 1759840) and layer 5 moved from (2710520, 1815880).
[WARNING PSM-0032] Node at (2527200, 1759840) and layer 5 moved from (2530520, 1815880).
[WARNING PSM-0032] Node at (2349000, 1759840) and layer 5 moved from (2350520, 1815880).
[WARNING PSM-0032] Node at (2170800, 1759840) and layer 5 moved from (2170520, 1815880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1990520, 1815880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1090520, 1815880).
[WARNING PSM-0032] Node at (907200, 1759840) and layer 5 moved from (910520, 1815880).
[WARNING PSM-0032] Node at (729000, 1759840) and layer 5 moved from (730520, 1815880).
[WARNING PSM-0032] Node at (550800, 1759840) and layer 5 moved from (550520, 1815880).
[WARNING PSM-0032] Node at (367200, 1759840) and layer 5 moved from (370520, 1815880).
[WARNING PSM-0032] Node at (189000, 1759840) and layer 5 moved from (190520, 1815880).
[WARNING PSM-0032] Node at (10800, 1759840) and layer 5 moved from (10520, 1815880).
[WARNING PSM-0032] Node at (-5400, 1759840) and layer 5 moved from (-8480, 1815880).
[WARNING PSM-0032] Node at (2926800, 1759840) and layer 5 moved from (2928100, 1635880).
[WARNING PSM-0032] Node at (2889000, 1759840) and layer 5 moved from (2890520, 1635880).
[WARNING PSM-0032] Node at (2710800, 1759840) and layer 5 moved from (2710520, 1635880).
[WARNING PSM-0032] Node at (2527200, 1759840) and layer 5 moved from (2530520, 1635880).
[WARNING PSM-0032] Node at (2349000, 1759840) and layer 5 moved from (2350520, 1635880).
[WARNING PSM-0032] Node at (2170800, 1759840) and layer 5 moved from (2170520, 1635880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1990520, 1635880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1810520, 1635880).
[WARNING PSM-0032] Node at (1630800, 835265) and layer 5 moved from (1630520, 1635880).
[WARNING PSM-0032] Node at (1447200, 835265) and layer 5 moved from (1450520, 1635880).
[WARNING PSM-0032] Node at (1269000, 835265) and layer 5 moved from (1270520, 1635880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1090520, 1635880).
[WARNING PSM-0032] Node at (907200, 1759840) and layer 5 moved from (910520, 1635880).
[WARNING PSM-0032] Node at (729000, 1759840) and layer 5 moved from (730520, 1635880).
[WARNING PSM-0032] Node at (550800, 1759840) and layer 5 moved from (550520, 1635880).
[WARNING PSM-0032] Node at (367200, 1759840) and layer 5 moved from (370520, 1635880).
[WARNING PSM-0032] Node at (189000, 1759840) and layer 5 moved from (190520, 1635880).
[WARNING PSM-0032] Node at (10800, 1759840) and layer 5 moved from (10520, 1635880).
[WARNING PSM-0032] Node at (-5400, 1759840) and layer 5 moved from (-8480, 1635880).
[WARNING PSM-0032] Node at (2926800, 1759840) and layer 5 moved from (2928100, 1455880).
[WARNING PSM-0032] Node at (2889000, 1759840) and layer 5 moved from (2890520, 1455880).
[WARNING PSM-0032] Node at (2710800, 1759840) and layer 5 moved from (2710520, 1455880).
[WARNING PSM-0032] Node at (2527200, 1759840) and layer 5 moved from (2530520, 1455880).
[WARNING PSM-0032] Node at (2349000, 1759840) and layer 5 moved from (2350520, 1455880).
[WARNING PSM-0032] Node at (2170800, 1759840) and layer 5 moved from (2170520, 1455880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1990520, 1455880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1810520, 1455880).
[WARNING PSM-0032] Node at (1630800, 835265) and layer 5 moved from (1630520, 1455880).
[WARNING PSM-0032] Node at (1447200, 835265) and layer 5 moved from (1450520, 1455880).
[WARNING PSM-0032] Node at (1269000, 835265) and layer 5 moved from (1270520, 1455880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1090520, 1455880).
[WARNING PSM-0032] Node at (907200, 1759840) and layer 5 moved from (910520, 1455880).
[WARNING PSM-0032] Node at (729000, 1759840) and layer 5 moved from (730520, 1455880).
[WARNING PSM-0032] Node at (550800, 1759840) and layer 5 moved from (550520, 1455880).
[WARNING PSM-0032] Node at (367200, 1759840) and layer 5 moved from (370520, 1455880).
[WARNING PSM-0032] Node at (189000, 1759840) and layer 5 moved from (190520, 1455880).
[WARNING PSM-0032] Node at (10800, 1759840) and layer 5 moved from (10520, 1455880).
[WARNING PSM-0032] Node at (-5400, 1759840) and layer 5 moved from (-8480, 1455880).
[WARNING PSM-0032] Node at (2926800, 1759840) and layer 5 moved from (2928100, 1275880).
[WARNING PSM-0032] Node at (2889000, 1759840) and layer 5 moved from (2890520, 1275880).
[WARNING PSM-0032] Node at (2710800, 1759840) and layer 5 moved from (2710520, 1275880).
[WARNING PSM-0032] Node at (2527200, 1759840) and layer 5 moved from (2530520, 1275880).
[WARNING PSM-0032] Node at (2349000, 1759840) and layer 5 moved from (2350520, 1275880).
[WARNING PSM-0032] Node at (2170800, 1759840) and layer 5 moved from (2170520, 1275880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1990520, 1275880).
[WARNING PSM-0032] Node at (1809000, 835265) and layer 5 moved from (1810520, 1275880).
[WARNING PSM-0032] Node at (1630800, 835265) and layer 5 moved from (1630520, 1275880).
[WARNING PSM-0032] Node at (1447200, 835265) and layer 5 moved from (1450520, 1275880).
[WARNING PSM-0032] Node at (1269000, 835265) and layer 5 moved from (1270520, 1275880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1090520, 1275880).
[WARNING PSM-0032] Node at (907200, 1759840) and layer 5 moved from (910520, 1275880).
[WARNING PSM-0032] Node at (729000, 1759840) and layer 5 moved from (730520, 1275880).
[WARNING PSM-0032] Node at (550800, 1759840) and layer 5 moved from (550520, 1275880).
[WARNING PSM-0032] Node at (367200, 1759840) and layer 5 moved from (370520, 1275880).
[WARNING PSM-0032] Node at (189000, 1759840) and layer 5 moved from (190520, 1275880).
[WARNING PSM-0032] Node at (10800, 1759840) and layer 5 moved from (10520, 1275880).
[WARNING PSM-0032] Node at (-5400, 1759840) and layer 5 moved from (-8480, 1275880).
[WARNING PSM-0032] Node at (2926800, 1759840) and layer 5 moved from (2928100, 1095880).
[WARNING PSM-0032] Node at (2889000, 1759840) and layer 5 moved from (2890520, 1095880).
[WARNING PSM-0032] Node at (2710800, 1759840) and layer 5 moved from (2710520, 1095880).
[WARNING PSM-0032] Node at (2527200, 1759840) and layer 5 moved from (2530520, 1095880).
[WARNING PSM-0032] Node at (2349000, 1759840) and layer 5 moved from (2350520, 1095880).
[WARNING PSM-0032] Node at (2170800, 1759840) and layer 5 moved from (2170520, 1095880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1990520, 1095880).
[WARNING PSM-0032] Node at (1809000, 835265) and layer 5 moved from (1810520, 1095880).
[WARNING PSM-0032] Node at (1630800, 835265) and layer 5 moved from (1630520, 1095880).
[WARNING PSM-0032] Node at (1447200, 835265) and layer 5 moved from (1450520, 1095880).
[WARNING PSM-0032] Node at (1269000, 835265) and layer 5 moved from (1270520, 1095880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1090520, 1095880).
[WARNING PSM-0032] Node at (907200, 1759840) and layer 5 moved from (910520, 1095880).
[WARNING PSM-0032] Node at (729000, 1759840) and layer 5 moved from (730520, 1095880).
[WARNING PSM-0032] Node at (550800, 1759840) and layer 5 moved from (550520, 1095880).
[WARNING PSM-0032] Node at (367200, 1759840) and layer 5 moved from (370520, 1095880).
[WARNING PSM-0032] Node at (189000, 1759840) and layer 5 moved from (190520, 1095880).
[WARNING PSM-0032] Node at (10800, 1759840) and layer 5 moved from (10520, 1095880).
[WARNING PSM-0032] Node at (-5400, 1759840) and layer 5 moved from (-8480, 1095880).
[WARNING PSM-0032] Node at (2926800, 1759840) and layer 5 moved from (2928100, 915880).
[WARNING PSM-0032] Node at (2889000, 1759840) and layer 5 moved from (2890520, 915880).
[WARNING PSM-0032] Node at (2710800, 1759840) and layer 5 moved from (2710520, 915880).
[WARNING PSM-0032] Node at (2527200, 1759840) and layer 5 moved from (2530520, 915880).
[WARNING PSM-0032] Node at (2349000, 1759840) and layer 5 moved from (2350520, 915880).
[WARNING PSM-0032] Node at (2170800, 1759840) and layer 5 moved from (2170520, 915880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1990520, 915880).
[WARNING PSM-0032] Node at (1809000, 835265) and layer 5 moved from (1810520, 915880).
[WARNING PSM-0032] Node at (1630800, 835265) and layer 5 moved from (1630520, 915880).
[WARNING PSM-0032] Node at (1447200, 835265) and layer 5 moved from (1450520, 915880).
[WARNING PSM-0032] Node at (1269000, 835265) and layer 5 moved from (1270520, 915880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1090520, 915880).
[WARNING PSM-0032] Node at (907200, 1759840) and layer 5 moved from (910520, 915880).
[WARNING PSM-0032] Node at (729000, 1759840) and layer 5 moved from (730520, 915880).
[WARNING PSM-0032] Node at (550800, 1759840) and layer 5 moved from (550520, 915880).
[WARNING PSM-0032] Node at (367200, 1759840) and layer 5 moved from (370520, 915880).
[WARNING PSM-0032] Node at (189000, 1759840) and layer 5 moved from (190520, 915880).
[WARNING PSM-0032] Node at (10800, 1759840) and layer 5 moved from (10520, 915880).
[WARNING PSM-0032] Node at (-5400, 1759840) and layer 5 moved from (-8480, 915880).
[WARNING PSM-0032] Node at (2926800, 1759840) and layer 5 moved from (2928100, 735880).
[WARNING PSM-0032] Node at (2889000, 1759840) and layer 5 moved from (2890520, 735880).
[WARNING PSM-0032] Node at (2710800, 1759840) and layer 5 moved from (2710520, 735880).
[WARNING PSM-0032] Node at (2527200, 1759840) and layer 5 moved from (2530520, 735880).
[WARNING PSM-0032] Node at (2349000, 1759840) and layer 5 moved from (2350520, 735880).
[WARNING PSM-0032] Node at (2170800, 1759840) and layer 5 moved from (2170520, 735880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1990520, 735880).
[WARNING PSM-0032] Node at (1809000, 835265) and layer 5 moved from (1810520, 735880).
[WARNING PSM-0032] Node at (1630800, 835265) and layer 5 moved from (1630520, 735880).
[WARNING PSM-0032] Node at (1447200, 835265) and layer 5 moved from (1450520, 735880).
[WARNING PSM-0032] Node at (1269000, 835265) and layer 5 moved from (1270520, 735880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1090520, 735880).
[WARNING PSM-0032] Node at (907200, 1759840) and layer 5 moved from (910520, 735880).
[WARNING PSM-0032] Node at (729000, 1759840) and layer 5 moved from (730520, 735880).
[WARNING PSM-0032] Node at (550800, 1759840) and layer 5 moved from (550520, 735880).
[WARNING PSM-0032] Node at (367200, 1759840) and layer 5 moved from (370520, 735880).
[WARNING PSM-0032] Node at (189000, 1759840) and layer 5 moved from (190520, 735880).
[WARNING PSM-0032] Node at (10800, 1759840) and layer 5 moved from (10520, 735880).
[WARNING PSM-0032] Node at (-5400, 1759840) and layer 5 moved from (-8480, 735880).
[WARNING PSM-0032] Node at (2926800, 1759840) and layer 5 moved from (2928100, 555880).
[WARNING PSM-0032] Node at (2889000, 1759840) and layer 5 moved from (2890520, 555880).
[WARNING PSM-0032] Node at (2710800, 1759840) and layer 5 moved from (2710520, 555880).
[WARNING PSM-0032] Node at (2527200, 1759840) and layer 5 moved from (2530520, 555880).
[WARNING PSM-0032] Node at (2349000, 1759840) and layer 5 moved from (2350520, 555880).
[WARNING PSM-0032] Node at (2170800, 1759840) and layer 5 moved from (2170520, 555880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1990520, 555880).
[WARNING PSM-0032] Node at (1809000, 835265) and layer 5 moved from (1810520, 555880).
[WARNING PSM-0032] Node at (1630800, 835265) and layer 5 moved from (1630520, 555880).
[WARNING PSM-0032] Node at (1447200, 835265) and layer 5 moved from (1450520, 555880).
[WARNING PSM-0032] Node at (1269000, 835265) and layer 5 moved from (1270520, 555880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1090520, 555880).
[WARNING PSM-0032] Node at (907200, 1759840) and layer 5 moved from (910520, 555880).
[WARNING PSM-0032] Node at (729000, 1759840) and layer 5 moved from (730520, 555880).
[WARNING PSM-0032] Node at (550800, 1759840) and layer 5 moved from (550520, 555880).
[WARNING PSM-0032] Node at (367200, 1759840) and layer 5 moved from (370520, 555880).
[WARNING PSM-0032] Node at (189000, 1759840) and layer 5 moved from (190520, 555880).
[WARNING PSM-0032] Node at (10800, 1759840) and layer 5 moved from (10520, 555880).
[WARNING PSM-0032] Node at (-5400, 1759840) and layer 5 moved from (-8480, 555880).
[WARNING PSM-0032] Node at (2926800, 1759840) and layer 5 moved from (2928100, 375880).
[WARNING PSM-0032] Node at (2889000, 1759840) and layer 5 moved from (2890520, 375880).
[WARNING PSM-0032] Node at (2710800, 1759840) and layer 5 moved from (2710520, 375880).
[WARNING PSM-0032] Node at (2527200, 1759840) and layer 5 moved from (2530520, 375880).
[WARNING PSM-0032] Node at (2349000, 1759840) and layer 5 moved from (2350520, 375880).
[WARNING PSM-0032] Node at (2170800, 1759840) and layer 5 moved from (2170520, 375880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1990520, 375880).
[WARNING PSM-0032] Node at (1809000, 835265) and layer 5 moved from (1810520, 375880).
[WARNING PSM-0032] Node at (1630800, 835265) and layer 5 moved from (1630520, 375880).
[WARNING PSM-0032] Node at (1447200, 835265) and layer 5 moved from (1450520, 375880).
[WARNING PSM-0032] Node at (1269000, 835265) and layer 5 moved from (1270520, 375880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1090520, 375880).
[WARNING PSM-0032] Node at (907200, 1759840) and layer 5 moved from (910520, 375880).
[WARNING PSM-0032] Node at (729000, 1759840) and layer 5 moved from (730520, 375880).
[WARNING PSM-0032] Node at (550800, 1759840) and layer 5 moved from (550520, 375880).
[WARNING PSM-0032] Node at (367200, 1759840) and layer 5 moved from (370520, 375880).
[WARNING PSM-0032] Node at (189000, 1759840) and layer 5 moved from (190520, 375880).
[WARNING PSM-0032] Node at (10800, 1759840) and layer 5 moved from (10520, 375880).
[WARNING PSM-0032] Node at (-5400, 1759840) and layer 5 moved from (-8480, 375880).
[WARNING PSM-0032] Node at (2926800, 1759840) and layer 5 moved from (2928100, 195880).
[WARNING PSM-0032] Node at (2889000, 1759840) and layer 5 moved from (2890520, 195880).
[WARNING PSM-0032] Node at (2710800, 1759840) and layer 5 moved from (2710520, 195880).
[WARNING PSM-0032] Node at (2527200, 1759840) and layer 5 moved from (2530520, 195880).
[WARNING PSM-0032] Node at (2349000, 1759840) and layer 5 moved from (2350520, 195880).
[WARNING PSM-0032] Node at (2170800, 1759840) and layer 5 moved from (2170520, 195880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1990520, 195880).
[WARNING PSM-0032] Node at (1809000, 835265) and layer 5 moved from (1810520, 195880).
[WARNING PSM-0032] Node at (1630800, 835265) and layer 5 moved from (1630520, 195880).
[WARNING PSM-0032] Node at (1447200, 835265) and layer 5 moved from (1450520, 195880).
[WARNING PSM-0032] Node at (1269000, 835265) and layer 5 moved from (1270520, 195880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1090520, 195880).
[WARNING PSM-0032] Node at (907200, 1759840) and layer 5 moved from (910520, 195880).
[WARNING PSM-0032] Node at (729000, 1759840) and layer 5 moved from (730520, 195880).
[WARNING PSM-0032] Node at (550800, 1759840) and layer 5 moved from (550520, 195880).
[WARNING PSM-0032] Node at (367200, 1759840) and layer 5 moved from (370520, 195880).
[WARNING PSM-0032] Node at (189000, 1759840) and layer 5 moved from (190520, 195880).
[WARNING PSM-0032] Node at (10800, 1759840) and layer 5 moved from (10520, 195880).
[WARNING PSM-0032] Node at (-5400, 1759840) and layer 5 moved from (-8480, 195880).
[WARNING PSM-0032] Node at (2926800, 1759840) and layer 5 moved from (2928100, 15880).
[WARNING PSM-0032] Node at (2889000, 1759840) and layer 5 moved from (2890520, 15880).
[WARNING PSM-0032] Node at (2710800, 1759840) and layer 5 moved from (2710520, 15880).
[WARNING PSM-0032] Node at (2527200, 1759840) and layer 5 moved from (2530520, 15880).
[WARNING PSM-0032] Node at (2349000, 1759840) and layer 5 moved from (2350520, 15880).
[WARNING PSM-0032] Node at (2170800, 1759840) and layer 5 moved from (2170520, 15880).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1990520, 15880).
[WARNING PSM-0032] Node at (1809000, 835265) and layer 5 moved from (1810520, 15880).
[WARNING PSM-0032] Node at (1630800, 835265) and layer 5 moved from (1630520, 15880).
[WARNING PSM-0032] Node at (1447200, 835265) and layer 5 moved from (1450520, 15880).
[WARNING PSM-0032] Node at (1269000, 835265) and layer 5 moved from (1270520, 15880).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1090520, 15880).
[WARNING PSM-0032] Node at (907200, 1759840) and layer 5 moved from (910520, 15880).
[WARNING PSM-0032] Node at (729000, 1759840) and layer 5 moved from (730520, 15880).
[WARNING PSM-0032] Node at (550800, 1759840) and layer 5 moved from (550520, 15880).
[WARNING PSM-0032] Node at (367200, 1759840) and layer 5 moved from (370520, 15880).
[WARNING PSM-0032] Node at (189000, 1759840) and layer 5 moved from (190520, 15880).
[WARNING PSM-0032] Node at (10800, 1759840) and layer 5 moved from (10520, 15880).
[WARNING PSM-0032] Node at (-5400, 1759840) and layer 5 moved from (-8480, 15880).
[WARNING PSM-0032] Node at (2926800, 1759840) and layer 5 moved from (2928100, -3120).
[WARNING PSM-0032] Node at (2889000, 1759840) and layer 5 moved from (2890520, -3120).
[WARNING PSM-0032] Node at (2710800, 1759840) and layer 5 moved from (2710520, -3120).
[WARNING PSM-0032] Node at (2527200, 1759840) and layer 5 moved from (2530520, -3120).
[WARNING PSM-0032] Node at (2349000, 1759840) and layer 5 moved from (2350520, -3120).
[WARNING PSM-0032] Node at (2170800, 1759840) and layer 5 moved from (2170520, -3120).
[WARNING PSM-0032] Node at (1987200, 1759840) and layer 5 moved from (1990520, -3120).
[WARNING PSM-0032] Node at (1809000, 835265) and layer 5 moved from (1810520, -3120).
[WARNING PSM-0032] Node at (1630800, 835265) and layer 5 moved from (1630520, -3120).
[WARNING PSM-0032] Node at (1447200, 835265) and layer 5 moved from (1450520, -3120).
[WARNING PSM-0032] Node at (1269000, 835265) and layer 5 moved from (1270520, -3120).
[WARNING PSM-0032] Node at (1090800, 1759840) and layer 5 moved from (1090520, -3120).
[WARNING PSM-0032] Node at (907200, 1759840) and layer 5 moved from (910520, -3120).
[WARNING PSM-0032] Node at (729000, 1759840) and layer 5 moved from (730520, -3120).
[WARNING PSM-0032] Node at (550800, 1759840) and layer 5 moved from (550520, -3120).
[WARNING PSM-0032] Node at (367200, 1759840) and layer 5 moved from (370520, -3120).
[WARNING PSM-0032] Node at (189000, 1759840) and layer 5 moved from (190520, -3120).
[WARNING PSM-0032] Node at (10800, 1759840) and layer 5 moved from (10520, -3120).
[WARNING PSM-0032] Node at (-5400, 1759840) and layer 5 moved from (-8480, -3120).
[INFO PSM-0064] Number of voltage sources = 88.
[INFO PSM-0040] All PDN stripes on net vccd1 are connected.
########## IR report #################
Worstcase voltage: 1.80e+00 V
Average IR drop : 1.48e-13 V
Worstcase IR drop: 1.02e-11 V
######################################
[INFO]: PDN generation was successful.
[INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/4-io.macro_placement.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/6-pdn.def
[WARNING]: All internal macros will not be connected to power.
[INFO]: Incremented step index to 6.
[INFO]: Generating PDN...
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/6-pdn.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0130] Created 639 pins.
[INFO ODB-0131] Created 1 components and 108 component-terminals.
[INFO ODB-0132] Created 2 special nets and 0 connections.
[INFO ODB-0133] Created 637 nets and 106 connections.
[INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/6-pdn.def
[INFO PDN-0016] Power Delivery Network Generator: Generating PDN
config: /openlane/scripts/openroad/pdn_cfg.tcl
[INFO PDN-0008] Design name is user_project_wrapper.
[INFO PDN-0009] Reading technology data.
[INFO PDN-0011] ****** INFO ******
Type: stdcell, stdcell_grid
Core Rings
Layer: met4 - width: 3.100 spacing: 1.700 core_offset: 23.600
Layer: met5 - width: 3.100 spacing: 1.700 core_offset: 23.600
Straps
Layer: met4 - width: 3.100 pitch: 180.000 offset: 23.600
Layer: met5 - width: 3.100 pitch: 180.000 offset: 23.600
Connect: {met4 met5}
Type: macro, CORE_macro_grid_1 -grid_over_pg_pins
Macro orientation: R0 R180 MX MY R90 R270 MXR90 MYR90
[INFO PDN-0012] **** END INFO ****
[INFO PDN-0013] Inserting stdcell grid - stdcell_grid.
[INFO PDN-0010] Inserting macro grid for 1 macros.
[INFO PDN-0034] - grid CORE_macro_grid_1 for instance fossiAES
[INFO PDN-0015] Writing to database.
[INFO]: Setting RC values...
[INFO PSM-0002] Output voltage file is specified as: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/7-pdn.pga.rpt.
[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.
[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.
[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.
[WARNING PSM-0019] Voltage on net vccd2 is not explicitly set.
[WARNING PSM-0022] Using voltage 1.800V for VDD network.
[WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction
[WARNING PSM-0030] VSRC location at (5.520um, 10.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 34.480um).
[WARNING PSM-0030] VSRC location at (845.520um, 10.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 34.480um).
[WARNING PSM-0030] VSRC location at (1685.520um, 10.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 34.480um).
[WARNING PSM-0030] VSRC location at (2525.520um, 10.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 34.480um).
[WARNING PSM-0030] VSRC location at (285.520um, 150.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 214.480um).
[WARNING PSM-0030] VSRC location at (1125.520um, 150.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 214.480um).
[WARNING PSM-0030] VSRC location at (1965.520um, 150.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 214.480um).
[WARNING PSM-0030] VSRC location at (2805.520um, 150.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 214.480um).
[WARNING PSM-0030] VSRC location at (565.520um, 290.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 214.480um).
[WARNING PSM-0030] VSRC location at (1405.520um, 290.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 214.480um).
[WARNING PSM-0030] VSRC location at (2245.520um, 290.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 214.480um).
[WARNING PSM-0030] VSRC location at (5.520um, 430.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 394.480um).
[WARNING PSM-0030] VSRC location at (845.520um, 430.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 394.480um).
[WARNING PSM-0030] VSRC location at (1685.520um, 430.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 394.480um).
[WARNING PSM-0030] VSRC location at (2525.520um, 430.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 394.480um).
[WARNING PSM-0030] VSRC location at (565.520um, 710.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 754.480um).
[WARNING PSM-0030] VSRC location at (1405.520um, 710.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 754.480um).
[WARNING PSM-0030] VSRC location at (2245.520um, 710.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 754.480um).
[WARNING PSM-0030] VSRC location at (5.520um, 850.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 934.480um).
[WARNING PSM-0030] VSRC location at (845.520um, 850.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 934.480um).
[WARNING PSM-0030] VSRC location at (1685.520um, 850.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 934.480um).
[WARNING PSM-0030] VSRC location at (2525.520um, 850.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 934.480um).
[WARNING PSM-0030] VSRC location at (285.520um, 990.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 934.480um).
[WARNING PSM-0030] VSRC location at (1125.520um, 990.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 934.480um).
[WARNING PSM-0030] VSRC location at (1965.520um, 990.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 934.480um).
[WARNING PSM-0030] VSRC location at (2805.520um, 990.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 934.480um).
[WARNING PSM-0030] VSRC location at (565.520um, 1130.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 1114.480um).
[WARNING PSM-0030] VSRC location at (1405.520um, 1130.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 1114.480um).
[WARNING PSM-0030] VSRC location at (2245.520um, 1130.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 1114.480um).
[WARNING PSM-0030] VSRC location at (5.520um, 1270.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 1294.480um).
[WARNING PSM-0030] VSRC location at (845.520um, 1270.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 1294.480um).
[WARNING PSM-0030] VSRC location at (1685.520um, 1270.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 1294.480um).
[WARNING PSM-0030] VSRC location at (2525.520um, 1270.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 1294.480um).
[WARNING PSM-0030] VSRC location at (285.520um, 1410.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 1474.480um).
[WARNING PSM-0030] VSRC location at (1125.520um, 1410.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 1474.480um).
[WARNING PSM-0030] VSRC location at (1965.520um, 1410.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 1474.480um).
[WARNING PSM-0030] VSRC location at (2805.520um, 1410.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 1474.480um).
[WARNING PSM-0030] VSRC location at (565.520um, 1550.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 1474.480um).
[WARNING PSM-0030] VSRC location at (1405.520um, 1550.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 1474.480um).
[WARNING PSM-0030] VSRC location at (2245.520um, 1550.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 1474.480um).
[WARNING PSM-0030] VSRC location at (5.520um, 1690.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 1654.480um).
[WARNING PSM-0030] VSRC location at (845.520um, 1690.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 1654.480um).
[WARNING PSM-0030] VSRC location at (1685.520um, 1690.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 1654.480um).
[WARNING PSM-0030] VSRC location at (2525.520um, 1690.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 1654.480um).
[WARNING PSM-0030] VSRC location at (565.520um, 1970.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 2014.480um).
[WARNING PSM-0030] VSRC location at (1405.520um, 1970.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 2014.480um).
[WARNING PSM-0030] VSRC location at (2245.520um, 1970.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 2014.480um).
[WARNING PSM-0030] VSRC location at (5.520um, 2110.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 2194.480um).
[WARNING PSM-0030] VSRC location at (845.520um, 2110.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 2194.480um).
[WARNING PSM-0030] VSRC location at (1685.520um, 2110.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 2194.480um).
[WARNING PSM-0030] VSRC location at (2525.520um, 2110.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 2194.480um).
[WARNING PSM-0030] VSRC location at (285.520um, 2250.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 2194.480um).
[WARNING PSM-0030] VSRC location at (1125.520um, 2250.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 2194.480um).
[WARNING PSM-0030] VSRC location at (1965.520um, 2250.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 2194.480um).
[WARNING PSM-0030] VSRC location at (2805.520um, 2250.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 2194.480um).
[WARNING PSM-0030] VSRC location at (565.520um, 2390.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 2374.480um).
[WARNING PSM-0030] VSRC location at (1405.520um, 2390.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 2374.480um).
[WARNING PSM-0030] VSRC location at (2245.520um, 2390.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 2374.480um).
[WARNING PSM-0030] VSRC location at (5.520um, 2530.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 2554.480um).
[WARNING PSM-0030] VSRC location at (845.520um, 2530.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 2554.480um).
[WARNING PSM-0030] VSRC location at (1685.520um, 2530.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 2554.480um).
[WARNING PSM-0030] VSRC location at (2525.520um, 2530.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 2554.480um).
[WARNING PSM-0030] VSRC location at (285.520um, 2670.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 2734.480um).
[WARNING PSM-0030] VSRC location at (1125.520um, 2670.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 2734.480um).
[WARNING PSM-0030] VSRC location at (1965.520um, 2670.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 2734.480um).
[WARNING PSM-0030] VSRC location at (2805.520um, 2670.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 2734.480um).
[WARNING PSM-0030] VSRC location at (565.520um, 2810.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 2734.480um).
[WARNING PSM-0030] VSRC location at (1405.520um, 2810.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 2734.480um).
[WARNING PSM-0030] VSRC location at (2245.520um, 2810.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 2734.480um).
[WARNING PSM-0030] VSRC location at (5.520um, 2950.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 2914.480um).
[WARNING PSM-0030] VSRC location at (845.520um, 2950.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 2914.480um).
[WARNING PSM-0030] VSRC location at (1685.520um, 2950.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 2914.480um).
[WARNING PSM-0030] VSRC location at (2525.520um, 2950.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 2914.480um).
[WARNING PSM-0030] VSRC location at (565.520um, 3230.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 3274.480um).
[WARNING PSM-0030] VSRC location at (1405.520um, 3230.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 3274.480um).
[WARNING PSM-0030] VSRC location at (2245.520um, 3230.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 3274.480um).
[INFO PSM-0031] Number of PDN nodes on net vccd2 = 12134.
[WARNING PSM-0032] Node at (2937600, 1759840) and layer 5 moved from (2937700, 3532400).
[WARNING PSM-0032] Node at (2910600, 1759840) and layer 5 moved from (2909120, 3532400).
[WARNING PSM-0032] Node at (2727000, 1759840) and layer 5 moved from (2729120, 3532400).
[WARNING PSM-0032] Node at (2548800, 1759840) and layer 5 moved from (2549120, 3532400).
[WARNING PSM-0032] Node at (2370600, 1759840) and layer 5 moved from (2369120, 3532400).
[WARNING PSM-0032] Node at (2187000, 1759840) and layer 5 moved from (2189120, 3532400).
[WARNING PSM-0032] Node at (2008800, 1759840) and layer 5 moved from (2009120, 3532400).
[WARNING PSM-0032] Node at (1830600, 3019375) and layer 5 moved from (1829120, 3532400).
[WARNING PSM-0032] Node at (1647000, 3019375) and layer 5 moved from (1649120, 3532400).
[WARNING PSM-0032] Node at (1468800, 3019375) and layer 5 moved from (1469120, 3532400).
[WARNING PSM-0032] Node at (1290600, 3019375) and layer 5 moved from (1289120, 3532400).
[WARNING PSM-0032] Node at (1285200, 3019375) and layer 5 moved from (1109120, 3532400).
[WARNING PSM-0032] Node at (928800, 1759840) and layer 5 moved from (929120, 3532400).
[WARNING PSM-0032] Node at (750600, 1759840) and layer 5 moved from (749120, 3532400).
[WARNING PSM-0032] Node at (567000, 1759840) and layer 5 moved from (569120, 3532400).
[WARNING PSM-0032] Node at (388800, 1759840) and layer 5 moved from (389120, 3532400).
[WARNING PSM-0032] Node at (210600, 1759840) and layer 5 moved from (209120, 3532400).
[WARNING PSM-0032] Node at (27000, 1759840) and layer 5 moved from (29120, 3532400).
[WARNING PSM-0032] Node at (-16200, 1759840) and layer 5 moved from (-18080, 3532400).
[WARNING PSM-0032] Node at (2937600, 1759840) and layer 5 moved from (2937700, 3454480).
[WARNING PSM-0032] Node at (2910600, 1759840) and layer 5 moved from (2909120, 3454480).
[WARNING PSM-0032] Node at (2727000, 1759840) and layer 5 moved from (2729120, 3454480).
[WARNING PSM-0032] Node at (2548800, 1759840) and layer 5 moved from (2549120, 3454480).
[WARNING PSM-0032] Node at (2370600, 1759840) and layer 5 moved from (2369120, 3454480).
[WARNING PSM-0032] Node at (2187000, 1759840) and layer 5 moved from (2189120, 3454480).
[WARNING PSM-0032] Node at (2008800, 1759840) and layer 5 moved from (2009120, 3454480).
[WARNING PSM-0032] Node at (1830600, 3019375) and layer 5 moved from (1829120, 3454480).
[WARNING PSM-0032] Node at (1647000, 3019375) and layer 5 moved from (1649120, 3454480).
[WARNING PSM-0032] Node at (1468800, 3019375) and layer 5 moved from (1469120, 3454480).
[WARNING PSM-0032] Node at (1290600, 3019375) and layer 5 moved from (1289120, 3454480).
[WARNING PSM-0032] Node at (1285200, 3019375) and layer 5 moved from (1109120, 3454480).
[WARNING PSM-0032] Node at (928800, 1759840) and layer 5 moved from (929120, 3454480).
[WARNING PSM-0032] Node at (750600, 1759840) and layer 5 moved from (749120, 3454480).
[WARNING PSM-0032] Node at (567000, 1759840) and layer 5 moved from (569120, 3454480).
[WARNING PSM-0032] Node at (388800, 1759840) and layer 5 moved from (389120, 3454480).
[WARNING PSM-0032] Node at (210600, 1759840) and layer 5 moved from (209120, 3454480).
[WARNING PSM-0032] Node at (27000, 1759840) and layer 5 moved from (29120, 3454480).
[WARNING PSM-0032] Node at (-16200, 1759840) and layer 5 moved from (-18080, 3454480).
[WARNING PSM-0032] Node at (2937600, 1759840) and layer 5 moved from (2937700, 3274480).
[WARNING PSM-0032] Node at (2910600, 1759840) and layer 5 moved from (2909120, 3274480).
[WARNING PSM-0032] Node at (2727000, 1759840) and layer 5 moved from (2729120, 3274480).
[WARNING PSM-0032] Node at (2548800, 1759840) and layer 5 moved from (2549120, 3274480).
[WARNING PSM-0032] Node at (2370600, 1759840) and layer 5 moved from (2369120, 3274480).
[WARNING PSM-0032] Node at (2187000, 1759840) and layer 5 moved from (2189120, 3274480).
[WARNING PSM-0032] Node at (2008800, 1759840) and layer 5 moved from (2009120, 3274480).
[WARNING PSM-0032] Node at (1830600, 3019375) and layer 5 moved from (1829120, 3274480).
[WARNING PSM-0032] Node at (1647000, 3019375) and layer 5 moved from (1649120, 3274480).
[WARNING PSM-0032] Node at (1468800, 3019375) and layer 5 moved from (1469120, 3274480).
[WARNING PSM-0032] Node at (1290600, 3019375) and layer 5 moved from (1289120, 3274480).
[WARNING PSM-0032] Node at (1285200, 3019375) and layer 5 moved from (1109120, 3274480).
[WARNING PSM-0032] Node at (928800, 1759840) and layer 5 moved from (929120, 3274480).
[WARNING PSM-0032] Node at (750600, 1759840) and layer 5 moved from (749120, 3274480).
[WARNING PSM-0032] Node at (567000, 1759840) and layer 5 moved from (569120, 3274480).
[WARNING PSM-0032] Node at (388800, 1759840) and layer 5 moved from (389120, 3274480).
[WARNING PSM-0032] Node at (210600, 1759840) and layer 5 moved from (209120, 3274480).
[WARNING PSM-0032] Node at (27000, 1759840) and layer 5 moved from (29120, 3274480).
[WARNING PSM-0032] Node at (-16200, 1759840) and layer 5 moved from (-18080, 3274480).
[WARNING PSM-0032] Node at (2937600, 1759840) and layer 5 moved from (2937700, 3094480).
[WARNING PSM-0032] Node at (2910600, 1759840) and layer 5 moved from (2909120, 3094480).
[WARNING PSM-0032] Node at (2727000, 1759840) and layer 5 moved from (2729120, 3094480).
[WARNING PSM-0032] Node at (2548800, 1759840) and layer 5 moved from (2549120, 3094480).
[WARNING PSM-0032] Node at (2370600, 1759840) and layer 5 moved from (2369120, 3094480).
[WARNING PSM-0032] Node at (2187000, 1759840) and layer 5 moved from (2189120, 3094480).
[WARNING PSM-0032] Node at (2008800, 1759840) and layer 5 moved from (2009120, 3094480).
[WARNING PSM-0032] Node at (1830600, 3019375) and layer 5 moved from (1829120, 3094480).
[WARNING PSM-0032] Node at (1647000, 3019375) and layer 5 moved from (1649120, 3094480).
[WARNING PSM-0032] Node at (1468800, 3019375) and layer 5 moved from (1469120, 3094480).
[WARNING PSM-0032] Node at (1290600, 3019375) and layer 5 moved from (1289120, 3094480).
[WARNING PSM-0032] Node at (1285200, 3019375) and layer 5 moved from (1109120, 3094480).
[WARNING PSM-0032] Node at (928800, 1759840) and layer 5 moved from (929120, 3094480).
[WARNING PSM-0032] Node at (750600, 1759840) and layer 5 moved from (749120, 3094480).
[WARNING PSM-0032] Node at (567000, 1759840) and layer 5 moved from (569120, 3094480).
[WARNING PSM-0032] Node at (388800, 1759840) and layer 5 moved from (389120, 3094480).
[WARNING PSM-0032] Node at (210600, 1759840) and layer 5 moved from (209120, 3094480).
[WARNING PSM-0032] Node at (27000, 1759840) and layer 5 moved from (29120, 3094480).
[WARNING PSM-0032] Node at (-16200, 1759840) and layer 5 moved from (-18080, 3094480).
[WARNING PSM-0032] Node at (2937600, 1759840) and layer 5 moved from (2937700, 2914480).
[WARNING PSM-0032] Node at (2910600, 1759840) and layer 5 moved from (2909120, 2914480).
[WARNING PSM-0032] Node at (2727000, 1759840) and layer 5 moved from (2729120, 2914480).
[WARNING PSM-0032] Node at (2548800, 1759840) and layer 5 moved from (2549120, 2914480).
[WARNING PSM-0032] Node at (2370600, 1759840) and layer 5 moved from (2369120, 2914480).
[WARNING PSM-0032] Node at (2187000, 1759840) and layer 5 moved from (2189120, 2914480).
[WARNING PSM-0032] Node at (2008800, 1759840) and layer 5 moved from (2009120, 2914480).
[WARNING PSM-0032] Node at (1830600, 3019375) and layer 5 moved from (1829120, 2914480).
[WARNING PSM-0032] Node at (1647000, 3019375) and layer 5 moved from (1649120, 2914480).
[WARNING PSM-0032] Node at (1468800, 3019375) and layer 5 moved from (1469120, 2914480).
[WARNING PSM-0032] Node at (1290600, 3019375) and layer 5 moved from (1289120, 2914480).
[WARNING PSM-0032] Node at (1285200, 3019375) and layer 5 moved from (1109120, 2914480).
[WARNING PSM-0032] Node at (928800, 1759840) and layer 5 moved from (929120, 2914480).
[WARNING PSM-0032] Node at (750600, 1759840) and layer 5 moved from (749120, 2914480).
[WARNING PSM-0032] Node at (567000, 1759840) and layer 5 moved from (569120, 2914480).
[WARNING PSM-0032] Node at (388800, 1759840) and layer 5 moved from (389120, 2914480).
[WARNING PSM-0032] Node at (210600, 1759840) and layer 5 moved from (209120, 2914480).
[WARNING PSM-0032] Node at (27000, 1759840) and layer 5 moved from (29120, 2914480).
[WARNING PSM-0032] Node at (-16200, 1759840) and layer 5 moved from (-18080, 2914480).
[WARNING PSM-0032] Node at (2937600, 1759840) and layer 5 moved from (2937700, 2734480).
[WARNING PSM-0032] Node at (2910600, 1759840) and layer 5 moved from (2909120, 2734480).
[WARNING PSM-0032] Node at (2727000, 1759840) and layer 5 moved from (2729120, 2734480).
[WARNING PSM-0032] Node at (2548800, 1759840) and layer 5 moved from (2549120, 2734480).
[WARNING PSM-0032] Node at (2370600, 1759840) and layer 5 moved from (2369120, 2734480).
[WARNING PSM-0032] Node at (2187000, 1759840) and layer 5 moved from (2189120, 2734480).
[WARNING PSM-0032] Node at (2008800, 1759840) and layer 5 moved from (2009120, 2734480).
[WARNING PSM-0032] Node at (1830600, 3019375) and layer 5 moved from (1829120, 2734480).
[WARNING PSM-0032] Node at (1647000, 3019375) and layer 5 moved from (1649120, 2734480).
[WARNING PSM-0032] Node at (1468800, 3019375) and layer 5 moved from (1469120, 2734480).
[WARNING PSM-0032] Node at (1290600, 3019375) and layer 5 moved from (1289120, 2734480).
[WARNING PSM-0032] Node at (1285200, 3019375) and layer 5 moved from (1109120, 2734480).
[WARNING PSM-0032] Node at (928800, 1759840) and layer 5 moved from (929120, 2734480).
[WARNING PSM-0032] Node at (750600, 1759840) and layer 5 moved from (749120, 2734480).
[WARNING PSM-0032] Node at (567000, 1759840) and layer 5 moved from (569120, 2734480).
[WARNING PSM-0032] Node at (388800, 1759840) and layer 5 moved from (389120, 2734480).
[WARNING PSM-0032] Node at (210600, 1759840) and layer 5 moved from (209120, 2734480).
[WARNING PSM-0032] Node at (27000, 1759840) and layer 5 moved from (29120, 2734480).
[WARNING PSM-0032] Node at (-16200, 1759840) and layer 5 moved from (-18080, 2734480).
[WARNING PSM-0032] Node at (2937600, 1759840) and layer 5 moved from (2937700, 2554480).
[WARNING PSM-0032] Node at (2910600, 1759840) and layer 5 moved from (2909120, 2554480).
[WARNING PSM-0032] Node at (2727000, 1759840) and layer 5 moved from (2729120, 2554480).
[WARNING PSM-0032] Node at (2548800, 1759840) and layer 5 moved from (2549120, 2554480).
[WARNING PSM-0032] Node at (2370600, 1759840) and layer 5 moved from (2369120, 2554480).
[WARNING PSM-0032] Node at (2187000, 1759840) and layer 5 moved from (2189120, 2554480).
[WARNING PSM-0032] Node at (2008800, 1759840) and layer 5 moved from (2009120, 2554480).
[WARNING PSM-0032] Node at (1830600, 3019375) and layer 5 moved from (1829120, 2554480).
[WARNING PSM-0032] Node at (1647000, 3019375) and layer 5 moved from (1649120, 2554480).
[WARNING PSM-0032] Node at (1468800, 3019375) and layer 5 moved from (1469120, 2554480).
[WARNING PSM-0032] Node at (1290600, 3019375) and layer 5 moved from (1289120, 2554480).
[WARNING PSM-0032] Node at (1285200, 3019375) and layer 5 moved from (1109120, 2554480).
[WARNING PSM-0032] Node at (928800, 1759840) and layer 5 moved from (929120, 2554480).
[WARNING PSM-0032] Node at (750600, 1759840) and layer 5 moved from (749120, 2554480).
[WARNING PSM-0032] Node at (567000, 1759840) and layer 5 moved from (569120, 2554480).
[WARNING PSM-0032] Node at (388800, 1759840) and layer 5 moved from (389120, 2554480).
[WARNING PSM-0032] Node at (210600, 1759840) and layer 5 moved from (209120, 2554480).
[WARNING PSM-0032] Node at (27000, 1759840) and layer 5 moved from (29120, 2554480).
[WARNING PSM-0032] Node at (-16200, 1759840) and layer 5 moved from (-18080, 2554480).
[WARNING PSM-0032] Node at (2937600, 1759840) and layer 5 moved from (2937700, 2374480).
[WARNING PSM-0032] Node at (2910600, 1759840) and layer 5 moved from (2909120, 2374480).
[WARNING PSM-0032] Node at (2727000, 1759840) and layer 5 moved from (2729120, 2374480).
[WARNING PSM-0032] Node at (2548800, 1759840) and layer 5 moved from (2549120, 2374480).
[WARNING PSM-0032] Node at (2370600, 1759840) and layer 5 moved from (2369120, 2374480).
[WARNING PSM-0032] Node at (2187000, 1759840) and layer 5 moved from (2189120, 2374480).
[WARNING PSM-0032] Node at (2008800, 1759840) and layer 5 moved from (2009120, 2374480).
[WARNING PSM-0032] Node at (1107000, 1759840) and layer 5 moved from (1109120, 2374480).
[WARNING PSM-0032] Node at (928800, 1759840) and layer 5 moved from (929120, 2374480).
[WARNING PSM-0032] Node at (750600, 1759840) and layer 5 moved from (749120, 2374480).
[WARNING PSM-0032] Node at (567000, 1759840) and layer 5 moved from (569120, 2374480).
[WARNING PSM-0032] Node at (388800, 1759840) and layer 5 moved from (389120, 2374480).
[WARNING PSM-0032] Node at (210600, 1759840) and layer 5 moved from (209120, 2374480).
[WARNING PSM-0032] Node at (27000, 1759840) and layer 5 moved from (29120, 2374480).
[WARNING PSM-0032] Node at (-16200, 1759840) and layer 5 moved from (-18080, 2374480).
[WARNING PSM-0032] Node at (2937600, 1759840) and layer 5 moved from (2937700, 2194480).
[WARNING PSM-0032] Node at (2910600, 1759840) and layer 5 moved from (2909120, 2194480).
[WARNING PSM-0032] Node at (2727000, 1759840) and layer 5 moved from (2729120, 2194480).
[WARNING PSM-0032] Node at (2548800, 1759840) and layer 5 moved from (2549120, 2194480).
[WARNING PSM-0032] Node at (2370600, 1759840) and layer 5 moved from (2369120, 2194480).
[WARNING PSM-0032] Node at (2187000, 1759840) and layer 5 moved from (2189120, 2194480).
[WARNING PSM-0032] Node at (2008800, 1759840) and layer 5 moved from (2009120, 2194480).
[WARNING PSM-0032] Node at (1107000, 1759840) and layer 5 moved from (1109120, 2194480).
[WARNING PSM-0032] Node at (928800, 1759840) and layer 5 moved from (929120, 2194480).
[WARNING PSM-0032] Node at (750600, 1759840) and layer 5 moved from (749120, 2194480).
[WARNING PSM-0032] Node at (567000, 1759840) and layer 5 moved from (569120, 2194480).
[WARNING PSM-0032] Node at (388800, 1759840) and layer 5 moved from (389120, 2194480).
[WARNING PSM-0032] Node at (210600, 1759840) and layer 5 moved from (209120, 2194480).
[WARNING PSM-0032] Node at (27000, 1759840) and layer 5 moved from (29120, 2194480).
[WARNING PSM-0032] Node at (-16200, 1759840) and layer 5 moved from (-18080, 2194480).
[WARNING PSM-0032] Node at (2937600, 1759840) and layer 5 moved from (2937700, 2014480).
[WARNING PSM-0032] Node at (2910600, 1759840) and layer 5 moved from (2909120, 2014480).
[WARNING PSM-0032] Node at (2727000, 1759840) and layer 5 moved from (2729120, 2014480).
[WARNING PSM-0032] Node at (2548800, 1759840) and layer 5 moved from (2549120, 2014480).
[WARNING PSM-0032] Node at (2370600, 1759840) and layer 5 moved from (2369120, 2014480).
[WARNING PSM-0032] Node at (2187000, 1759840) and layer 5 moved from (2189120, 2014480).
[WARNING PSM-0032] Node at (2008800, 1759840) and layer 5 moved from (2009120, 2014480).
[WARNING PSM-0032] Node at (1107000, 1759840) and layer 5 moved from (1109120, 2014480).
[WARNING PSM-0032] Node at (928800, 1759840) and layer 5 moved from (929120, 2014480).
[WARNING PSM-0032] Node at (750600, 1759840) and layer 5 moved from (749120, 2014480).
[WARNING PSM-0032] Node at (567000, 1759840) and layer 5 moved from (569120, 2014480).
[WARNING PSM-0032] Node at (388800, 1759840) and layer 5 moved from (389120, 2014480).
[WARNING PSM-0032] Node at (210600, 1759840) and layer 5 moved from (209120, 2014480).
[WARNING PSM-0032] Node at (27000, 1759840) and layer 5 moved from (29120, 2014480).
[WARNING PSM-0032] Node at (-16200, 1759840) and layer 5 moved from (-18080, 2014480).
[WARNING PSM-0032] Node at (2937600, 1759840) and layer 5 moved from (2937700, 1834480).
[WARNING PSM-0032] Node at (2910600, 1759840) and layer 5 moved from (2909120, 1834480).
[WARNING PSM-0032] Node at (2727000, 1759840) and layer 5 moved from (2729120, 1834480).
[WARNING PSM-0032] Node at (2548800, 1759840) and layer 5 moved from (2549120, 1834480).
[WARNING PSM-0032] Node at (2370600, 1759840) and layer 5 moved from (2369120, 1834480).
[WARNING PSM-0032] Node at (2187000, 1759840) and layer 5 moved from (2189120, 1834480).
[WARNING PSM-0032] Node at (2008800, 1759840) and layer 5 moved from (2009120, 1834480).
[WARNING PSM-0032] Node at (1107000, 1759840) and layer 5 moved from (1109120, 1834480).
[WARNING PSM-0032] Node at (928800, 1759840) and layer 5 moved from (929120, 1834480).
[WARNING PSM-0032] Node at (750600, 1759840) and layer 5 moved from (749120, 1834480).
[WARNING PSM-0032] Node at (567000, 1759840) and layer 5 moved from (569120, 1834480).
[WARNING PSM-0032] Node at (388800, 1759840) and layer 5 moved from (389120, 1834480).
[WARNING PSM-0032] Node at (210600, 1759840) and layer 5 moved from (209120, 1834480).
[WARNING PSM-0032] Node at (27000, 1759840) and layer 5 moved from (29120, 1834480).
[WARNING PSM-0032] Node at (-16200, 1759840) and layer 5 moved from (-18080, 1834480).
[WARNING PSM-0032] Node at (2937600, 1759840) and layer 5 moved from (2937700, 1654480).
[WARNING PSM-0032] Node at (2910600, 1759840) and layer 5 moved from (2909120, 1654480).
[WARNING PSM-0032] Node at (2727000, 1759840) and layer 5 moved from (2729120, 1654480).
[WARNING PSM-0032] Node at (2548800, 1759840) and layer 5 moved from (2549120, 1654480).
[WARNING PSM-0032] Node at (2370600, 1759840) and layer 5 moved from (2369120, 1654480).
[WARNING PSM-0032] Node at (2187000, 1759840) and layer 5 moved from (2189120, 1654480).
[WARNING PSM-0032] Node at (2008800, 1759840) and layer 5 moved from (2009120, 1654480).
[WARNING PSM-0032] Node at (1830600, 830465) and layer 5 moved from (1829120, 1654480).
[WARNING PSM-0032] Node at (1647000, 830465) and layer 5 moved from (1649120, 1654480).
[WARNING PSM-0032] Node at (1468800, 830465) and layer 5 moved from (1469120, 1654480).
[WARNING PSM-0032] Node at (1290600, 830465) and layer 5 moved from (1289120, 1654480).
[WARNING PSM-0032] Node at (1107000, 1759840) and layer 5 moved from (1109120, 1654480).
[WARNING PSM-0032] Node at (928800, 1759840) and layer 5 moved from (929120, 1654480).
[WARNING PSM-0032] Node at (750600, 1759840) and layer 5 moved from (749120, 1654480).
[WARNING PSM-0032] Node at (567000, 1759840) and layer 5 moved from (569120, 1654480).
[WARNING PSM-0032] Node at (388800, 1759840) and layer 5 moved from (389120, 1654480).
[WARNING PSM-0032] Node at (210600, 1759840) and layer 5 moved from (209120, 1654480).
[WARNING PSM-0032] Node at (27000, 1759840) and layer 5 moved from (29120, 1654480).
[WARNING PSM-0032] Node at (-16200, 1759840) and layer 5 moved from (-18080, 1654480).
[WARNING PSM-0032] Node at (2937600, 1759840) and layer 5 moved from (2937700, 1474480).
[WARNING PSM-0032] Node at (2910600, 1759840) and layer 5 moved from (2909120, 1474480).
[WARNING PSM-0032] Node at (2727000, 1759840) and layer 5 moved from (2729120, 1474480).
[WARNING PSM-0032] Node at (2548800, 1759840) and layer 5 moved from (2549120, 1474480).
[WARNING PSM-0032] Node at (2370600, 1759840) and layer 5 moved from (2369120, 1474480).
[WARNING PSM-0032] Node at (2187000, 1759840) and layer 5 moved from (2189120, 1474480).
[WARNING PSM-0032] Node at (2008800, 1759840) and layer 5 moved from (2009120, 1474480).
[WARNING PSM-0032] Node at (1830600, 830465) and layer 5 moved from (1829120, 1474480).
[WARNING PSM-0032] Node at (1647000, 830465) and layer 5 moved from (1649120, 1474480).
[WARNING PSM-0032] Node at (1468800, 830465) and layer 5 moved from (1469120, 1474480).
[WARNING PSM-0032] Node at (1290600, 830465) and layer 5 moved from (1289120, 1474480).
[WARNING PSM-0032] Node at (1107000, 1759840) and layer 5 moved from (1109120, 1474480).
[WARNING PSM-0032] Node at (928800, 1759840) and layer 5 moved from (929120, 1474480).
[WARNING PSM-0032] Node at (750600, 1759840) and layer 5 moved from (749120, 1474480).
[WARNING PSM-0032] Node at (567000, 1759840) and layer 5 moved from (569120, 1474480).
[WARNING PSM-0032] Node at (388800, 1759840) and layer 5 moved from (389120, 1474480).
[WARNING PSM-0032] Node at (210600, 1759840) and layer 5 moved from (209120, 1474480).
[WARNING PSM-0032] Node at (27000, 1759840) and layer 5 moved from (29120, 1474480).
[WARNING PSM-0032] Node at (-16200, 1759840) and layer 5 moved from (-18080, 1474480).
[WARNING PSM-0032] Node at (2937600, 1759840) and layer 5 moved from (2937700, 1294480).
[WARNING PSM-0032] Node at (2910600, 1759840) and layer 5 moved from (2909120, 1294480).
[WARNING PSM-0032] Node at (2727000, 1759840) and layer 5 moved from (2729120, 1294480).
[WARNING PSM-0032] Node at (2548800, 1759840) and layer 5 moved from (2549120, 1294480).
[WARNING PSM-0032] Node at (2370600, 1759840) and layer 5 moved from (2369120, 1294480).
[WARNING PSM-0032] Node at (2187000, 1759840) and layer 5 moved from (2189120, 1294480).
[WARNING PSM-0032] Node at (2008800, 1759840) and layer 5 moved from (2009120, 1294480).
[WARNING PSM-0032] Node at (1830600, 830465) and layer 5 moved from (1829120, 1294480).
[WARNING PSM-0032] Node at (1647000, 830465) and layer 5 moved from (1649120, 1294480).
[WARNING PSM-0032] Node at (1468800, 830465) and layer 5 moved from (1469120, 1294480).
[WARNING PSM-0032] Node at (1290600, 830465) and layer 5 moved from (1289120, 1294480).
[WARNING PSM-0032] Node at (1107000, 1759840) and layer 5 moved from (1109120, 1294480).
[WARNING PSM-0032] Node at (928800, 1759840) and layer 5 moved from (929120, 1294480).
[WARNING PSM-0032] Node at (750600, 1759840) and layer 5 moved from (749120, 1294480).
[WARNING PSM-0032] Node at (567000, 1759840) and layer 5 moved from (569120, 1294480).
[WARNING PSM-0032] Node at (388800, 1759840) and layer 5 moved from (389120, 1294480).
[WARNING PSM-0032] Node at (210600, 1759840) and layer 5 moved from (209120, 1294480).
[WARNING PSM-0032] Node at (27000, 1759840) and layer 5 moved from (29120, 1294480).
[WARNING PSM-0032] Node at (-16200, 1759840) and layer 5 moved from (-18080, 1294480).
[WARNING PSM-0032] Node at (2937600, 1759840) and layer 5 moved from (2937700, 1114480).
[WARNING PSM-0032] Node at (2910600, 1759840) and layer 5 moved from (2909120, 1114480).
[WARNING PSM-0032] Node at (2727000, 1759840) and layer 5 moved from (2729120, 1114480).
[WARNING PSM-0032] Node at (2548800, 1759840) and layer 5 moved from (2549120, 1114480).
[WARNING PSM-0032] Node at (2370600, 1759840) and layer 5 moved from (2369120, 1114480).
[WARNING PSM-0032] Node at (2187000, 1759840) and layer 5 moved from (2189120, 1114480).
[WARNING PSM-0032] Node at (2008800, 1759840) and layer 5 moved from (2009120, 1114480).
[WARNING PSM-0032] Node at (1830600, 830465) and layer 5 moved from (1829120, 1114480).
[WARNING PSM-0032] Node at (1647000, 830465) and layer 5 moved from (1649120, 1114480).
[WARNING PSM-0032] Node at (1468800, 830465) and layer 5 moved from (1469120, 1114480).
[WARNING PSM-0032] Node at (1290600, 830465) and layer 5 moved from (1289120, 1114480).
[WARNING PSM-0032] Node at (1285200, 830465) and layer 5 moved from (1109120, 1114480).
[WARNING PSM-0032] Node at (928800, 1759840) and layer 5 moved from (929120, 1114480).
[WARNING PSM-0032] Node at (750600, 1759840) and layer 5 moved from (749120, 1114480).
[WARNING PSM-0032] Node at (567000, 1759840) and layer 5 moved from (569120, 1114480).
[WARNING PSM-0032] Node at (388800, 1759840) and layer 5 moved from (389120, 1114480).
[WARNING PSM-0032] Node at (210600, 1759840) and layer 5 moved from (209120, 1114480).
[WARNING PSM-0032] Node at (27000, 1759840) and layer 5 moved from (29120, 1114480).
[WARNING PSM-0032] Node at (-16200, 1759840) and layer 5 moved from (-18080, 1114480).
[WARNING PSM-0032] Node at (2937600, 1759840) and layer 5 moved from (2937700, 934480).
[WARNING PSM-0032] Node at (2910600, 1759840) and layer 5 moved from (2909120, 934480).
[WARNING PSM-0032] Node at (2727000, 1759840) and layer 5 moved from (2729120, 934480).
[WARNING PSM-0032] Node at (2548800, 1759840) and layer 5 moved from (2549120, 934480).
[WARNING PSM-0032] Node at (2370600, 1759840) and layer 5 moved from (2369120, 934480).
[WARNING PSM-0032] Node at (2187000, 1759840) and layer 5 moved from (2189120, 934480).
[WARNING PSM-0032] Node at (2008800, 1759840) and layer 5 moved from (2009120, 934480).
[WARNING PSM-0032] Node at (1830600, 830465) and layer 5 moved from (1829120, 934480).
[WARNING PSM-0032] Node at (1647000, 830465) and layer 5 moved from (1649120, 934480).
[WARNING PSM-0032] Node at (1468800, 830465) and layer 5 moved from (1469120, 934480).
[WARNING PSM-0032] Node at (1290600, 830465) and layer 5 moved from (1289120, 934480).
[WARNING PSM-0032] Node at (1285200, 830465) and layer 5 moved from (1109120, 934480).
[WARNING PSM-0032] Node at (928800, 1759840) and layer 5 moved from (929120, 934480).
[WARNING PSM-0032] Node at (750600, 1759840) and layer 5 moved from (749120, 934480).
[WARNING PSM-0032] Node at (567000, 1759840) and layer 5 moved from (569120, 934480).
[WARNING PSM-0032] Node at (388800, 1759840) and layer 5 moved from (389120, 934480).
[WARNING PSM-0032] Node at (210600, 1759840) and layer 5 moved from (209120, 934480).
[WARNING PSM-0032] Node at (27000, 1759840) and layer 5 moved from (29120, 934480).
[WARNING PSM-0032] Node at (-16200, 1759840) and layer 5 moved from (-18080, 934480).
[WARNING PSM-0032] Node at (2937600, 1759840) and layer 5 moved from (2937700, 754480).
[WARNING PSM-0032] Node at (2910600, 1759840) and layer 5 moved from (2909120, 754480).
[WARNING PSM-0032] Node at (2727000, 1759840) and layer 5 moved from (2729120, 754480).
[WARNING PSM-0032] Node at (2548800, 1759840) and layer 5 moved from (2549120, 754480).
[WARNING PSM-0032] Node at (2370600, 1759840) and layer 5 moved from (2369120, 754480).
[WARNING PSM-0032] Node at (2187000, 1759840) and layer 5 moved from (2189120, 754480).
[WARNING PSM-0032] Node at (2008800, 1759840) and layer 5 moved from (2009120, 754480).
[WARNING PSM-0032] Node at (1830600, 830465) and layer 5 moved from (1829120, 754480).
[WARNING PSM-0032] Node at (1647000, 830465) and layer 5 moved from (1649120, 754480).
[WARNING PSM-0032] Node at (1468800, 830465) and layer 5 moved from (1469120, 754480).
[WARNING PSM-0032] Node at (1290600, 830465) and layer 5 moved from (1289120, 754480).
[WARNING PSM-0032] Node at (1285200, 830465) and layer 5 moved from (1109120, 754480).
[WARNING PSM-0032] Node at (928800, 1759840) and layer 5 moved from (929120, 754480).
[WARNING PSM-0032] Node at (750600, 1759840) and layer 5 moved from (749120, 754480).
[WARNING PSM-0032] Node at (567000, 1759840) and layer 5 moved from (569120, 754480).
[WARNING PSM-0032] Node at (388800, 1759840) and layer 5 moved from (389120, 754480).
[WARNING PSM-0032] Node at (210600, 1759840) and layer 5 moved from (209120, 754480).
[WARNING PSM-0032] Node at (27000, 1759840) and layer 5 moved from (29120, 754480).
[WARNING PSM-0032] Node at (-16200, 1759840) and layer 5 moved from (-18080, 754480).
[WARNING PSM-0032] Node at (2937600, 1759840) and layer 5 moved from (2937700, 574480).
[WARNING PSM-0032] Node at (2910600, 1759840) and layer 5 moved from (2909120, 574480).
[WARNING PSM-0032] Node at (2727000, 1759840) and layer 5 moved from (2729120, 574480).
[WARNING PSM-0032] Node at (2548800, 1759840) and layer 5 moved from (2549120, 574480).
[WARNING PSM-0032] Node at (2370600, 1759840) and layer 5 moved from (2369120, 574480).
[WARNING PSM-0032] Node at (2187000, 1759840) and layer 5 moved from (2189120, 574480).
[WARNING PSM-0032] Node at (2008800, 1759840) and layer 5 moved from (2009120, 574480).
[WARNING PSM-0032] Node at (1830600, 830465) and layer 5 moved from (1829120, 574480).
[WARNING PSM-0032] Node at (1647000, 830465) and layer 5 moved from (1649120, 574480).
[WARNING PSM-0032] Node at (1468800, 830465) and layer 5 moved from (1469120, 574480).
[WARNING PSM-0032] Node at (1290600, 830465) and layer 5 moved from (1289120, 574480).
[WARNING PSM-0032] Node at (1285200, 830465) and layer 5 moved from (1109120, 574480).
[WARNING PSM-0032] Node at (928800, 1759840) and layer 5 moved from (929120, 574480).
[WARNING PSM-0032] Node at (750600, 1759840) and layer 5 moved from (749120, 574480).
[WARNING PSM-0032] Node at (567000, 1759840) and layer 5 moved from (569120, 574480).
[WARNING PSM-0032] Node at (388800, 1759840) and layer 5 moved from (389120, 574480).
[WARNING PSM-0032] Node at (210600, 1759840) and layer 5 moved from (209120, 574480).
[WARNING PSM-0032] Node at (27000, 1759840) and layer 5 moved from (29120, 574480).
[WARNING PSM-0032] Node at (-16200, 1759840) and layer 5 moved from (-18080, 574480).
[WARNING PSM-0032] Node at (2937600, 1759840) and layer 5 moved from (2937700, 394480).
[WARNING PSM-0032] Node at (2910600, 1759840) and layer 5 moved from (2909120, 394480).
[WARNING PSM-0032] Node at (2727000, 1759840) and layer 5 moved from (2729120, 394480).
[WARNING PSM-0032] Node at (2548800, 1759840) and layer 5 moved from (2549120, 394480).
[WARNING PSM-0032] Node at (2370600, 1759840) and layer 5 moved from (2369120, 394480).
[WARNING PSM-0032] Node at (2187000, 1759840) and layer 5 moved from (2189120, 394480).
[WARNING PSM-0032] Node at (2008800, 1759840) and layer 5 moved from (2009120, 394480).
[WARNING PSM-0032] Node at (1830600, 830465) and layer 5 moved from (1829120, 394480).
[WARNING PSM-0032] Node at (1647000, 830465) and layer 5 moved from (1649120, 394480).
[WARNING PSM-0032] Node at (1468800, 830465) and layer 5 moved from (1469120, 394480).
[WARNING PSM-0032] Node at (1290600, 830465) and layer 5 moved from (1289120, 394480).
[WARNING PSM-0032] Node at (1285200, 830465) and layer 5 moved from (1109120, 394480).
[WARNING PSM-0032] Node at (928800, 1759840) and layer 5 moved from (929120, 394480).
[WARNING PSM-0032] Node at (750600, 1759840) and layer 5 moved from (749120, 394480).
[WARNING PSM-0032] Node at (567000, 1759840) and layer 5 moved from (569120, 394480).
[WARNING PSM-0032] Node at (388800, 1759840) and layer 5 moved from (389120, 394480).
[WARNING PSM-0032] Node at (210600, 1759840) and layer 5 moved from (209120, 394480).
[WARNING PSM-0032] Node at (27000, 1759840) and layer 5 moved from (29120, 394480).
[WARNING PSM-0032] Node at (-16200, 1759840) and layer 5 moved from (-18080, 394480).
[WARNING PSM-0032] Node at (2937600, 1759840) and layer 5 moved from (2937700, 214480).
[WARNING PSM-0032] Node at (2910600, 1759840) and layer 5 moved from (2909120, 214480).
[WARNING PSM-0032] Node at (2727000, 1759840) and layer 5 moved from (2729120, 214480).
[WARNING PSM-0032] Node at (2548800, 1759840) and layer 5 moved from (2549120, 214480).
[WARNING PSM-0032] Node at (2370600, 1759840) and layer 5 moved from (2369120, 214480).
[WARNING PSM-0032] Node at (2187000, 1759840) and layer 5 moved from (2189120, 214480).
[WARNING PSM-0032] Node at (2008800, 1759840) and layer 5 moved from (2009120, 214480).
[WARNING PSM-0032] Node at (1830600, 830465) and layer 5 moved from (1829120, 214480).
[WARNING PSM-0032] Node at (1647000, 830465) and layer 5 moved from (1649120, 214480).
[WARNING PSM-0032] Node at (1468800, 830465) and layer 5 moved from (1469120, 214480).
[WARNING PSM-0032] Node at (1290600, 830465) and layer 5 moved from (1289120, 214480).
[WARNING PSM-0032] Node at (1285200, 830465) and layer 5 moved from (1109120, 214480).
[WARNING PSM-0032] Node at (928800, 1759840) and layer 5 moved from (929120, 214480).
[WARNING PSM-0032] Node at (750600, 1759840) and layer 5 moved from (749120, 214480).
[WARNING PSM-0032] Node at (567000, 1759840) and layer 5 moved from (569120, 214480).
[WARNING PSM-0032] Node at (388800, 1759840) and layer 5 moved from (389120, 214480).
[WARNING PSM-0032] Node at (210600, 1759840) and layer 5 moved from (209120, 214480).
[WARNING PSM-0032] Node at (27000, 1759840) and layer 5 moved from (29120, 214480).
[WARNING PSM-0032] Node at (-16200, 1759840) and layer 5 moved from (-18080, 214480).
[WARNING PSM-0032] Node at (2937600, 1759840) and layer 5 moved from (2937700, 34480).
[WARNING PSM-0032] Node at (2910600, 1759840) and layer 5 moved from (2909120, 34480).
[WARNING PSM-0032] Node at (2727000, 1759840) and layer 5 moved from (2729120, 34480).
[WARNING PSM-0032] Node at (2548800, 1759840) and layer 5 moved from (2549120, 34480).
[WARNING PSM-0032] Node at (2370600, 1759840) and layer 5 moved from (2369120, 34480).
[WARNING PSM-0032] Node at (2187000, 1759840) and layer 5 moved from (2189120, 34480).
[WARNING PSM-0032] Node at (2008800, 1759840) and layer 5 moved from (2009120, 34480).
[WARNING PSM-0032] Node at (1830600, 830465) and layer 5 moved from (1829120, 34480).
[WARNING PSM-0032] Node at (1647000, 830465) and layer 5 moved from (1649120, 34480).
[WARNING PSM-0032] Node at (1468800, 830465) and layer 5 moved from (1469120, 34480).
[WARNING PSM-0032] Node at (1290600, 830465) and layer 5 moved from (1289120, 34480).
[WARNING PSM-0032] Node at (1285200, 830465) and layer 5 moved from (1109120, 34480).
[WARNING PSM-0032] Node at (928800, 1759840) and layer 5 moved from (929120, 34480).
[WARNING PSM-0032] Node at (750600, 1759840) and layer 5 moved from (749120, 34480).
[WARNING PSM-0032] Node at (567000, 1759840) and layer 5 moved from (569120, 34480).
[WARNING PSM-0032] Node at (388800, 1759840) and layer 5 moved from (389120, 34480).
[WARNING PSM-0032] Node at (210600, 1759840) and layer 5 moved from (209120, 34480).
[WARNING PSM-0032] Node at (27000, 1759840) and layer 5 moved from (29120, 34480).
[WARNING PSM-0032] Node at (-16200, 1759840) and layer 5 moved from (-18080, 34480).
[WARNING PSM-0032] Node at (2937600, 1759840) and layer 5 moved from (2937700, -12720).
[WARNING PSM-0032] Node at (2910600, 1759840) and layer 5 moved from (2909120, -12720).
[WARNING PSM-0032] Node at (2727000, 1759840) and layer 5 moved from (2729120, -12720).
[WARNING PSM-0032] Node at (2548800, 1759840) and layer 5 moved from (2549120, -12720).
[WARNING PSM-0032] Node at (2370600, 1759840) and layer 5 moved from (2369120, -12720).
[WARNING PSM-0032] Node at (2187000, 1759840) and layer 5 moved from (2189120, -12720).
[WARNING PSM-0032] Node at (2008800, 1759840) and layer 5 moved from (2009120, -12720).
[WARNING PSM-0032] Node at (1830600, 830465) and layer 5 moved from (1829120, -12720).
[WARNING PSM-0032] Node at (1647000, 830465) and layer 5 moved from (1649120, -12720).
[WARNING PSM-0032] Node at (1468800, 830465) and layer 5 moved from (1469120, -12720).
[WARNING PSM-0032] Node at (1290600, 830465) and layer 5 moved from (1289120, -12720).
[WARNING PSM-0032] Node at (1285200, 830465) and layer 5 moved from (1109120, -12720).
[WARNING PSM-0032] Node at (928800, 1759840) and layer 5 moved from (929120, -12720).
[WARNING PSM-0032] Node at (750600, 1759840) and layer 5 moved from (749120, -12720).
[WARNING PSM-0032] Node at (567000, 1759840) and layer 5 moved from (569120, -12720).
[WARNING PSM-0032] Node at (388800, 1759840) and layer 5 moved from (389120, -12720).
[WARNING PSM-0032] Node at (210600, 1759840) and layer 5 moved from (209120, -12720).
[WARNING PSM-0032] Node at (27000, 1759840) and layer 5 moved from (29120, -12720).
[WARNING PSM-0032] Node at (-16200, 1759840) and layer 5 moved from (-18080, -12720).
[INFO PSM-0064] Number of voltage sources = 88.
[INFO PSM-0040] All PDN stripes on net vccd2 are connected.
########## IR report #################
Worstcase voltage: 1.80e+00 V
Average IR drop : 3.11e-14 V
Worstcase IR drop: 1.72e-12 V
######################################
[INFO]: PDN generation was successful.
[INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/6-pdn.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/7-pdn.def
[WARNING]: All internal macros will not be connected to power.
[INFO]: Incremented step index to 7.
[INFO]: Generating PDN...
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/7-pdn.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0130] Created 641 pins.
[INFO ODB-0131] Created 1 components and 108 component-terminals.
[INFO ODB-0132] Created 4 special nets and 0 connections.
[INFO ODB-0133] Created 637 nets and 106 connections.
[INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/7-pdn.def
[INFO PDN-0016] Power Delivery Network Generator: Generating PDN
config: /openlane/scripts/openroad/pdn_cfg.tcl
[INFO PDN-0008] Design name is user_project_wrapper.
[INFO PDN-0009] Reading technology data.
[INFO PDN-0011] ****** INFO ******
Type: stdcell, stdcell_grid
Core Rings
Layer: met4 - width: 3.100 spacing: 1.700 core_offset: 33.200
Layer: met5 - width: 3.100 spacing: 1.700 core_offset: 33.200
Straps
Layer: met4 - width: 3.100 pitch: 180.000 offset: 42.200
Layer: met5 - width: 3.100 pitch: 180.000 offset: 42.200
Connect: {met4 met5}
Type: macro, CORE_macro_grid_1 -grid_over_pg_pins
Macro orientation: R0 R180 MX MY R90 R270 MXR90 MYR90
[INFO PDN-0012] **** END INFO ****
[INFO PDN-0013] Inserting stdcell grid - stdcell_grid.
[INFO PDN-0010] Inserting macro grid for 1 macros.
[INFO PDN-0034] - grid CORE_macro_grid_1 for instance fossiAES
[INFO PDN-0015] Writing to database.
[INFO]: Setting RC values...
[INFO PSM-0002] Output voltage file is specified as: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/8-pdn.pga.rpt.
[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.
[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.
[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.
[WARNING PSM-0019] Voltage on net vdda1 is not explicitly set.
[WARNING PSM-0022] Using voltage 1.800V for VDD network.
[WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction
[WARNING PSM-0030] VSRC location at (5.520um, 10.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, -22.320um).
[WARNING PSM-0030] VSRC location at (845.520um, 10.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, -22.320um).
[WARNING PSM-0030] VSRC location at (1685.520um, 10.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, -22.320um).
[WARNING PSM-0030] VSRC location at (2525.520um, 10.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, -22.320um).
[WARNING PSM-0030] VSRC location at (285.520um, 150.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 233.080um).
[WARNING PSM-0030] VSRC location at (1125.520um, 150.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 233.080um).
[WARNING PSM-0030] VSRC location at (1965.520um, 150.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 233.080um).
[WARNING PSM-0030] VSRC location at (2805.520um, 150.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 233.080um).
[WARNING PSM-0030] VSRC location at (565.520um, 290.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 233.080um).
[WARNING PSM-0030] VSRC location at (1405.520um, 290.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 233.080um).
[WARNING PSM-0030] VSRC location at (2245.520um, 290.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 233.080um).
[WARNING PSM-0030] VSRC location at (5.520um, 430.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 413.080um).
[WARNING PSM-0030] VSRC location at (845.520um, 430.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 413.080um).
[WARNING PSM-0030] VSRC location at (1685.520um, 430.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 413.080um).
[WARNING PSM-0030] VSRC location at (2525.520um, 430.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 413.080um).
[WARNING PSM-0030] VSRC location at (285.520um, 570.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 593.080um).
[WARNING PSM-0030] VSRC location at (1125.520um, 570.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 593.080um).
[WARNING PSM-0030] VSRC location at (1965.520um, 570.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 593.080um).
[WARNING PSM-0030] VSRC location at (2805.520um, 570.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 593.080um).
[WARNING PSM-0030] VSRC location at (565.520um, 710.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 773.080um).
[WARNING PSM-0030] VSRC location at (1405.520um, 710.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 773.080um).
[WARNING PSM-0030] VSRC location at (2245.520um, 710.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 773.080um).
[WARNING PSM-0030] VSRC location at (5.520um, 850.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 773.080um).
[WARNING PSM-0030] VSRC location at (845.520um, 850.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 773.080um).
[WARNING PSM-0030] VSRC location at (1685.520um, 850.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 773.080um).
[WARNING PSM-0030] VSRC location at (2525.520um, 850.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 773.080um).
[WARNING PSM-0030] VSRC location at (285.520um, 990.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 953.080um).
[WARNING PSM-0030] VSRC location at (1125.520um, 990.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 953.080um).
[WARNING PSM-0030] VSRC location at (1965.520um, 990.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 953.080um).
[WARNING PSM-0030] VSRC location at (2805.520um, 990.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 953.080um).
[WARNING PSM-0030] VSRC location at (5.520um, 1270.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 1313.080um).
[WARNING PSM-0030] VSRC location at (845.520um, 1270.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 1313.080um).
[WARNING PSM-0030] VSRC location at (1685.520um, 1270.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 1313.080um).
[WARNING PSM-0030] VSRC location at (2525.520um, 1270.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 1313.080um).
[WARNING PSM-0030] VSRC location at (285.520um, 1410.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 1493.080um).
[WARNING PSM-0030] VSRC location at (1125.520um, 1410.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 1493.080um).
[WARNING PSM-0030] VSRC location at (1965.520um, 1410.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 1493.080um).
[WARNING PSM-0030] VSRC location at (2805.520um, 1410.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 1493.080um).
[WARNING PSM-0030] VSRC location at (565.520um, 1550.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 1493.080um).
[WARNING PSM-0030] VSRC location at (1405.520um, 1550.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 1493.080um).
[WARNING PSM-0030] VSRC location at (2245.520um, 1550.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 1493.080um).
[WARNING PSM-0030] VSRC location at (5.520um, 1690.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 1673.080um).
[WARNING PSM-0030] VSRC location at (845.520um, 1690.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 1673.080um).
[WARNING PSM-0030] VSRC location at (1685.520um, 1690.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 1673.080um).
[WARNING PSM-0030] VSRC location at (2525.520um, 1690.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 1673.080um).
[WARNING PSM-0030] VSRC location at (285.520um, 1830.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 1853.080um).
[WARNING PSM-0030] VSRC location at (1125.520um, 1830.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 1853.080um).
[WARNING PSM-0030] VSRC location at (1965.520um, 1830.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 1853.080um).
[WARNING PSM-0030] VSRC location at (2805.520um, 1830.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 1853.080um).
[WARNING PSM-0030] VSRC location at (565.520um, 1970.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 2033.080um).
[WARNING PSM-0030] VSRC location at (1405.520um, 1970.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 2033.080um).
[WARNING PSM-0030] VSRC location at (2245.520um, 1970.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 2033.080um).
[WARNING PSM-0030] VSRC location at (5.520um, 2110.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 2033.080um).
[WARNING PSM-0030] VSRC location at (845.520um, 2110.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 2033.080um).
[WARNING PSM-0030] VSRC location at (1685.520um, 2110.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 2033.080um).
[WARNING PSM-0030] VSRC location at (2525.520um, 2110.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 2033.080um).
[WARNING PSM-0030] VSRC location at (285.520um, 2250.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 2213.080um).
[WARNING PSM-0030] VSRC location at (1125.520um, 2250.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 2213.080um).
[WARNING PSM-0030] VSRC location at (1965.520um, 2250.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 2213.080um).
[WARNING PSM-0030] VSRC location at (2805.520um, 2250.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 2213.080um).
[WARNING PSM-0030] VSRC location at (5.520um, 2530.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 2573.080um).
[WARNING PSM-0030] VSRC location at (845.520um, 2530.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 2573.080um).
[WARNING PSM-0030] VSRC location at (1685.520um, 2530.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 2573.080um).
[WARNING PSM-0030] VSRC location at (2525.520um, 2530.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 2573.080um).
[WARNING PSM-0030] VSRC location at (285.520um, 2670.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 2753.080um).
[WARNING PSM-0030] VSRC location at (1125.520um, 2670.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 2753.080um).
[WARNING PSM-0030] VSRC location at (1965.520um, 2670.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 2753.080um).
[WARNING PSM-0030] VSRC location at (2805.520um, 2670.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 2753.080um).
[WARNING PSM-0030] VSRC location at (565.520um, 2810.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 2753.080um).
[WARNING PSM-0030] VSRC location at (1405.520um, 2810.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 2753.080um).
[WARNING PSM-0030] VSRC location at (2245.520um, 2810.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 2753.080um).
[WARNING PSM-0030] VSRC location at (5.520um, 2950.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 2933.080um).
[WARNING PSM-0030] VSRC location at (845.520um, 2950.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 2933.080um).
[WARNING PSM-0030] VSRC location at (1685.520um, 2950.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 2933.080um).
[WARNING PSM-0030] VSRC location at (2525.520um, 2950.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 2933.080um).
[WARNING PSM-0030] VSRC location at (285.520um, 3090.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 3113.080um).
[WARNING PSM-0030] VSRC location at (1125.520um, 3090.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 3113.080um).
[WARNING PSM-0030] VSRC location at (1965.520um, 3090.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 3113.080um).
[WARNING PSM-0030] VSRC location at (2805.520um, 3090.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 3113.080um).
[WARNING PSM-0030] VSRC location at (565.520um, 3230.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 3293.080um).
[WARNING PSM-0030] VSRC location at (1405.520um, 3230.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 3293.080um).
[WARNING PSM-0030] VSRC location at (2245.520um, 3230.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 3293.080um).
[INFO PSM-0031] Number of PDN nodes on net vdda1 = 12202.
[WARNING PSM-0032] Node at (2948400, 1759840) and layer 5 moved from (2947300, 3542000).
[WARNING PSM-0032] Node at (2748600, 1759840) and layer 5 moved from (2747720, 3542000).
[WARNING PSM-0032] Node at (2565000, 1759840) and layer 5 moved from (2567720, 3542000).
[WARNING PSM-0032] Node at (2386800, 1759840) and layer 5 moved from (2387720, 3542000).
[WARNING PSM-0032] Node at (2208600, 1759840) and layer 5 moved from (2207720, 3542000).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (2027720, 3542000).
[WARNING PSM-0032] Node at (1846800, 3024175) and layer 5 moved from (1847720, 3542000).
[WARNING PSM-0032] Node at (1668600, 3024175) and layer 5 moved from (1667720, 3542000).
[WARNING PSM-0032] Node at (1485000, 3024175) and layer 5 moved from (1487720, 3542000).
[WARNING PSM-0032] Node at (1306800, 3024175) and layer 5 moved from (1307720, 3542000).
[WARNING PSM-0032] Node at (1128600, 1759840) and layer 5 moved from (1127720, 3542000).
[WARNING PSM-0032] Node at (945000, 1759840) and layer 5 moved from (947720, 3542000).
[WARNING PSM-0032] Node at (766800, 1759840) and layer 5 moved from (767720, 3542000).
[WARNING PSM-0032] Node at (588600, 1759840) and layer 5 moved from (587720, 3542000).
[WARNING PSM-0032] Node at (405000, 1759840) and layer 5 moved from (407720, 3542000).
[WARNING PSM-0032] Node at (226800, 1759840) and layer 5 moved from (227720, 3542000).
[WARNING PSM-0032] Node at (48600, 1759840) and layer 5 moved from (47720, 3542000).
[WARNING PSM-0032] Node at (-27000, 1759840) and layer 5 moved from (-27680, 3542000).
[WARNING PSM-0032] Node at (2948400, 1759840) and layer 5 moved from (2947300, 3473080).
[WARNING PSM-0032] Node at (2748600, 1759840) and layer 5 moved from (2747720, 3473080).
[WARNING PSM-0032] Node at (2565000, 1759840) and layer 5 moved from (2567720, 3473080).
[WARNING PSM-0032] Node at (2386800, 1759840) and layer 5 moved from (2387720, 3473080).
[WARNING PSM-0032] Node at (2208600, 1759840) and layer 5 moved from (2207720, 3473080).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (2027720, 3473080).
[WARNING PSM-0032] Node at (1846800, 3024175) and layer 5 moved from (1847720, 3473080).
[WARNING PSM-0032] Node at (1668600, 3024175) and layer 5 moved from (1667720, 3473080).
[WARNING PSM-0032] Node at (1485000, 3024175) and layer 5 moved from (1487720, 3473080).
[WARNING PSM-0032] Node at (1306800, 3024175) and layer 5 moved from (1307720, 3473080).
[WARNING PSM-0032] Node at (1128600, 1759840) and layer 5 moved from (1127720, 3473080).
[WARNING PSM-0032] Node at (945000, 1759840) and layer 5 moved from (947720, 3473080).
[WARNING PSM-0032] Node at (766800, 1759840) and layer 5 moved from (767720, 3473080).
[WARNING PSM-0032] Node at (588600, 1759840) and layer 5 moved from (587720, 3473080).
[WARNING PSM-0032] Node at (405000, 1759840) and layer 5 moved from (407720, 3473080).
[WARNING PSM-0032] Node at (226800, 1759840) and layer 5 moved from (227720, 3473080).
[WARNING PSM-0032] Node at (48600, 1759840) and layer 5 moved from (47720, 3473080).
[WARNING PSM-0032] Node at (-27000, 1759840) and layer 5 moved from (-27680, 3473080).
[WARNING PSM-0032] Node at (2948400, 1759840) and layer 5 moved from (2947300, 3293080).
[WARNING PSM-0032] Node at (2748600, 1759840) and layer 5 moved from (2747720, 3293080).
[WARNING PSM-0032] Node at (2565000, 1759840) and layer 5 moved from (2567720, 3293080).
[WARNING PSM-0032] Node at (2386800, 1759840) and layer 5 moved from (2387720, 3293080).
[WARNING PSM-0032] Node at (2208600, 1759840) and layer 5 moved from (2207720, 3293080).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (2027720, 3293080).
[WARNING PSM-0032] Node at (1846800, 3024175) and layer 5 moved from (1847720, 3293080).
[WARNING PSM-0032] Node at (1668600, 3024175) and layer 5 moved from (1667720, 3293080).
[WARNING PSM-0032] Node at (1485000, 3024175) and layer 5 moved from (1487720, 3293080).
[WARNING PSM-0032] Node at (1306800, 3024175) and layer 5 moved from (1307720, 3293080).
[WARNING PSM-0032] Node at (1128600, 1759840) and layer 5 moved from (1127720, 3293080).
[WARNING PSM-0032] Node at (945000, 1759840) and layer 5 moved from (947720, 3293080).
[WARNING PSM-0032] Node at (766800, 1759840) and layer 5 moved from (767720, 3293080).
[WARNING PSM-0032] Node at (588600, 1759840) and layer 5 moved from (587720, 3293080).
[WARNING PSM-0032] Node at (405000, 1759840) and layer 5 moved from (407720, 3293080).
[WARNING PSM-0032] Node at (226800, 1759840) and layer 5 moved from (227720, 3293080).
[WARNING PSM-0032] Node at (48600, 1759840) and layer 5 moved from (47720, 3293080).
[WARNING PSM-0032] Node at (-27000, 1759840) and layer 5 moved from (-27680, 3293080).
[WARNING PSM-0032] Node at (2948400, 1759840) and layer 5 moved from (2947300, 3113080).
[WARNING PSM-0032] Node at (2748600, 1759840) and layer 5 moved from (2747720, 3113080).
[WARNING PSM-0032] Node at (2565000, 1759840) and layer 5 moved from (2567720, 3113080).
[WARNING PSM-0032] Node at (2386800, 1759840) and layer 5 moved from (2387720, 3113080).
[WARNING PSM-0032] Node at (2208600, 1759840) and layer 5 moved from (2207720, 3113080).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (2027720, 3113080).
[WARNING PSM-0032] Node at (1846800, 3024175) and layer 5 moved from (1847720, 3113080).
[WARNING PSM-0032] Node at (1668600, 3024175) and layer 5 moved from (1667720, 3113080).
[WARNING PSM-0032] Node at (1485000, 3024175) and layer 5 moved from (1487720, 3113080).
[WARNING PSM-0032] Node at (1306800, 3024175) and layer 5 moved from (1307720, 3113080).
[WARNING PSM-0032] Node at (1128600, 1759840) and layer 5 moved from (1127720, 3113080).
[WARNING PSM-0032] Node at (945000, 1759840) and layer 5 moved from (947720, 3113080).
[WARNING PSM-0032] Node at (766800, 1759840) and layer 5 moved from (767720, 3113080).
[WARNING PSM-0032] Node at (588600, 1759840) and layer 5 moved from (587720, 3113080).
[WARNING PSM-0032] Node at (405000, 1759840) and layer 5 moved from (407720, 3113080).
[WARNING PSM-0032] Node at (226800, 1759840) and layer 5 moved from (227720, 3113080).
[WARNING PSM-0032] Node at (48600, 1759840) and layer 5 moved from (47720, 3113080).
[WARNING PSM-0032] Node at (-27000, 1759840) and layer 5 moved from (-27680, 3113080).
[WARNING PSM-0032] Node at (2948400, 1759840) and layer 5 moved from (2947300, 2933080).
[WARNING PSM-0032] Node at (2748600, 1759840) and layer 5 moved from (2747720, 2933080).
[WARNING PSM-0032] Node at (2565000, 1759840) and layer 5 moved from (2567720, 2933080).
[WARNING PSM-0032] Node at (2386800, 1759840) and layer 5 moved from (2387720, 2933080).
[WARNING PSM-0032] Node at (2208600, 1759840) and layer 5 moved from (2207720, 2933080).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (2027720, 2933080).
[WARNING PSM-0032] Node at (1846800, 3024175) and layer 5 moved from (1847720, 2933080).
[WARNING PSM-0032] Node at (1668600, 3024175) and layer 5 moved from (1667720, 2933080).
[WARNING PSM-0032] Node at (1485000, 3024175) and layer 5 moved from (1487720, 2933080).
[WARNING PSM-0032] Node at (1306800, 3024175) and layer 5 moved from (1307720, 2933080).
[WARNING PSM-0032] Node at (1128600, 1759840) and layer 5 moved from (1127720, 2933080).
[WARNING PSM-0032] Node at (945000, 1759840) and layer 5 moved from (947720, 2933080).
[WARNING PSM-0032] Node at (766800, 1759840) and layer 5 moved from (767720, 2933080).
[WARNING PSM-0032] Node at (588600, 1759840) and layer 5 moved from (587720, 2933080).
[WARNING PSM-0032] Node at (405000, 1759840) and layer 5 moved from (407720, 2933080).
[WARNING PSM-0032] Node at (226800, 1759840) and layer 5 moved from (227720, 2933080).
[WARNING PSM-0032] Node at (48600, 1759840) and layer 5 moved from (47720, 2933080).
[WARNING PSM-0032] Node at (-27000, 1759840) and layer 5 moved from (-27680, 2933080).
[WARNING PSM-0032] Node at (2948400, 1759840) and layer 5 moved from (2947300, 2753080).
[WARNING PSM-0032] Node at (2748600, 1759840) and layer 5 moved from (2747720, 2753080).
[WARNING PSM-0032] Node at (2565000, 1759840) and layer 5 moved from (2567720, 2753080).
[WARNING PSM-0032] Node at (2386800, 1759840) and layer 5 moved from (2387720, 2753080).
[WARNING PSM-0032] Node at (2208600, 1759840) and layer 5 moved from (2207720, 2753080).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (2027720, 2753080).
[WARNING PSM-0032] Node at (1846800, 3024175) and layer 5 moved from (1847720, 2753080).
[WARNING PSM-0032] Node at (1668600, 3024175) and layer 5 moved from (1667720, 2753080).
[WARNING PSM-0032] Node at (1485000, 3024175) and layer 5 moved from (1487720, 2753080).
[WARNING PSM-0032] Node at (1306800, 3024175) and layer 5 moved from (1307720, 2753080).
[WARNING PSM-0032] Node at (1128600, 1759840) and layer 5 moved from (1127720, 2753080).
[WARNING PSM-0032] Node at (945000, 1759840) and layer 5 moved from (947720, 2753080).
[WARNING PSM-0032] Node at (766800, 1759840) and layer 5 moved from (767720, 2753080).
[WARNING PSM-0032] Node at (588600, 1759840) and layer 5 moved from (587720, 2753080).
[WARNING PSM-0032] Node at (405000, 1759840) and layer 5 moved from (407720, 2753080).
[WARNING PSM-0032] Node at (226800, 1759840) and layer 5 moved from (227720, 2753080).
[WARNING PSM-0032] Node at (48600, 1759840) and layer 5 moved from (47720, 2753080).
[WARNING PSM-0032] Node at (-27000, 1759840) and layer 5 moved from (-27680, 2753080).
[WARNING PSM-0032] Node at (2948400, 1759840) and layer 5 moved from (2947300, 2573080).
[WARNING PSM-0032] Node at (2748600, 1759840) and layer 5 moved from (2747720, 2573080).
[WARNING PSM-0032] Node at (2565000, 1759840) and layer 5 moved from (2567720, 2573080).
[WARNING PSM-0032] Node at (2386800, 1759840) and layer 5 moved from (2387720, 2573080).
[WARNING PSM-0032] Node at (2208600, 1759840) and layer 5 moved from (2207720, 2573080).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (2027720, 2573080).
[WARNING PSM-0032] Node at (1846800, 3024175) and layer 5 moved from (1847720, 2573080).
[WARNING PSM-0032] Node at (1668600, 3024175) and layer 5 moved from (1667720, 2573080).
[WARNING PSM-0032] Node at (1485000, 3024175) and layer 5 moved from (1487720, 2573080).
[WARNING PSM-0032] Node at (1306800, 3024175) and layer 5 moved from (1307720, 2573080).
[WARNING PSM-0032] Node at (1128600, 1759840) and layer 5 moved from (1127720, 2573080).
[WARNING PSM-0032] Node at (945000, 1759840) and layer 5 moved from (947720, 2573080).
[WARNING PSM-0032] Node at (766800, 1759840) and layer 5 moved from (767720, 2573080).
[WARNING PSM-0032] Node at (588600, 1759840) and layer 5 moved from (587720, 2573080).
[WARNING PSM-0032] Node at (405000, 1759840) and layer 5 moved from (407720, 2573080).
[WARNING PSM-0032] Node at (226800, 1759840) and layer 5 moved from (227720, 2573080).
[WARNING PSM-0032] Node at (48600, 1759840) and layer 5 moved from (47720, 2573080).
[WARNING PSM-0032] Node at (-27000, 1759840) and layer 5 moved from (-27680, 2573080).
[WARNING PSM-0032] Node at (2948400, 1759840) and layer 5 moved from (2947300, 2393080).
[WARNING PSM-0032] Node at (2748600, 1759840) and layer 5 moved from (2747720, 2393080).
[WARNING PSM-0032] Node at (2565000, 1759840) and layer 5 moved from (2567720, 2393080).
[WARNING PSM-0032] Node at (2386800, 1759840) and layer 5 moved from (2387720, 2393080).
[WARNING PSM-0032] Node at (2208600, 1759840) and layer 5 moved from (2207720, 2393080).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (2027720, 2393080).
[WARNING PSM-0032] Node at (1128600, 1759840) and layer 5 moved from (1127720, 2393080).
[WARNING PSM-0032] Node at (945000, 1759840) and layer 5 moved from (947720, 2393080).
[WARNING PSM-0032] Node at (766800, 1759840) and layer 5 moved from (767720, 2393080).
[WARNING PSM-0032] Node at (588600, 1759840) and layer 5 moved from (587720, 2393080).
[WARNING PSM-0032] Node at (405000, 1759840) and layer 5 moved from (407720, 2393080).
[WARNING PSM-0032] Node at (226800, 1759840) and layer 5 moved from (227720, 2393080).
[WARNING PSM-0032] Node at (48600, 1759840) and layer 5 moved from (47720, 2393080).
[WARNING PSM-0032] Node at (-27000, 1759840) and layer 5 moved from (-27680, 2393080).
[WARNING PSM-0032] Node at (2948400, 1759840) and layer 5 moved from (2947300, 2213080).
[WARNING PSM-0032] Node at (2748600, 1759840) and layer 5 moved from (2747720, 2213080).
[WARNING PSM-0032] Node at (2565000, 1759840) and layer 5 moved from (2567720, 2213080).
[WARNING PSM-0032] Node at (2386800, 1759840) and layer 5 moved from (2387720, 2213080).
[WARNING PSM-0032] Node at (2208600, 1759840) and layer 5 moved from (2207720, 2213080).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (2027720, 2213080).
[WARNING PSM-0032] Node at (1128600, 1759840) and layer 5 moved from (1127720, 2213080).
[WARNING PSM-0032] Node at (945000, 1759840) and layer 5 moved from (947720, 2213080).
[WARNING PSM-0032] Node at (766800, 1759840) and layer 5 moved from (767720, 2213080).
[WARNING PSM-0032] Node at (588600, 1759840) and layer 5 moved from (587720, 2213080).
[WARNING PSM-0032] Node at (405000, 1759840) and layer 5 moved from (407720, 2213080).
[WARNING PSM-0032] Node at (226800, 1759840) and layer 5 moved from (227720, 2213080).
[WARNING PSM-0032] Node at (48600, 1759840) and layer 5 moved from (47720, 2213080).
[WARNING PSM-0032] Node at (-27000, 1759840) and layer 5 moved from (-27680, 2213080).
[WARNING PSM-0032] Node at (2948400, 1759840) and layer 5 moved from (2947300, 2033080).
[WARNING PSM-0032] Node at (2748600, 1759840) and layer 5 moved from (2747720, 2033080).
[WARNING PSM-0032] Node at (2565000, 1759840) and layer 5 moved from (2567720, 2033080).
[WARNING PSM-0032] Node at (2386800, 1759840) and layer 5 moved from (2387720, 2033080).
[WARNING PSM-0032] Node at (2208600, 1759840) and layer 5 moved from (2207720, 2033080).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (2027720, 2033080).
[WARNING PSM-0032] Node at (1128600, 1759840) and layer 5 moved from (1127720, 2033080).
[WARNING PSM-0032] Node at (945000, 1759840) and layer 5 moved from (947720, 2033080).
[WARNING PSM-0032] Node at (766800, 1759840) and layer 5 moved from (767720, 2033080).
[WARNING PSM-0032] Node at (588600, 1759840) and layer 5 moved from (587720, 2033080).
[WARNING PSM-0032] Node at (405000, 1759840) and layer 5 moved from (407720, 2033080).
[WARNING PSM-0032] Node at (226800, 1759840) and layer 5 moved from (227720, 2033080).
[WARNING PSM-0032] Node at (48600, 1759840) and layer 5 moved from (47720, 2033080).
[WARNING PSM-0032] Node at (-27000, 1759840) and layer 5 moved from (-27680, 2033080).
[WARNING PSM-0032] Node at (2948400, 1759840) and layer 5 moved from (2947300, 1853080).
[WARNING PSM-0032] Node at (2748600, 1759840) and layer 5 moved from (2747720, 1853080).
[WARNING PSM-0032] Node at (2565000, 1759840) and layer 5 moved from (2567720, 1853080).
[WARNING PSM-0032] Node at (2386800, 1759840) and layer 5 moved from (2387720, 1853080).
[WARNING PSM-0032] Node at (2208600, 1759840) and layer 5 moved from (2207720, 1853080).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (2027720, 1853080).
[WARNING PSM-0032] Node at (1128600, 1759840) and layer 5 moved from (1127720, 1853080).
[WARNING PSM-0032] Node at (945000, 1759840) and layer 5 moved from (947720, 1853080).
[WARNING PSM-0032] Node at (766800, 1759840) and layer 5 moved from (767720, 1853080).
[WARNING PSM-0032] Node at (588600, 1759840) and layer 5 moved from (587720, 1853080).
[WARNING PSM-0032] Node at (405000, 1759840) and layer 5 moved from (407720, 1853080).
[WARNING PSM-0032] Node at (226800, 1759840) and layer 5 moved from (227720, 1853080).
[WARNING PSM-0032] Node at (48600, 1759840) and layer 5 moved from (47720, 1853080).
[WARNING PSM-0032] Node at (-27000, 1759840) and layer 5 moved from (-27680, 1853080).
[WARNING PSM-0032] Node at (2948400, 1759840) and layer 5 moved from (2947300, 1673080).
[WARNING PSM-0032] Node at (2748600, 1759840) and layer 5 moved from (2747720, 1673080).
[WARNING PSM-0032] Node at (2565000, 1759840) and layer 5 moved from (2567720, 1673080).
[WARNING PSM-0032] Node at (2386800, 1759840) and layer 5 moved from (2387720, 1673080).
[WARNING PSM-0032] Node at (2208600, 1759840) and layer 5 moved from (2207720, 1673080).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (2027720, 1673080).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (1847720, 1673080).
[WARNING PSM-0032] Node at (1668600, 825665) and layer 5 moved from (1667720, 1673080).
[WARNING PSM-0032] Node at (1485000, 825665) and layer 5 moved from (1487720, 1673080).
[WARNING PSM-0032] Node at (1306800, 825665) and layer 5 moved from (1307720, 1673080).
[WARNING PSM-0032] Node at (1128600, 1759840) and layer 5 moved from (1127720, 1673080).
[WARNING PSM-0032] Node at (945000, 1759840) and layer 5 moved from (947720, 1673080).
[WARNING PSM-0032] Node at (766800, 1759840) and layer 5 moved from (767720, 1673080).
[WARNING PSM-0032] Node at (588600, 1759840) and layer 5 moved from (587720, 1673080).
[WARNING PSM-0032] Node at (405000, 1759840) and layer 5 moved from (407720, 1673080).
[WARNING PSM-0032] Node at (226800, 1759840) and layer 5 moved from (227720, 1673080).
[WARNING PSM-0032] Node at (48600, 1759840) and layer 5 moved from (47720, 1673080).
[WARNING PSM-0032] Node at (-27000, 1759840) and layer 5 moved from (-27680, 1673080).
[WARNING PSM-0032] Node at (2948400, 1759840) and layer 5 moved from (2947300, 1493080).
[WARNING PSM-0032] Node at (2748600, 1759840) and layer 5 moved from (2747720, 1493080).
[WARNING PSM-0032] Node at (2565000, 1759840) and layer 5 moved from (2567720, 1493080).
[WARNING PSM-0032] Node at (2386800, 1759840) and layer 5 moved from (2387720, 1493080).
[WARNING PSM-0032] Node at (2208600, 1759840) and layer 5 moved from (2207720, 1493080).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (2027720, 1493080).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (1847720, 1493080).
[WARNING PSM-0032] Node at (1668600, 825665) and layer 5 moved from (1667720, 1493080).
[WARNING PSM-0032] Node at (1485000, 825665) and layer 5 moved from (1487720, 1493080).
[WARNING PSM-0032] Node at (1306800, 825665) and layer 5 moved from (1307720, 1493080).
[WARNING PSM-0032] Node at (1128600, 1759840) and layer 5 moved from (1127720, 1493080).
[WARNING PSM-0032] Node at (945000, 1759840) and layer 5 moved from (947720, 1493080).
[WARNING PSM-0032] Node at (766800, 1759840) and layer 5 moved from (767720, 1493080).
[WARNING PSM-0032] Node at (588600, 1759840) and layer 5 moved from (587720, 1493080).
[WARNING PSM-0032] Node at (405000, 1759840) and layer 5 moved from (407720, 1493080).
[WARNING PSM-0032] Node at (226800, 1759840) and layer 5 moved from (227720, 1493080).
[WARNING PSM-0032] Node at (48600, 1759840) and layer 5 moved from (47720, 1493080).
[WARNING PSM-0032] Node at (-27000, 1759840) and layer 5 moved from (-27680, 1493080).
[WARNING PSM-0032] Node at (2948400, 1759840) and layer 5 moved from (2947300, 1313080).
[WARNING PSM-0032] Node at (2748600, 1759840) and layer 5 moved from (2747720, 1313080).
[WARNING PSM-0032] Node at (2565000, 1759840) and layer 5 moved from (2567720, 1313080).
[WARNING PSM-0032] Node at (2386800, 1759840) and layer 5 moved from (2387720, 1313080).
[WARNING PSM-0032] Node at (2208600, 1759840) and layer 5 moved from (2207720, 1313080).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (2027720, 1313080).
[WARNING PSM-0032] Node at (1846800, 825665) and layer 5 moved from (1847720, 1313080).
[WARNING PSM-0032] Node at (1668600, 825665) and layer 5 moved from (1667720, 1313080).
[WARNING PSM-0032] Node at (1485000, 825665) and layer 5 moved from (1487720, 1313080).
[WARNING PSM-0032] Node at (1306800, 825665) and layer 5 moved from (1307720, 1313080).
[WARNING PSM-0032] Node at (1128600, 1759840) and layer 5 moved from (1127720, 1313080).
[WARNING PSM-0032] Node at (945000, 1759840) and layer 5 moved from (947720, 1313080).
[WARNING PSM-0032] Node at (766800, 1759840) and layer 5 moved from (767720, 1313080).
[WARNING PSM-0032] Node at (588600, 1759840) and layer 5 moved from (587720, 1313080).
[WARNING PSM-0032] Node at (405000, 1759840) and layer 5 moved from (407720, 1313080).
[WARNING PSM-0032] Node at (226800, 1759840) and layer 5 moved from (227720, 1313080).
[WARNING PSM-0032] Node at (48600, 1759840) and layer 5 moved from (47720, 1313080).
[WARNING PSM-0032] Node at (-27000, 1759840) and layer 5 moved from (-27680, 1313080).
[WARNING PSM-0032] Node at (2948400, 1759840) and layer 5 moved from (2947300, 1133080).
[WARNING PSM-0032] Node at (2748600, 1759840) and layer 5 moved from (2747720, 1133080).
[WARNING PSM-0032] Node at (2565000, 1759840) and layer 5 moved from (2567720, 1133080).
[WARNING PSM-0032] Node at (2386800, 1759840) and layer 5 moved from (2387720, 1133080).
[WARNING PSM-0032] Node at (2208600, 1759840) and layer 5 moved from (2207720, 1133080).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (2027720, 1133080).
[WARNING PSM-0032] Node at (1846800, 825665) and layer 5 moved from (1847720, 1133080).
[WARNING PSM-0032] Node at (1668600, 825665) and layer 5 moved from (1667720, 1133080).
[WARNING PSM-0032] Node at (1485000, 825665) and layer 5 moved from (1487720, 1133080).
[WARNING PSM-0032] Node at (1306800, 825665) and layer 5 moved from (1307720, 1133080).
[WARNING PSM-0032] Node at (1128600, 1759840) and layer 5 moved from (1127720, 1133080).
[WARNING PSM-0032] Node at (945000, 1759840) and layer 5 moved from (947720, 1133080).
[WARNING PSM-0032] Node at (766800, 1759840) and layer 5 moved from (767720, 1133080).
[WARNING PSM-0032] Node at (588600, 1759840) and layer 5 moved from (587720, 1133080).
[WARNING PSM-0032] Node at (405000, 1759840) and layer 5 moved from (407720, 1133080).
[WARNING PSM-0032] Node at (226800, 1759840) and layer 5 moved from (227720, 1133080).
[WARNING PSM-0032] Node at (48600, 1759840) and layer 5 moved from (47720, 1133080).
[WARNING PSM-0032] Node at (-27000, 1759840) and layer 5 moved from (-27680, 1133080).
[WARNING PSM-0032] Node at (2948400, 1759840) and layer 5 moved from (2947300, 953080).
[WARNING PSM-0032] Node at (2748600, 1759840) and layer 5 moved from (2747720, 953080).
[WARNING PSM-0032] Node at (2565000, 1759840) and layer 5 moved from (2567720, 953080).
[WARNING PSM-0032] Node at (2386800, 1759840) and layer 5 moved from (2387720, 953080).
[WARNING PSM-0032] Node at (2208600, 1759840) and layer 5 moved from (2207720, 953080).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (2027720, 953080).
[WARNING PSM-0032] Node at (1846800, 825665) and layer 5 moved from (1847720, 953080).
[WARNING PSM-0032] Node at (1668600, 825665) and layer 5 moved from (1667720, 953080).
[WARNING PSM-0032] Node at (1485000, 825665) and layer 5 moved from (1487720, 953080).
[WARNING PSM-0032] Node at (1306800, 825665) and layer 5 moved from (1307720, 953080).
[WARNING PSM-0032] Node at (1128600, 1759840) and layer 5 moved from (1127720, 953080).
[WARNING PSM-0032] Node at (945000, 1759840) and layer 5 moved from (947720, 953080).
[WARNING PSM-0032] Node at (766800, 1759840) and layer 5 moved from (767720, 953080).
[WARNING PSM-0032] Node at (588600, 1759840) and layer 5 moved from (587720, 953080).
[WARNING PSM-0032] Node at (405000, 1759840) and layer 5 moved from (407720, 953080).
[WARNING PSM-0032] Node at (226800, 1759840) and layer 5 moved from (227720, 953080).
[WARNING PSM-0032] Node at (48600, 1759840) and layer 5 moved from (47720, 953080).
[WARNING PSM-0032] Node at (-27000, 1759840) and layer 5 moved from (-27680, 953080).
[WARNING PSM-0032] Node at (2948400, 1759840) and layer 5 moved from (2947300, 773080).
[WARNING PSM-0032] Node at (2748600, 1759840) and layer 5 moved from (2747720, 773080).
[WARNING PSM-0032] Node at (2565000, 1759840) and layer 5 moved from (2567720, 773080).
[WARNING PSM-0032] Node at (2386800, 1759840) and layer 5 moved from (2387720, 773080).
[WARNING PSM-0032] Node at (2208600, 1759840) and layer 5 moved from (2207720, 773080).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (2027720, 773080).
[WARNING PSM-0032] Node at (1846800, 825665) and layer 5 moved from (1847720, 773080).
[WARNING PSM-0032] Node at (1668600, 825665) and layer 5 moved from (1667720, 773080).
[WARNING PSM-0032] Node at (1485000, 825665) and layer 5 moved from (1487720, 773080).
[WARNING PSM-0032] Node at (1306800, 825665) and layer 5 moved from (1307720, 773080).
[WARNING PSM-0032] Node at (1128600, 1759840) and layer 5 moved from (1127720, 773080).
[WARNING PSM-0032] Node at (945000, 1759840) and layer 5 moved from (947720, 773080).
[WARNING PSM-0032] Node at (766800, 1759840) and layer 5 moved from (767720, 773080).
[WARNING PSM-0032] Node at (588600, 1759840) and layer 5 moved from (587720, 773080).
[WARNING PSM-0032] Node at (405000, 1759840) and layer 5 moved from (407720, 773080).
[WARNING PSM-0032] Node at (226800, 1759840) and layer 5 moved from (227720, 773080).
[WARNING PSM-0032] Node at (48600, 1759840) and layer 5 moved from (47720, 773080).
[WARNING PSM-0032] Node at (-27000, 1759840) and layer 5 moved from (-27680, 773080).
[WARNING PSM-0032] Node at (2948400, 1759840) and layer 5 moved from (2947300, 593080).
[WARNING PSM-0032] Node at (2748600, 1759840) and layer 5 moved from (2747720, 593080).
[WARNING PSM-0032] Node at (2565000, 1759840) and layer 5 moved from (2567720, 593080).
[WARNING PSM-0032] Node at (2386800, 1759840) and layer 5 moved from (2387720, 593080).
[WARNING PSM-0032] Node at (2208600, 1759840) and layer 5 moved from (2207720, 593080).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (2027720, 593080).
[WARNING PSM-0032] Node at (1846800, 825665) and layer 5 moved from (1847720, 593080).
[WARNING PSM-0032] Node at (1668600, 825665) and layer 5 moved from (1667720, 593080).
[WARNING PSM-0032] Node at (1485000, 825665) and layer 5 moved from (1487720, 593080).
[WARNING PSM-0032] Node at (1306800, 825665) and layer 5 moved from (1307720, 593080).
[WARNING PSM-0032] Node at (1128600, 1759840) and layer 5 moved from (1127720, 593080).
[WARNING PSM-0032] Node at (945000, 1759840) and layer 5 moved from (947720, 593080).
[WARNING PSM-0032] Node at (766800, 1759840) and layer 5 moved from (767720, 593080).
[WARNING PSM-0032] Node at (588600, 1759840) and layer 5 moved from (587720, 593080).
[WARNING PSM-0032] Node at (405000, 1759840) and layer 5 moved from (407720, 593080).
[WARNING PSM-0032] Node at (226800, 1759840) and layer 5 moved from (227720, 593080).
[WARNING PSM-0032] Node at (48600, 1759840) and layer 5 moved from (47720, 593080).
[WARNING PSM-0032] Node at (-27000, 1759840) and layer 5 moved from (-27680, 593080).
[WARNING PSM-0032] Node at (2948400, 1759840) and layer 5 moved from (2947300, 413080).
[WARNING PSM-0032] Node at (2748600, 1759840) and layer 5 moved from (2747720, 413080).
[WARNING PSM-0032] Node at (2565000, 1759840) and layer 5 moved from (2567720, 413080).
[WARNING PSM-0032] Node at (2386800, 1759840) and layer 5 moved from (2387720, 413080).
[WARNING PSM-0032] Node at (2208600, 1759840) and layer 5 moved from (2207720, 413080).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (2027720, 413080).
[WARNING PSM-0032] Node at (1846800, 825665) and layer 5 moved from (1847720, 413080).
[WARNING PSM-0032] Node at (1668600, 825665) and layer 5 moved from (1667720, 413080).
[WARNING PSM-0032] Node at (1485000, 825665) and layer 5 moved from (1487720, 413080).
[WARNING PSM-0032] Node at (1306800, 825665) and layer 5 moved from (1307720, 413080).
[WARNING PSM-0032] Node at (1128600, 1759840) and layer 5 moved from (1127720, 413080).
[WARNING PSM-0032] Node at (945000, 1759840) and layer 5 moved from (947720, 413080).
[WARNING PSM-0032] Node at (766800, 1759840) and layer 5 moved from (767720, 413080).
[WARNING PSM-0032] Node at (588600, 1759840) and layer 5 moved from (587720, 413080).
[WARNING PSM-0032] Node at (405000, 1759840) and layer 5 moved from (407720, 413080).
[WARNING PSM-0032] Node at (226800, 1759840) and layer 5 moved from (227720, 413080).
[WARNING PSM-0032] Node at (48600, 1759840) and layer 5 moved from (47720, 413080).
[WARNING PSM-0032] Node at (-27000, 1759840) and layer 5 moved from (-27680, 413080).
[WARNING PSM-0032] Node at (2948400, 1759840) and layer 5 moved from (2947300, 233080).
[WARNING PSM-0032] Node at (2748600, 1759840) and layer 5 moved from (2747720, 233080).
[WARNING PSM-0032] Node at (2565000, 1759840) and layer 5 moved from (2567720, 233080).
[WARNING PSM-0032] Node at (2386800, 1759840) and layer 5 moved from (2387720, 233080).
[WARNING PSM-0032] Node at (2208600, 1759840) and layer 5 moved from (2207720, 233080).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (2027720, 233080).
[WARNING PSM-0032] Node at (1846800, 825665) and layer 5 moved from (1847720, 233080).
[WARNING PSM-0032] Node at (1668600, 825665) and layer 5 moved from (1667720, 233080).
[WARNING PSM-0032] Node at (1485000, 825665) and layer 5 moved from (1487720, 233080).
[WARNING PSM-0032] Node at (1306800, 825665) and layer 5 moved from (1307720, 233080).
[WARNING PSM-0032] Node at (1128600, 1759840) and layer 5 moved from (1127720, 233080).
[WARNING PSM-0032] Node at (945000, 1759840) and layer 5 moved from (947720, 233080).
[WARNING PSM-0032] Node at (766800, 1759840) and layer 5 moved from (767720, 233080).
[WARNING PSM-0032] Node at (588600, 1759840) and layer 5 moved from (587720, 233080).
[WARNING PSM-0032] Node at (405000, 1759840) and layer 5 moved from (407720, 233080).
[WARNING PSM-0032] Node at (226800, 1759840) and layer 5 moved from (227720, 233080).
[WARNING PSM-0032] Node at (48600, 1759840) and layer 5 moved from (47720, 233080).
[WARNING PSM-0032] Node at (-27000, 1759840) and layer 5 moved from (-27680, 233080).
[WARNING PSM-0032] Node at (2948400, 1759840) and layer 5 moved from (2947300, 53080).
[WARNING PSM-0032] Node at (2748600, 1759840) and layer 5 moved from (2747720, 53080).
[WARNING PSM-0032] Node at (2565000, 1759840) and layer 5 moved from (2567720, 53080).
[WARNING PSM-0032] Node at (2386800, 1759840) and layer 5 moved from (2387720, 53080).
[WARNING PSM-0032] Node at (2208600, 1759840) and layer 5 moved from (2207720, 53080).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (2027720, 53080).
[WARNING PSM-0032] Node at (1846800, 825665) and layer 5 moved from (1847720, 53080).
[WARNING PSM-0032] Node at (1668600, 825665) and layer 5 moved from (1667720, 53080).
[WARNING PSM-0032] Node at (1485000, 825665) and layer 5 moved from (1487720, 53080).
[WARNING PSM-0032] Node at (1306800, 825665) and layer 5 moved from (1307720, 53080).
[WARNING PSM-0032] Node at (1128600, 1759840) and layer 5 moved from (1127720, 53080).
[WARNING PSM-0032] Node at (945000, 1759840) and layer 5 moved from (947720, 53080).
[WARNING PSM-0032] Node at (766800, 1759840) and layer 5 moved from (767720, 53080).
[WARNING PSM-0032] Node at (588600, 1759840) and layer 5 moved from (587720, 53080).
[WARNING PSM-0032] Node at (405000, 1759840) and layer 5 moved from (407720, 53080).
[WARNING PSM-0032] Node at (226800, 1759840) and layer 5 moved from (227720, 53080).
[WARNING PSM-0032] Node at (48600, 1759840) and layer 5 moved from (47720, 53080).
[WARNING PSM-0032] Node at (-27000, 1759840) and layer 5 moved from (-27680, 53080).
[WARNING PSM-0032] Node at (2948400, 1759840) and layer 5 moved from (2947300, -22320).
[WARNING PSM-0032] Node at (2748600, 1759840) and layer 5 moved from (2747720, -22320).
[WARNING PSM-0032] Node at (2565000, 1759840) and layer 5 moved from (2567720, -22320).
[WARNING PSM-0032] Node at (2386800, 1759840) and layer 5 moved from (2387720, -22320).
[WARNING PSM-0032] Node at (2208600, 1759840) and layer 5 moved from (2207720, -22320).
[WARNING PSM-0032] Node at (2025000, 1759840) and layer 5 moved from (2027720, -22320).
[WARNING PSM-0032] Node at (1846800, 825665) and layer 5 moved from (1847720, -22320).
[WARNING PSM-0032] Node at (1668600, 825665) and layer 5 moved from (1667720, -22320).
[WARNING PSM-0032] Node at (1485000, 825665) and layer 5 moved from (1487720, -22320).
[WARNING PSM-0032] Node at (1306800, 825665) and layer 5 moved from (1307720, -22320).
[WARNING PSM-0032] Node at (1128600, 1759840) and layer 5 moved from (1127720, -22320).
[WARNING PSM-0032] Node at (945000, 1759840) and layer 5 moved from (947720, -22320).
[WARNING PSM-0032] Node at (766800, 1759840) and layer 5 moved from (767720, -22320).
[WARNING PSM-0032] Node at (588600, 1759840) and layer 5 moved from (587720, -22320).
[WARNING PSM-0032] Node at (405000, 1759840) and layer 5 moved from (407720, -22320).
[WARNING PSM-0032] Node at (226800, 1759840) and layer 5 moved from (227720, -22320).
[WARNING PSM-0032] Node at (48600, 1759840) and layer 5 moved from (47720, -22320).
[WARNING PSM-0032] Node at (-27000, 1759840) and layer 5 moved from (-27680, -22320).
[INFO PSM-0064] Number of voltage sources = 88.
[INFO PSM-0040] All PDN stripes on net vdda1 are connected.
########## IR report #################
Worstcase voltage: 1.80e+00 V
Average IR drop : 1.93e-14 V
Worstcase IR drop: 5.30e-12 V
######################################
[INFO]: PDN generation was successful.
[INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/7-pdn.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/8-pdn.def
[WARNING]: All internal macros will not be connected to power.
[INFO]: Incremented step index to 8.
[INFO]: Generating PDN...
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/8-pdn.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0130] Created 643 pins.
[INFO ODB-0131] Created 1 components and 108 component-terminals.
[INFO ODB-0132] Created 6 special nets and 0 connections.
[INFO ODB-0133] Created 637 nets and 106 connections.
[INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/8-pdn.def
[INFO PDN-0016] Power Delivery Network Generator: Generating PDN
config: /openlane/scripts/openroad/pdn_cfg.tcl
[INFO PDN-0008] Design name is user_project_wrapper.
[INFO PDN-0009] Reading technology data.
[INFO PDN-0011] ****** INFO ******
Type: stdcell, stdcell_grid
Core Rings
Layer: met4 - width: 3.100 spacing: 1.700 core_offset: 42.800
Layer: met5 - width: 3.100 spacing: 1.700 core_offset: 42.800
Straps
Layer: met4 - width: 3.100 pitch: 180.000 offset: 60.800
Layer: met5 - width: 3.100 pitch: 180.000 offset: 60.800
Connect: {met4 met5}
Type: macro, CORE_macro_grid_1 -grid_over_pg_pins
Macro orientation: R0 R180 MX MY R90 R270 MXR90 MYR90
[INFO PDN-0012] **** END INFO ****
[INFO PDN-0013] Inserting stdcell grid - stdcell_grid.
[INFO PDN-0010] Inserting macro grid for 1 macros.
[INFO PDN-0034] - grid CORE_macro_grid_1 for instance fossiAES
[INFO PDN-0015] Writing to database.
[INFO]: Setting RC values...
[INFO PSM-0002] Output voltage file is specified as: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/9-pdn.pga.rpt.
[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.
[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.
[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.
[WARNING PSM-0019] Voltage on net vdda2 is not explicitly set.
[WARNING PSM-0022] Using voltage 1.800V for VDD network.
[WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction
[WARNING PSM-0030] VSRC location at (5.520um, 10.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, -31.920um).
[WARNING PSM-0030] VSRC location at (845.520um, 10.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, -31.920um).
[WARNING PSM-0030] VSRC location at (1685.520um, 10.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, -31.920um).
[WARNING PSM-0030] VSRC location at (2525.520um, 10.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, -31.920um).
[WARNING PSM-0030] VSRC location at (285.520um, 150.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 71.680um).
[WARNING PSM-0030] VSRC location at (1125.520um, 150.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 71.680um).
[WARNING PSM-0030] VSRC location at (1965.520um, 150.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 71.680um).
[WARNING PSM-0030] VSRC location at (2805.520um, 150.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 71.680um).
[WARNING PSM-0030] VSRC location at (565.520um, 290.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 251.680um).
[WARNING PSM-0030] VSRC location at (1405.520um, 290.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 251.680um).
[WARNING PSM-0030] VSRC location at (2245.520um, 290.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 251.680um).
[WARNING PSM-0030] VSRC location at (285.520um, 570.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 611.680um).
[WARNING PSM-0030] VSRC location at (1125.520um, 570.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 611.680um).
[WARNING PSM-0030] VSRC location at (1965.520um, 570.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 611.680um).
[WARNING PSM-0030] VSRC location at (2805.520um, 570.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 611.680um).
[WARNING PSM-0030] VSRC location at (565.520um, 710.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 791.680um).
[WARNING PSM-0030] VSRC location at (1405.520um, 710.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 791.680um).
[WARNING PSM-0030] VSRC location at (2245.520um, 710.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 791.680um).
[WARNING PSM-0030] VSRC location at (5.520um, 850.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 791.680um).
[WARNING PSM-0030] VSRC location at (845.520um, 850.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 791.680um).
[WARNING PSM-0030] VSRC location at (1685.520um, 850.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 791.680um).
[WARNING PSM-0030] VSRC location at (2525.520um, 850.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 791.680um).
[WARNING PSM-0030] VSRC location at (285.520um, 990.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 971.680um).
[WARNING PSM-0030] VSRC location at (1125.520um, 990.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 971.680um).
[WARNING PSM-0030] VSRC location at (1965.520um, 990.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 971.680um).
[WARNING PSM-0030] VSRC location at (2805.520um, 990.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 971.680um).
[WARNING PSM-0030] VSRC location at (565.520um, 1130.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 1151.680um).
[WARNING PSM-0030] VSRC location at (1405.520um, 1130.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 1151.680um).
[WARNING PSM-0030] VSRC location at (2245.520um, 1130.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 1151.680um).
[WARNING PSM-0030] VSRC location at (5.520um, 1270.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 1331.680um).
[WARNING PSM-0030] VSRC location at (845.520um, 1270.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 1331.680um).
[WARNING PSM-0030] VSRC location at (1685.520um, 1270.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 1331.680um).
[WARNING PSM-0030] VSRC location at (2525.520um, 1270.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 1331.680um).
[WARNING PSM-0030] VSRC location at (285.520um, 1410.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 1331.680um).
[WARNING PSM-0030] VSRC location at (1125.520um, 1410.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 1331.680um).
[WARNING PSM-0030] VSRC location at (1965.520um, 1410.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 1331.680um).
[WARNING PSM-0030] VSRC location at (2805.520um, 1410.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 1331.680um).
[WARNING PSM-0030] VSRC location at (565.520um, 1550.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 1511.680um).
[WARNING PSM-0030] VSRC location at (1405.520um, 1550.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 1511.680um).
[WARNING PSM-0030] VSRC location at (2245.520um, 1550.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 1511.680um).
[WARNING PSM-0030] VSRC location at (285.520um, 1830.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 1871.680um).
[WARNING PSM-0030] VSRC location at (1125.520um, 1830.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 1871.680um).
[WARNING PSM-0030] VSRC location at (1965.520um, 1830.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 1871.680um).
[WARNING PSM-0030] VSRC location at (2805.520um, 1830.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 1871.680um).
[WARNING PSM-0030] VSRC location at (565.520um, 1970.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 2051.680um).
[WARNING PSM-0030] VSRC location at (1405.520um, 1970.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 2051.680um).
[WARNING PSM-0030] VSRC location at (2245.520um, 1970.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 2051.680um).
[WARNING PSM-0030] VSRC location at (5.520um, 2110.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 2051.680um).
[WARNING PSM-0030] VSRC location at (845.520um, 2110.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 2051.680um).
[WARNING PSM-0030] VSRC location at (1685.520um, 2110.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 2051.680um).
[WARNING PSM-0030] VSRC location at (2525.520um, 2110.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 2051.680um).
[WARNING PSM-0030] VSRC location at (285.520um, 2250.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 2231.680um).
[WARNING PSM-0030] VSRC location at (1125.520um, 2250.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 2231.680um).
[WARNING PSM-0030] VSRC location at (1965.520um, 2250.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 2231.680um).
[WARNING PSM-0030] VSRC location at (2805.520um, 2250.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 2231.680um).
[WARNING PSM-0030] VSRC location at (565.520um, 2390.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 2411.680um).
[WARNING PSM-0030] VSRC location at (1405.520um, 2390.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 2411.680um).
[WARNING PSM-0030] VSRC location at (2245.520um, 2390.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 2411.680um).
[WARNING PSM-0030] VSRC location at (5.520um, 2530.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (5.400um, 2591.680um).
[WARNING PSM-0030] VSRC location at (845.520um, 2530.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (847.800um, 2591.680um).
[WARNING PSM-0030] VSRC location at (1685.520um, 2530.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1684.800um, 2591.680um).
[WARNING PSM-0030] VSRC location at (2525.520um, 2530.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2527.200um, 2591.680um).
[WARNING PSM-0030] VSRC location at (285.520um, 2670.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 2591.680um).
[WARNING PSM-0030] VSRC location at (1125.520um, 2670.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 2591.680um).
[WARNING PSM-0030] VSRC location at (1965.520um, 2670.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 2591.680um).
[WARNING PSM-0030] VSRC location at (2805.520um, 2670.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 2591.680um).
[WARNING PSM-0030] VSRC location at (565.520um, 2810.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 2771.680um).
[WARNING PSM-0030] VSRC location at (1405.520um, 2810.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 2771.680um).
[WARNING PSM-0030] VSRC location at (2245.520um, 2810.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 2771.680um).
[WARNING PSM-0030] VSRC location at (285.520um, 3090.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (286.200um, 3131.680um).
[WARNING PSM-0030] VSRC location at (1125.520um, 3090.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1123.200um, 3131.680um).
[WARNING PSM-0030] VSRC location at (1965.520um, 3090.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1965.600um, 3131.680um).
[WARNING PSM-0030] VSRC location at (2805.520um, 3090.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2808.000um, 3131.680um).
[WARNING PSM-0030] VSRC location at (565.520um, 3230.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (567.000um, 3311.680um).
[WARNING PSM-0030] VSRC location at (1405.520um, 3230.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (1404.000um, 3311.680um).
[WARNING PSM-0030] VSRC location at (2245.520um, 3230.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (2246.400um, 3311.680um).
[INFO PSM-0031] Number of PDN nodes on net vdda2 = 12286.
[WARNING PSM-0032] Node at (2953800, 1759840) and layer 5 moved from (2956900, 3551600).
[WARNING PSM-0032] Node at (2764800, 1759840) and layer 5 moved from (2766320, 3551600).
[WARNING PSM-0032] Node at (2586600, 1759840) and layer 5 moved from (2586320, 3551600).
[WARNING PSM-0032] Node at (2403000, 1759840) and layer 5 moved from (2406320, 3551600).
[WARNING PSM-0032] Node at (2224800, 1759840) and layer 5 moved from (2226320, 3551600).
[WARNING PSM-0032] Node at (2046600, 1759840) and layer 5 moved from (2046320, 3551600).
[WARNING PSM-0032] Node at (1863000, 3028975) and layer 5 moved from (1866320, 3551600).
[WARNING PSM-0032] Node at (1684800, 3028975) and layer 5 moved from (1686320, 3551600).
[WARNING PSM-0032] Node at (1506600, 3028975) and layer 5 moved from (1506320, 3551600).
[WARNING PSM-0032] Node at (1323000, 3028975) and layer 5 moved from (1326320, 3551600).
[WARNING PSM-0032] Node at (1323000, 3028975) and layer 5 moved from (1146320, 3551600).
[WARNING PSM-0032] Node at (966600, 1759840) and layer 5 moved from (966320, 3551600).
[WARNING PSM-0032] Node at (783000, 1759840) and layer 5 moved from (786320, 3551600).
[WARNING PSM-0032] Node at (604800, 1759840) and layer 5 moved from (606320, 3551600).
[WARNING PSM-0032] Node at (426600, 1759840) and layer 5 moved from (426320, 3551600).
[WARNING PSM-0032] Node at (243000, 1759840) and layer 5 moved from (246320, 3551600).
[WARNING PSM-0032] Node at (64800, 1759840) and layer 5 moved from (66320, 3551600).
[WARNING PSM-0032] Node at (-37800, 1759840) and layer 5 moved from (-37280, 3551600).
[WARNING PSM-0032] Node at (2953800, 1759840) and layer 5 moved from (2956900, 3491680).
[WARNING PSM-0032] Node at (2764800, 1759840) and layer 5 moved from (2766320, 3491680).
[WARNING PSM-0032] Node at (2586600, 1759840) and layer 5 moved from (2586320, 3491680).
[WARNING PSM-0032] Node at (2403000, 1759840) and layer 5 moved from (2406320, 3491680).
[WARNING PSM-0032] Node at (2224800, 1759840) and layer 5 moved from (2226320, 3491680).
[WARNING PSM-0032] Node at (2046600, 1759840) and layer 5 moved from (2046320, 3491680).
[WARNING PSM-0032] Node at (1863000, 3028975) and layer 5 moved from (1866320, 3491680).
[WARNING PSM-0032] Node at (1684800, 3028975) and layer 5 moved from (1686320, 3491680).
[WARNING PSM-0032] Node at (1506600, 3028975) and layer 5 moved from (1506320, 3491680).
[WARNING PSM-0032] Node at (1323000, 3028975) and layer 5 moved from (1326320, 3491680).
[WARNING PSM-0032] Node at (1323000, 3028975) and layer 5 moved from (1146320, 3491680).
[WARNING PSM-0032] Node at (966600, 1759840) and layer 5 moved from (966320, 3491680).
[WARNING PSM-0032] Node at (783000, 1759840) and layer 5 moved from (786320, 3491680).
[WARNING PSM-0032] Node at (604800, 1759840) and layer 5 moved from (606320, 3491680).
[WARNING PSM-0032] Node at (426600, 1759840) and layer 5 moved from (426320, 3491680).
[WARNING PSM-0032] Node at (243000, 1759840) and layer 5 moved from (246320, 3491680).
[WARNING PSM-0032] Node at (64800, 1759840) and layer 5 moved from (66320, 3491680).
[WARNING PSM-0032] Node at (-37800, 1759840) and layer 5 moved from (-37280, 3491680).
[WARNING PSM-0032] Node at (2953800, 1759840) and layer 5 moved from (2956900, 3311680).
[WARNING PSM-0032] Node at (2764800, 1759840) and layer 5 moved from (2766320, 3311680).
[WARNING PSM-0032] Node at (2586600, 1759840) and layer 5 moved from (2586320, 3311680).
[WARNING PSM-0032] Node at (2403000, 1759840) and layer 5 moved from (2406320, 3311680).
[WARNING PSM-0032] Node at (2224800, 1759840) and layer 5 moved from (2226320, 3311680).
[WARNING PSM-0032] Node at (2046600, 1759840) and layer 5 moved from (2046320, 3311680).
[WARNING PSM-0032] Node at (1863000, 3028975) and layer 5 moved from (1866320, 3311680).
[WARNING PSM-0032] Node at (1684800, 3028975) and layer 5 moved from (1686320, 3311680).
[WARNING PSM-0032] Node at (1506600, 3028975) and layer 5 moved from (1506320, 3311680).
[WARNING PSM-0032] Node at (1323000, 3028975) and layer 5 moved from (1326320, 3311680).
[WARNING PSM-0032] Node at (1323000, 3028975) and layer 5 moved from (1146320, 3311680).
[WARNING PSM-0032] Node at (966600, 1759840) and layer 5 moved from (966320, 3311680).
[WARNING PSM-0032] Node at (783000, 1759840) and layer 5 moved from (786320, 3311680).
[WARNING PSM-0032] Node at (604800, 1759840) and layer 5 moved from (606320, 3311680).
[WARNING PSM-0032] Node at (426600, 1759840) and layer 5 moved from (426320, 3311680).
[WARNING PSM-0032] Node at (243000, 1759840) and layer 5 moved from (246320, 3311680).
[WARNING PSM-0032] Node at (64800, 1759840) and layer 5 moved from (66320, 3311680).
[WARNING PSM-0032] Node at (-37800, 1759840) and layer 5 moved from (-37280, 3311680).
[WARNING PSM-0032] Node at (2953800, 1759840) and layer 5 moved from (2956900, 3131680).
[WARNING PSM-0032] Node at (2764800, 1759840) and layer 5 moved from (2766320, 3131680).
[WARNING PSM-0032] Node at (2586600, 1759840) and layer 5 moved from (2586320, 3131680).
[WARNING PSM-0032] Node at (2403000, 1759840) and layer 5 moved from (2406320, 3131680).
[WARNING PSM-0032] Node at (2224800, 1759840) and layer 5 moved from (2226320, 3131680).
[WARNING PSM-0032] Node at (2046600, 1759840) and layer 5 moved from (2046320, 3131680).
[WARNING PSM-0032] Node at (1863000, 3028975) and layer 5 moved from (1866320, 3131680).
[WARNING PSM-0032] Node at (1684800, 3028975) and layer 5 moved from (1686320, 3131680).
[WARNING PSM-0032] Node at (1506600, 3028975) and layer 5 moved from (1506320, 3131680).
[WARNING PSM-0032] Node at (1323000, 3028975) and layer 5 moved from (1326320, 3131680).
[WARNING PSM-0032] Node at (1323000, 3028975) and layer 5 moved from (1146320, 3131680).
[WARNING PSM-0032] Node at (966600, 1759840) and layer 5 moved from (966320, 3131680).
[WARNING PSM-0032] Node at (783000, 1759840) and layer 5 moved from (786320, 3131680).
[WARNING PSM-0032] Node at (604800, 1759840) and layer 5 moved from (606320, 3131680).
[WARNING PSM-0032] Node at (426600, 1759840) and layer 5 moved from (426320, 3131680).
[WARNING PSM-0032] Node at (243000, 1759840) and layer 5 moved from (246320, 3131680).
[WARNING PSM-0032] Node at (64800, 1759840) and layer 5 moved from (66320, 3131680).
[WARNING PSM-0032] Node at (-37800, 1759840) and layer 5 moved from (-37280, 3131680).
[WARNING PSM-0032] Node at (2953800, 1759840) and layer 5 moved from (2956900, 2951680).
[WARNING PSM-0032] Node at (2764800, 1759840) and layer 5 moved from (2766320, 2951680).
[WARNING PSM-0032] Node at (2586600, 1759840) and layer 5 moved from (2586320, 2951680).
[WARNING PSM-0032] Node at (2403000, 1759840) and layer 5 moved from (2406320, 2951680).
[WARNING PSM-0032] Node at (2224800, 1759840) and layer 5 moved from (2226320, 2951680).
[WARNING PSM-0032] Node at (2046600, 1759840) and layer 5 moved from (2046320, 2951680).
[WARNING PSM-0032] Node at (1863000, 3028975) and layer 5 moved from (1866320, 2951680).
[WARNING PSM-0032] Node at (1684800, 3028975) and layer 5 moved from (1686320, 2951680).
[WARNING PSM-0032] Node at (1506600, 3028975) and layer 5 moved from (1506320, 2951680).
[WARNING PSM-0032] Node at (1323000, 3028975) and layer 5 moved from (1326320, 2951680).
[WARNING PSM-0032] Node at (1323000, 3028975) and layer 5 moved from (1146320, 2951680).
[WARNING PSM-0032] Node at (966600, 1759840) and layer 5 moved from (966320, 2951680).
[WARNING PSM-0032] Node at (783000, 1759840) and layer 5 moved from (786320, 2951680).
[WARNING PSM-0032] Node at (604800, 1759840) and layer 5 moved from (606320, 2951680).
[WARNING PSM-0032] Node at (426600, 1759840) and layer 5 moved from (426320, 2951680).
[WARNING PSM-0032] Node at (243000, 1759840) and layer 5 moved from (246320, 2951680).
[WARNING PSM-0032] Node at (64800, 1759840) and layer 5 moved from (66320, 2951680).
[WARNING PSM-0032] Node at (-37800, 1759840) and layer 5 moved from (-37280, 2951680).
[WARNING PSM-0032] Node at (2953800, 1759840) and layer 5 moved from (2956900, 2771680).
[WARNING PSM-0032] Node at (2764800, 1759840) and layer 5 moved from (2766320, 2771680).
[WARNING PSM-0032] Node at (2586600, 1759840) and layer 5 moved from (2586320, 2771680).
[WARNING PSM-0032] Node at (2403000, 1759840) and layer 5 moved from (2406320, 2771680).
[WARNING PSM-0032] Node at (2224800, 1759840) and layer 5 moved from (2226320, 2771680).
[WARNING PSM-0032] Node at (2046600, 1759840) and layer 5 moved from (2046320, 2771680).
[WARNING PSM-0032] Node at (1863000, 3028975) and layer 5 moved from (1866320, 2771680).
[WARNING PSM-0032] Node at (1684800, 3028975) and layer 5 moved from (1686320, 2771680).
[WARNING PSM-0032] Node at (1506600, 3028975) and layer 5 moved from (1506320, 2771680).
[WARNING PSM-0032] Node at (1323000, 3028975) and layer 5 moved from (1326320, 2771680).
[WARNING PSM-0032] Node at (1323000, 3028975) and layer 5 moved from (1146320, 2771680).
[WARNING PSM-0032] Node at (966600, 1759840) and layer 5 moved from (966320, 2771680).
[WARNING PSM-0032] Node at (783000, 1759840) and layer 5 moved from (786320, 2771680).
[WARNING PSM-0032] Node at (604800, 1759840) and layer 5 moved from (606320, 2771680).
[WARNING PSM-0032] Node at (426600, 1759840) and layer 5 moved from (426320, 2771680).
[WARNING PSM-0032] Node at (243000, 1759840) and layer 5 moved from (246320, 2771680).
[WARNING PSM-0032] Node at (64800, 1759840) and layer 5 moved from (66320, 2771680).
[WARNING PSM-0032] Node at (-37800, 1759840) and layer 5 moved from (-37280, 2771680).
[WARNING PSM-0032] Node at (2953800, 1759840) and layer 5 moved from (2956900, 2591680).
[WARNING PSM-0032] Node at (2764800, 1759840) and layer 5 moved from (2766320, 2591680).
[WARNING PSM-0032] Node at (2586600, 1759840) and layer 5 moved from (2586320, 2591680).
[WARNING PSM-0032] Node at (2403000, 1759840) and layer 5 moved from (2406320, 2591680).
[WARNING PSM-0032] Node at (2224800, 1759840) and layer 5 moved from (2226320, 2591680).
[WARNING PSM-0032] Node at (2046600, 1759840) and layer 5 moved from (2046320, 2591680).
[WARNING PSM-0032] Node at (1863000, 3028975) and layer 5 moved from (1866320, 2591680).
[WARNING PSM-0032] Node at (1684800, 3028975) and layer 5 moved from (1686320, 2591680).
[WARNING PSM-0032] Node at (1506600, 3028975) and layer 5 moved from (1506320, 2591680).
[WARNING PSM-0032] Node at (1323000, 3028975) and layer 5 moved from (1326320, 2591680).
[WARNING PSM-0032] Node at (1323000, 3028975) and layer 5 moved from (1146320, 2591680).
[WARNING PSM-0032] Node at (966600, 1759840) and layer 5 moved from (966320, 2591680).
[WARNING PSM-0032] Node at (783000, 1759840) and layer 5 moved from (786320, 2591680).
[WARNING PSM-0032] Node at (604800, 1759840) and layer 5 moved from (606320, 2591680).
[WARNING PSM-0032] Node at (426600, 1759840) and layer 5 moved from (426320, 2591680).
[WARNING PSM-0032] Node at (243000, 1759840) and layer 5 moved from (246320, 2591680).
[WARNING PSM-0032] Node at (64800, 1759840) and layer 5 moved from (66320, 2591680).
[WARNING PSM-0032] Node at (-37800, 1759840) and layer 5 moved from (-37280, 2591680).
[WARNING PSM-0032] Node at (2953800, 1759840) and layer 5 moved from (2956900, 2411680).
[WARNING PSM-0032] Node at (2764800, 1759840) and layer 5 moved from (2766320, 2411680).
[WARNING PSM-0032] Node at (2586600, 1759840) and layer 5 moved from (2586320, 2411680).
[WARNING PSM-0032] Node at (2403000, 1759840) and layer 5 moved from (2406320, 2411680).
[WARNING PSM-0032] Node at (2224800, 1759840) and layer 5 moved from (2226320, 2411680).
[WARNING PSM-0032] Node at (2046600, 1759840) and layer 5 moved from (2046320, 2411680).
[WARNING PSM-0032] Node at (1144800, 1759840) and layer 5 moved from (1146320, 2411680).
[WARNING PSM-0032] Node at (966600, 1759840) and layer 5 moved from (966320, 2411680).
[WARNING PSM-0032] Node at (783000, 1759840) and layer 5 moved from (786320, 2411680).
[WARNING PSM-0032] Node at (604800, 1759840) and layer 5 moved from (606320, 2411680).
[WARNING PSM-0032] Node at (426600, 1759840) and layer 5 moved from (426320, 2411680).
[WARNING PSM-0032] Node at (243000, 1759840) and layer 5 moved from (246320, 2411680).
[WARNING PSM-0032] Node at (64800, 1759840) and layer 5 moved from (66320, 2411680).
[WARNING PSM-0032] Node at (-37800, 1759840) and layer 5 moved from (-37280, 2411680).
[WARNING PSM-0032] Node at (2953800, 1759840) and layer 5 moved from (2956900, 2231680).
[WARNING PSM-0032] Node at (2764800, 1759840) and layer 5 moved from (2766320, 2231680).
[WARNING PSM-0032] Node at (2586600, 1759840) and layer 5 moved from (2586320, 2231680).
[WARNING PSM-0032] Node at (2403000, 1759840) and layer 5 moved from (2406320, 2231680).
[WARNING PSM-0032] Node at (2224800, 1759840) and layer 5 moved from (2226320, 2231680).
[WARNING PSM-0032] Node at (2046600, 1759840) and layer 5 moved from (2046320, 2231680).
[WARNING PSM-0032] Node at (1144800, 1759840) and layer 5 moved from (1146320, 2231680).
[WARNING PSM-0032] Node at (966600, 1759840) and layer 5 moved from (966320, 2231680).
[WARNING PSM-0032] Node at (783000, 1759840) and layer 5 moved from (786320, 2231680).
[WARNING PSM-0032] Node at (604800, 1759840) and layer 5 moved from (606320, 2231680).
[WARNING PSM-0032] Node at (426600, 1759840) and layer 5 moved from (426320, 2231680).
[WARNING PSM-0032] Node at (243000, 1759840) and layer 5 moved from (246320, 2231680).
[WARNING PSM-0032] Node at (64800, 1759840) and layer 5 moved from (66320, 2231680).
[WARNING PSM-0032] Node at (-37800, 1759840) and layer 5 moved from (-37280, 2231680).
[WARNING PSM-0032] Node at (2953800, 1759840) and layer 5 moved from (2956900, 2051680).
[WARNING PSM-0032] Node at (2764800, 1759840) and layer 5 moved from (2766320, 2051680).
[WARNING PSM-0032] Node at (2586600, 1759840) and layer 5 moved from (2586320, 2051680).
[WARNING PSM-0032] Node at (2403000, 1759840) and layer 5 moved from (2406320, 2051680).
[WARNING PSM-0032] Node at (2224800, 1759840) and layer 5 moved from (2226320, 2051680).
[WARNING PSM-0032] Node at (2046600, 1759840) and layer 5 moved from (2046320, 2051680).
[WARNING PSM-0032] Node at (1144800, 1759840) and layer 5 moved from (1146320, 2051680).
[WARNING PSM-0032] Node at (966600, 1759840) and layer 5 moved from (966320, 2051680).
[WARNING PSM-0032] Node at (783000, 1759840) and layer 5 moved from (786320, 2051680).
[WARNING PSM-0032] Node at (604800, 1759840) and layer 5 moved from (606320, 2051680).
[WARNING PSM-0032] Node at (426600, 1759840) and layer 5 moved from (426320, 2051680).
[WARNING PSM-0032] Node at (243000, 1759840) and layer 5 moved from (246320, 2051680).
[WARNING PSM-0032] Node at (64800, 1759840) and layer 5 moved from (66320, 2051680).
[WARNING PSM-0032] Node at (-37800, 1759840) and layer 5 moved from (-37280, 2051680).
[WARNING PSM-0032] Node at (2953800, 1759840) and layer 5 moved from (2956900, 1871680).
[WARNING PSM-0032] Node at (2764800, 1759840) and layer 5 moved from (2766320, 1871680).
[WARNING PSM-0032] Node at (2586600, 1759840) and layer 5 moved from (2586320, 1871680).
[WARNING PSM-0032] Node at (2403000, 1759840) and layer 5 moved from (2406320, 1871680).
[WARNING PSM-0032] Node at (2224800, 1759840) and layer 5 moved from (2226320, 1871680).
[WARNING PSM-0032] Node at (2046600, 1759840) and layer 5 moved from (2046320, 1871680).
[WARNING PSM-0032] Node at (1144800, 1759840) and layer 5 moved from (1146320, 1871680).
[WARNING PSM-0032] Node at (966600, 1759840) and layer 5 moved from (966320, 1871680).
[WARNING PSM-0032] Node at (783000, 1759840) and layer 5 moved from (786320, 1871680).
[WARNING PSM-0032] Node at (604800, 1759840) and layer 5 moved from (606320, 1871680).
[WARNING PSM-0032] Node at (426600, 1759840) and layer 5 moved from (426320, 1871680).
[WARNING PSM-0032] Node at (243000, 1759840) and layer 5 moved from (246320, 1871680).
[WARNING PSM-0032] Node at (64800, 1759840) and layer 5 moved from (66320, 1871680).
[WARNING PSM-0032] Node at (-37800, 1759840) and layer 5 moved from (-37280, 1871680).
[WARNING PSM-0032] Node at (2953800, 1759840) and layer 5 moved from (2956900, 1691680).
[WARNING PSM-0032] Node at (2764800, 1759840) and layer 5 moved from (2766320, 1691680).
[WARNING PSM-0032] Node at (2586600, 1759840) and layer 5 moved from (2586320, 1691680).
[WARNING PSM-0032] Node at (2403000, 1759840) and layer 5 moved from (2406320, 1691680).
[WARNING PSM-0032] Node at (2224800, 1759840) and layer 5 moved from (2226320, 1691680).
[WARNING PSM-0032] Node at (2046600, 1759840) and layer 5 moved from (2046320, 1691680).
[WARNING PSM-0032] Node at (1144800, 1759840) and layer 5 moved from (1146320, 1691680).
[WARNING PSM-0032] Node at (966600, 1759840) and layer 5 moved from (966320, 1691680).
[WARNING PSM-0032] Node at (783000, 1759840) and layer 5 moved from (786320, 1691680).
[WARNING PSM-0032] Node at (604800, 1759840) and layer 5 moved from (606320, 1691680).
[WARNING PSM-0032] Node at (426600, 1759840) and layer 5 moved from (426320, 1691680).
[WARNING PSM-0032] Node at (243000, 1759840) and layer 5 moved from (246320, 1691680).
[WARNING PSM-0032] Node at (64800, 1759840) and layer 5 moved from (66320, 1691680).
[WARNING PSM-0032] Node at (-37800, 1759840) and layer 5 moved from (-37280, 1691680).
[WARNING PSM-0032] Node at (2953800, 1759840) and layer 5 moved from (2956900, 1511680).
[WARNING PSM-0032] Node at (2764800, 1759840) and layer 5 moved from (2766320, 1511680).
[WARNING PSM-0032] Node at (2586600, 1759840) and layer 5 moved from (2586320, 1511680).
[WARNING PSM-0032] Node at (2403000, 1759840) and layer 5 moved from (2406320, 1511680).
[WARNING PSM-0032] Node at (2224800, 1759840) and layer 5 moved from (2226320, 1511680).
[WARNING PSM-0032] Node at (2046600, 1759840) and layer 5 moved from (2046320, 1511680).
[WARNING PSM-0032] Node at (2041200, 1759840) and layer 5 moved from (1866320, 1511680).
[WARNING PSM-0032] Node at (1684800, 820865) and layer 5 moved from (1686320, 1511680).
[WARNING PSM-0032] Node at (1506600, 820865) and layer 5 moved from (1506320, 1511680).
[WARNING PSM-0032] Node at (1323000, 820865) and layer 5 moved from (1326320, 1511680).
[WARNING PSM-0032] Node at (1144800, 1759840) and layer 5 moved from (1146320, 1511680).
[WARNING PSM-0032] Node at (966600, 1759840) and layer 5 moved from (966320, 1511680).
[WARNING PSM-0032] Node at (783000, 1759840) and layer 5 moved from (786320, 1511680).
[WARNING PSM-0032] Node at (604800, 1759840) and layer 5 moved from (606320, 1511680).
[WARNING PSM-0032] Node at (426600, 1759840) and layer 5 moved from (426320, 1511680).
[WARNING PSM-0032] Node at (243000, 1759840) and layer 5 moved from (246320, 1511680).
[WARNING PSM-0032] Node at (64800, 1759840) and layer 5 moved from (66320, 1511680).
[WARNING PSM-0032] Node at (-37800, 1759840) and layer 5 moved from (-37280, 1511680).
[WARNING PSM-0032] Node at (2953800, 1759840) and layer 5 moved from (2956900, 1331680).
[WARNING PSM-0032] Node at (2764800, 1759840) and layer 5 moved from (2766320, 1331680).
[WARNING PSM-0032] Node at (2586600, 1759840) and layer 5 moved from (2586320, 1331680).
[WARNING PSM-0032] Node at (2403000, 1759840) and layer 5 moved from (2406320, 1331680).
[WARNING PSM-0032] Node at (2224800, 1759840) and layer 5 moved from (2226320, 1331680).
[WARNING PSM-0032] Node at (2046600, 1759840) and layer 5 moved from (2046320, 1331680).
[WARNING PSM-0032] Node at (1863000, 820865) and layer 5 moved from (1866320, 1331680).
[WARNING PSM-0032] Node at (1684800, 820865) and layer 5 moved from (1686320, 1331680).
[WARNING PSM-0032] Node at (1506600, 820865) and layer 5 moved from (1506320, 1331680).
[WARNING PSM-0032] Node at (1323000, 820865) and layer 5 moved from (1326320, 1331680).
[WARNING PSM-0032] Node at (1144800, 1759840) and layer 5 moved from (1146320, 1331680).
[WARNING PSM-0032] Node at (966600, 1759840) and layer 5 moved from (966320, 1331680).
[WARNING PSM-0032] Node at (783000, 1759840) and layer 5 moved from (786320, 1331680).
[WARNING PSM-0032] Node at (604800, 1759840) and layer 5 moved from (606320, 1331680).
[WARNING PSM-0032] Node at (426600, 1759840) and layer 5 moved from (426320, 1331680).
[WARNING PSM-0032] Node at (243000, 1759840) and layer 5 moved from (246320, 1331680).
[WARNING PSM-0032] Node at (64800, 1759840) and layer 5 moved from (66320, 1331680).
[WARNING PSM-0032] Node at (-37800, 1759840) and layer 5 moved from (-37280, 1331680).
[WARNING PSM-0032] Node at (2953800, 1759840) and layer 5 moved from (2956900, 1151680).
[WARNING PSM-0032] Node at (2764800, 1759840) and layer 5 moved from (2766320, 1151680).
[WARNING PSM-0032] Node at (2586600, 1759840) and layer 5 moved from (2586320, 1151680).
[WARNING PSM-0032] Node at (2403000, 1759840) and layer 5 moved from (2406320, 1151680).
[WARNING PSM-0032] Node at (2224800, 1759840) and layer 5 moved from (2226320, 1151680).
[WARNING PSM-0032] Node at (2046600, 1759840) and layer 5 moved from (2046320, 1151680).
[WARNING PSM-0032] Node at (1863000, 820865) and layer 5 moved from (1866320, 1151680).
[WARNING PSM-0032] Node at (1684800, 820865) and layer 5 moved from (1686320, 1151680).
[WARNING PSM-0032] Node at (1506600, 820865) and layer 5 moved from (1506320, 1151680).
[WARNING PSM-0032] Node at (1323000, 820865) and layer 5 moved from (1326320, 1151680).
[WARNING PSM-0032] Node at (1323000, 820865) and layer 5 moved from (1146320, 1151680).
[WARNING PSM-0032] Node at (966600, 1759840) and layer 5 moved from (966320, 1151680).
[WARNING PSM-0032] Node at (783000, 1759840) and layer 5 moved from (786320, 1151680).
[WARNING PSM-0032] Node at (604800, 1759840) and layer 5 moved from (606320, 1151680).
[WARNING PSM-0032] Node at (426600, 1759840) and layer 5 moved from (426320, 1151680).
[WARNING PSM-0032] Node at (243000, 1759840) and layer 5 moved from (246320, 1151680).
[WARNING PSM-0032] Node at (64800, 1759840) and layer 5 moved from (66320, 1151680).
[WARNING PSM-0032] Node at (-37800, 1759840) and layer 5 moved from (-37280, 1151680).
[WARNING PSM-0032] Node at (2953800, 1759840) and layer 5 moved from (2956900, 971680).
[WARNING PSM-0032] Node at (2764800, 1759840) and layer 5 moved from (2766320, 971680).
[WARNING PSM-0032] Node at (2586600, 1759840) and layer 5 moved from (2586320, 971680).
[WARNING PSM-0032] Node at (2403000, 1759840) and layer 5 moved from (2406320, 971680).
[WARNING PSM-0032] Node at (2224800, 1759840) and layer 5 moved from (2226320, 971680).
[WARNING PSM-0032] Node at (2046600, 1759840) and layer 5 moved from (2046320, 971680).
[WARNING PSM-0032] Node at (1863000, 820865) and layer 5 moved from (1866320, 971680).
[WARNING PSM-0032] Node at (1684800, 820865) and layer 5 moved from (1686320, 971680).
[WARNING PSM-0032] Node at (1506600, 820865) and layer 5 moved from (1506320, 971680).
[WARNING PSM-0032] Node at (1323000, 820865) and layer 5 moved from (1326320, 971680).
[WARNING PSM-0032] Node at (1323000, 820865) and layer 5 moved from (1146320, 971680).
[WARNING PSM-0032] Node at (966600, 1759840) and layer 5 moved from (966320, 971680).
[WARNING PSM-0032] Node at (783000, 1759840) and layer 5 moved from (786320, 971680).
[WARNING PSM-0032] Node at (604800, 1759840) and layer 5 moved from (606320, 971680).
[WARNING PSM-0032] Node at (426600, 1759840) and layer 5 moved from (426320, 971680).
[WARNING PSM-0032] Node at (243000, 1759840) and layer 5 moved from (246320, 971680).
[WARNING PSM-0032] Node at (64800, 1759840) and layer 5 moved from (66320, 971680).
[WARNING PSM-0032] Node at (-37800, 1759840) and layer 5 moved from (-37280, 971680).
[WARNING PSM-0032] Node at (2953800, 1759840) and layer 5 moved from (2956900, 791680).
[WARNING PSM-0032] Node at (2764800, 1759840) and layer 5 moved from (2766320, 791680).
[WARNING PSM-0032] Node at (2586600, 1759840) and layer 5 moved from (2586320, 791680).
[WARNING PSM-0032] Node at (2403000, 1759840) and layer 5 moved from (2406320, 791680).
[WARNING PSM-0032] Node at (2224800, 1759840) and layer 5 moved from (2226320, 791680).
[WARNING PSM-0032] Node at (2046600, 1759840) and layer 5 moved from (2046320, 791680).
[WARNING PSM-0032] Node at (1863000, 820865) and layer 5 moved from (1866320, 791680).
[WARNING PSM-0032] Node at (1684800, 820865) and layer 5 moved from (1686320, 791680).
[WARNING PSM-0032] Node at (1506600, 820865) and layer 5 moved from (1506320, 791680).
[WARNING PSM-0032] Node at (1323000, 820865) and layer 5 moved from (1326320, 791680).
[WARNING PSM-0032] Node at (1323000, 820865) and layer 5 moved from (1146320, 791680).
[WARNING PSM-0032] Node at (966600, 1759840) and layer 5 moved from (966320, 791680).
[WARNING PSM-0032] Node at (783000, 1759840) and layer 5 moved from (786320, 791680).
[WARNING PSM-0032] Node at (604800, 1759840) and layer 5 moved from (606320, 791680).
[WARNING PSM-0032] Node at (426600, 1759840) and layer 5 moved from (426320, 791680).
[WARNING PSM-0032] Node at (243000, 1759840) and layer 5 moved from (246320, 791680).
[WARNING PSM-0032] Node at (64800, 1759840) and layer 5 moved from (66320, 791680).
[WARNING PSM-0032] Node at (-37800, 1759840) and layer 5 moved from (-37280, 791680).
[WARNING PSM-0032] Node at (2953800, 1759840) and layer 5 moved from (2956900, 611680).
[WARNING PSM-0032] Node at (2764800, 1759840) and layer 5 moved from (2766320, 611680).
[WARNING PSM-0032] Node at (2586600, 1759840) and layer 5 moved from (2586320, 611680).
[WARNING PSM-0032] Node at (2403000, 1759840) and layer 5 moved from (2406320, 611680).
[WARNING PSM-0032] Node at (2224800, 1759840) and layer 5 moved from (2226320, 611680).
[WARNING PSM-0032] Node at (2046600, 1759840) and layer 5 moved from (2046320, 611680).
[WARNING PSM-0032] Node at (1863000, 820865) and layer 5 moved from (1866320, 611680).
[WARNING PSM-0032] Node at (1684800, 820865) and layer 5 moved from (1686320, 611680).
[WARNING PSM-0032] Node at (1506600, 820865) and layer 5 moved from (1506320, 611680).
[WARNING PSM-0032] Node at (1323000, 820865) and layer 5 moved from (1326320, 611680).
[WARNING PSM-0032] Node at (1323000, 820865) and layer 5 moved from (1146320, 611680).
[WARNING PSM-0032] Node at (966600, 1759840) and layer 5 moved from (966320, 611680).
[WARNING PSM-0032] Node at (783000, 1759840) and layer 5 moved from (786320, 611680).
[WARNING PSM-0032] Node at (604800, 1759840) and layer 5 moved from (606320, 611680).
[WARNING PSM-0032] Node at (426600, 1759840) and layer 5 moved from (426320, 611680).
[WARNING PSM-0032] Node at (243000, 1759840) and layer 5 moved from (246320, 611680).
[WARNING PSM-0032] Node at (64800, 1759840) and layer 5 moved from (66320, 611680).
[WARNING PSM-0032] Node at (-37800, 1759840) and layer 5 moved from (-37280, 611680).
[WARNING PSM-0032] Node at (2953800, 1759840) and layer 5 moved from (2956900, 431680).
[WARNING PSM-0032] Node at (2764800, 1759840) and layer 5 moved from (2766320, 431680).
[WARNING PSM-0032] Node at (2586600, 1759840) and layer 5 moved from (2586320, 431680).
[WARNING PSM-0032] Node at (2403000, 1759840) and layer 5 moved from (2406320, 431680).
[WARNING PSM-0032] Node at (2224800, 1759840) and layer 5 moved from (2226320, 431680).
[WARNING PSM-0032] Node at (2046600, 1759840) and layer 5 moved from (2046320, 431680).
[WARNING PSM-0032] Node at (1863000, 820865) and layer 5 moved from (1866320, 431680).
[WARNING PSM-0032] Node at (1684800, 820865) and layer 5 moved from (1686320, 431680).
[WARNING PSM-0032] Node at (1506600, 820865) and layer 5 moved from (1506320, 431680).
[WARNING PSM-0032] Node at (1323000, 820865) and layer 5 moved from (1326320, 431680).
[WARNING PSM-0032] Node at (1323000, 820865) and layer 5 moved from (1146320, 431680).
[WARNING PSM-0032] Node at (966600, 1759840) and layer 5 moved from (966320, 431680).
[WARNING PSM-0032] Node at (783000, 1759840) and layer 5 moved from (786320, 431680).
[WARNING PSM-0032] Node at (604800, 1759840) and layer 5 moved from (606320, 431680).
[WARNING PSM-0032] Node at (426600, 1759840) and layer 5 moved from (426320, 431680).
[WARNING PSM-0032] Node at (243000, 1759840) and layer 5 moved from (246320, 431680).
[WARNING PSM-0032] Node at (64800, 1759840) and layer 5 moved from (66320, 431680).
[WARNING PSM-0032] Node at (-37800, 1759840) and layer 5 moved from (-37280, 431680).
[WARNING PSM-0032] Node at (2953800, 1759840) and layer 5 moved from (2956900, 251680).
[WARNING PSM-0032] Node at (2764800, 1759840) and layer 5 moved from (2766320, 251680).
[WARNING PSM-0032] Node at (2586600, 1759840) and layer 5 moved from (2586320, 251680).
[WARNING PSM-0032] Node at (2403000, 1759840) and layer 5 moved from (2406320, 251680).
[WARNING PSM-0032] Node at (2224800, 1759840) and layer 5 moved from (2226320, 251680).
[WARNING PSM-0032] Node at (2046600, 1759840) and layer 5 moved from (2046320, 251680).
[WARNING PSM-0032] Node at (1863000, 820865) and layer 5 moved from (1866320, 251680).
[WARNING PSM-0032] Node at (1684800, 820865) and layer 5 moved from (1686320, 251680).
[WARNING PSM-0032] Node at (1506600, 820865) and layer 5 moved from (1506320, 251680).
[WARNING PSM-0032] Node at (1323000, 820865) and layer 5 moved from (1326320, 251680).
[WARNING PSM-0032] Node at (1323000, 820865) and layer 5 moved from (1146320, 251680).
[WARNING PSM-0032] Node at (966600, 1759840) and layer 5 moved from (966320, 251680).
[WARNING PSM-0032] Node at (783000, 1759840) and layer 5 moved from (786320, 251680).
[WARNING PSM-0032] Node at (604800, 1759840) and layer 5 moved from (606320, 251680).
[WARNING PSM-0032] Node at (426600, 1759840) and layer 5 moved from (426320, 251680).
[WARNING PSM-0032] Node at (243000, 1759840) and layer 5 moved from (246320, 251680).
[WARNING PSM-0032] Node at (64800, 1759840) and layer 5 moved from (66320, 251680).
[WARNING PSM-0032] Node at (-37800, 1759840) and layer 5 moved from (-37280, 251680).
[WARNING PSM-0032] Node at (2953800, 1759840) and layer 5 moved from (2956900, 71680).
[WARNING PSM-0032] Node at (2764800, 1759840) and layer 5 moved from (2766320, 71680).
[WARNING PSM-0032] Node at (2586600, 1759840) and layer 5 moved from (2586320, 71680).
[WARNING PSM-0032] Node at (2403000, 1759840) and layer 5 moved from (2406320, 71680).
[WARNING PSM-0032] Node at (2224800, 1759840) and layer 5 moved from (2226320, 71680).
[WARNING PSM-0032] Node at (2046600, 1759840) and layer 5 moved from (2046320, 71680).
[WARNING PSM-0032] Node at (1863000, 820865) and layer 5 moved from (1866320, 71680).
[WARNING PSM-0032] Node at (1684800, 820865) and layer 5 moved from (1686320, 71680).
[WARNING PSM-0032] Node at (1506600, 820865) and layer 5 moved from (1506320, 71680).
[WARNING PSM-0032] Node at (1323000, 820865) and layer 5 moved from (1326320, 71680).
[WARNING PSM-0032] Node at (1323000, 820865) and layer 5 moved from (1146320, 71680).
[WARNING PSM-0032] Node at (966600, 1759840) and layer 5 moved from (966320, 71680).
[WARNING PSM-0032] Node at (783000, 1759840) and layer 5 moved from (786320, 71680).
[WARNING PSM-0032] Node at (604800, 1759840) and layer 5 moved from (606320, 71680).
[WARNING PSM-0032] Node at (426600, 1759840) and layer 5 moved from (426320, 71680).
[WARNING PSM-0032] Node at (243000, 1759840) and layer 5 moved from (246320, 71680).
[WARNING PSM-0032] Node at (64800, 1759840) and layer 5 moved from (66320, 71680).
[WARNING PSM-0032] Node at (-37800, 1759840) and layer 5 moved from (-37280, 71680).
[WARNING PSM-0032] Node at (2953800, 1759840) and layer 5 moved from (2956900, -31920).
[WARNING PSM-0032] Node at (2764800, 1759840) and layer 5 moved from (2766320, -31920).
[WARNING PSM-0032] Node at (2586600, 1759840) and layer 5 moved from (2586320, -31920).
[WARNING PSM-0032] Node at (2403000, 1759840) and layer 5 moved from (2406320, -31920).
[WARNING PSM-0032] Node at (2224800, 1759840) and layer 5 moved from (2226320, -31920).
[WARNING PSM-0032] Node at (2046600, 1759840) and layer 5 moved from (2046320, -31920).
[WARNING PSM-0032] Node at (1863000, 820865) and layer 5 moved from (1866320, -31920).
[WARNING PSM-0032] Node at (1684800, 820865) and layer 5 moved from (1686320, -31920).
[WARNING PSM-0032] Node at (1506600, 820865) and layer 5 moved from (1506320, -31920).
[WARNING PSM-0032] Node at (1323000, 820865) and layer 5 moved from (1326320, -31920).
[WARNING PSM-0032] Node at (1323000, 820865) and layer 5 moved from (1146320, -31920).
[WARNING PSM-0032] Node at (966600, 1759840) and layer 5 moved from (966320, -31920).
[WARNING PSM-0032] Node at (783000, 1759840) and layer 5 moved from (786320, -31920).
[WARNING PSM-0032] Node at (604800, 1759840) and layer 5 moved from (606320, -31920).
[WARNING PSM-0032] Node at (426600, 1759840) and layer 5 moved from (426320, -31920).
[WARNING PSM-0032] Node at (243000, 1759840) and layer 5 moved from (246320, -31920).
[WARNING PSM-0032] Node at (64800, 1759840) and layer 5 moved from (66320, -31920).
[WARNING PSM-0032] Node at (-37800, 1759840) and layer 5 moved from (-37280, -31920).
[INFO PSM-0064] Number of voltage sources = 88.
[INFO PSM-0040] All PDN stripes on net vdda2 are connected.
########## IR report #################
Worstcase voltage: 1.80e+00 V
Average IR drop : 7.04e-14 V
Worstcase IR drop: 7.32e-12 V
######################################
[INFO]: PDN generation was successful.
[INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/8-pdn.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/9-pdn.def
[INFO]: Running Placement...
[INFO]: Incremented step index to 9.
[INFO]: Performing Random Global Placement...
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/9-pdn.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0130] Created 645 pins.
[INFO ODB-0131] Created 1 components and 108 component-terminals.
[INFO ODB-0132] Created 8 special nets and 0 connections.
[INFO ODB-0133] Created 637 nets and 106 connections.
[INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/9-pdn.def
Design name: user_project_wrapper
Core Area Boundaries: 5520 10880 2914100 3508800
Number of instances 1
Placed 0 instances
[INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/9-pdn.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/placement/10-global.def
[INFO]: Skipping Resizer Design Optimizations.
[INFO]: Incremented step index to 10.
[INFO]: Running Detailed Placement...
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/placement/10-global.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0130] Created 645 pins.
[INFO ODB-0131] Created 1 components and 108 component-terminals.
[INFO ODB-0132] Created 8 special nets and 0 connections.
[INFO ODB-0133] Created 637 nets and 106 connections.
[INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/placement/10-global.def
Placement Analysis
---------------------------------
total displacement 0.0 u
average displacement 0.0 u
max displacement 0.0 u
original HPWL 355393.2 u
legalized HPWL 355393.2 u
delta HPWL 0 %
[INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/placement/10-global.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/placement/user_project_wrapper.def
[INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/placement/user_project_wrapper.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/placement/user_project_wrapper.def
[INFO]: Incremented step index to 11.
[INFO]: Skipping Resizer Timing Optimizations.
[INFO]: Routing...
Current DEF: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/placement/user_project_wrapper.def
Routing Current DEF: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/placement/user_project_wrapper.def
[INFO]: Skipping Resizer Timing Optimizations.
[INFO]: Incremented step index to 12.
[INFO]: Running Detailed Placement...
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/placement/user_project_wrapper.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0130] Created 645 pins.
[INFO ODB-0131] Created 1 components and 108 component-terminals.
[INFO ODB-0132] Created 8 special nets and 0 connections.
[INFO ODB-0133] Created 637 nets and 106 connections.
[INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/placement/user_project_wrapper.def
Placement Analysis
---------------------------------
total displacement 0.0 u
average displacement 0.0 u
max displacement 0.0 u
original HPWL 355393.2 u
legalized HPWL 355393.2 u
delta HPWL 0 %
[INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/placement/user_project_wrapper.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/placement/user_project_wrapper.def
[INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/placement/user_project_wrapper.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/placement/user_project_wrapper.def
[INFO]: Incremented step index to 13.
[INFO]: Incremented step index to 14.
[INFO]: Running Global Routing...
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/placement/user_project_wrapper.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0130] Created 645 pins.
[INFO ODB-0131] Created 1 components and 108 component-terminals.
[INFO ODB-0132] Created 8 special nets and 0 connections.
[INFO ODB-0133] Created 637 nets and 106 connections.
[INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/placement/user_project_wrapper.def
###############################################################################
# Created by write_sdc
# Sun Jun 5 18:14:45 2022
###############################################################################
current_design user_project_wrapper
###############################################################################
# Timing Constraints
###############################################################################
create_clock -name user_clock2 -period 10.0000 [get_ports {user_clock2}]
set_clock_transition 0.1500 [get_clocks {user_clock2}]
set_clock_uncertainty 0.2500 user_clock2
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[0]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[10]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[11]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[12]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[13]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[14]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[15]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[16]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[17]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[18]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[19]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[1]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[20]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[21]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[22]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[23]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[24]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[25]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[26]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[27]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[28]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[2]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[3]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[4]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[5]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[6]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[7]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[8]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[9]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[0]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[10]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[11]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[12]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[13]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[14]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[15]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[16]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[17]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[18]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[19]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[1]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[20]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[21]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[22]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[23]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[24]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[25]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[26]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[27]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[28]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[29]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[2]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[30]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[31]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[32]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[33]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[34]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[35]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[36]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[37]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[3]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[4]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[5]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[6]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[7]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[8]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[9]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[0]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[100]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[101]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[102]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[103]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[104]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[105]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[106]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[107]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[108]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[109]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[10]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[110]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[111]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[112]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[113]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[114]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[115]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[116]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[117]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[118]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[119]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[11]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[120]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[121]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[122]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[123]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[124]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[125]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[126]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[127]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[12]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[13]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[14]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[15]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[16]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[17]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[18]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[19]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[1]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[20]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[21]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[22]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[23]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[24]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[25]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[26]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[27]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[28]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[29]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[2]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[30]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[31]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[32]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[33]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[34]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[35]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[36]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[37]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[38]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[39]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[3]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[40]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[41]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[42]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[43]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[44]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[45]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[46]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[47]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[48]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[49]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[4]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[50]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[51]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[52]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[53]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[54]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[55]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[56]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[57]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[58]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[59]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[5]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[60]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[61]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[62]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[63]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[64]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[65]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[66]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[67]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[68]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[69]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[6]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[70]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[71]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[72]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[73]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[74]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[75]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[76]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[77]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[78]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[79]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[7]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[80]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[81]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[82]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[83]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[84]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[85]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[86]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[87]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[88]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[89]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[8]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[90]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[91]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[92]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[93]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[94]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[95]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[96]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[97]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[98]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[99]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[9]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[0]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[100]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[101]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[102]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[103]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[104]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[105]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[106]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[107]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[108]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[109]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[10]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[110]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[111]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[112]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[113]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[114]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[115]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[116]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[117]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[118]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[119]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[11]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[120]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[121]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[122]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[123]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[124]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[125]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[126]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[127]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[12]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[13]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[14]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[15]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[16]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[17]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[18]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[19]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[1]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[20]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[21]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[22]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[23]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[24]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[25]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[26]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[27]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[28]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[29]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[2]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[30]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[31]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[32]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[33]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[34]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[35]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[36]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[37]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[38]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[39]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[3]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[40]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[41]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[42]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[43]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[44]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[45]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[46]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[47]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[48]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[49]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[4]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[50]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[51]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[52]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[53]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[54]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[55]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[56]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[57]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[58]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[59]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[5]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[60]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[61]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[62]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[63]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[64]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[65]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[66]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[67]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[68]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[69]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[6]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[70]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[71]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[72]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[73]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[74]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[75]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[76]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[77]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[78]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[79]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[7]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[80]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[81]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[82]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[83]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[84]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[85]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[86]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[87]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[88]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[89]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[8]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[90]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[91]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[92]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[93]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[94]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[95]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[96]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[97]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[98]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[99]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[9]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wb_clk_i}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wb_rst_i}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[0]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[10]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[11]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[12]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[13]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[14]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[15]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[16]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[17]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[18]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[19]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[1]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[20]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[21]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[22]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[23]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[24]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[25]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[26]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[27]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[28]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[29]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[2]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[30]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[31]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[3]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[4]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[5]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[6]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[7]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[8]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[9]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_cyc_i}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[0]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[10]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[11]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[12]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[13]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[14]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[15]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[16]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[17]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[18]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[19]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[1]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[20]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[21]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[22]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[23]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[24]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[25]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[26]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[27]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[28]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[29]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[2]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[30]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[31]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[3]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[4]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[5]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[6]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[7]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[8]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[9]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_sel_i[0]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_sel_i[1]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_sel_i[2]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_sel_i[3]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_stb_i}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_we_i}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[0]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[10]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[11]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[12]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[13]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[14]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[15]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[16]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[17]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[18]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[19]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[1]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[20]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[21]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[22]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[23]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[24]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[25]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[26]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[27]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[28]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[2]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[3]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[4]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[5]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[6]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[7]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[8]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[9]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[0]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[10]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[11]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[12]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[13]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[14]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[15]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[16]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[17]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[18]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[19]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[1]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[20]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[21]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[22]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[23]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[24]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[25]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[26]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[27]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[28]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[29]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[2]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[30]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[31]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[32]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[33]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[34]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[35]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[36]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[37]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[3]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[4]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[5]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[6]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[7]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[8]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[9]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[0]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[10]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[11]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[12]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[13]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[14]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[15]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[16]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[17]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[18]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[19]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[1]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[20]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[21]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[22]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[23]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[24]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[25]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[26]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[27]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[28]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[29]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[2]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[30]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[31]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[32]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[33]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[34]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[35]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[36]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[37]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[3]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[4]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[5]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[6]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[7]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[8]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[9]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[0]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[100]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[101]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[102]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[103]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[104]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[105]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[106]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[107]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[108]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[109]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[10]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[110]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[111]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[112]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[113]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[114]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[115]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[116]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[117]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[118]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[119]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[11]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[120]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[121]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[122]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[123]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[124]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[125]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[126]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[127]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[12]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[13]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[14]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[15]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[16]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[17]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[18]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[19]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[1]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[20]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[21]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[22]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[23]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[24]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[25]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[26]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[27]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[28]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[29]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[2]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[30]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[31]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[32]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[33]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[34]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[35]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[36]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[37]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[38]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[39]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[3]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[40]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[41]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[42]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[43]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[44]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[45]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[46]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[47]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[48]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[49]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[4]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[50]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[51]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[52]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[53]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[54]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[55]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[56]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[57]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[58]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[59]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[5]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[60]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[61]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[62]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[63]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[64]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[65]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[66]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[67]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[68]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[69]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[6]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[70]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[71]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[72]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[73]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[74]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[75]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[76]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[77]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[78]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[79]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[7]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[80]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[81]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[82]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[83]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[84]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[85]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[86]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[87]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[88]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[89]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[8]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[90]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[91]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[92]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[93]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[94]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[95]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[96]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[97]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[98]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[99]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[9]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {user_irq[0]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {user_irq[1]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {user_irq[2]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_ack_o}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[0]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[10]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[11]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[12]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[13]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[14]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[15]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[16]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[17]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[18]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[19]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[1]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[20]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[21]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[22]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[23]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[24]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[25]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[26]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[27]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[28]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[29]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[2]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[30]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[31]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[3]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[4]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[5]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[6]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[7]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[8]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[9]}]
###############################################################################
# Environment
###############################################################################
set_load -pin_load 0.0334 [get_ports {wbs_ack_o}]
set_load -pin_load 0.0334 [get_ports {analog_io[28]}]
set_load -pin_load 0.0334 [get_ports {analog_io[27]}]
set_load -pin_load 0.0334 [get_ports {analog_io[26]}]
set_load -pin_load 0.0334 [get_ports {analog_io[25]}]
set_load -pin_load 0.0334 [get_ports {analog_io[24]}]
set_load -pin_load 0.0334 [get_ports {analog_io[23]}]
set_load -pin_load 0.0334 [get_ports {analog_io[22]}]
set_load -pin_load 0.0334 [get_ports {analog_io[21]}]
set_load -pin_load 0.0334 [get_ports {analog_io[20]}]
set_load -pin_load 0.0334 [get_ports {analog_io[19]}]
set_load -pin_load 0.0334 [get_ports {analog_io[18]}]
set_load -pin_load 0.0334 [get_ports {analog_io[17]}]
set_load -pin_load 0.0334 [get_ports {analog_io[16]}]
set_load -pin_load 0.0334 [get_ports {analog_io[15]}]
set_load -pin_load 0.0334 [get_ports {analog_io[14]}]
set_load -pin_load 0.0334 [get_ports {analog_io[13]}]
set_load -pin_load 0.0334 [get_ports {analog_io[12]}]
set_load -pin_load 0.0334 [get_ports {analog_io[11]}]
set_load -pin_load 0.0334 [get_ports {analog_io[10]}]
set_load -pin_load 0.0334 [get_ports {analog_io[9]}]
set_load -pin_load 0.0334 [get_ports {analog_io[8]}]
set_load -pin_load 0.0334 [get_ports {analog_io[7]}]
set_load -pin_load 0.0334 [get_ports {analog_io[6]}]
set_load -pin_load 0.0334 [get_ports {analog_io[5]}]
set_load -pin_load 0.0334 [get_ports {analog_io[4]}]
set_load -pin_load 0.0334 [get_ports {analog_io[3]}]
set_load -pin_load 0.0334 [get_ports {analog_io[2]}]
set_load -pin_load 0.0334 [get_ports {analog_io[1]}]
set_load -pin_load 0.0334 [get_ports {analog_io[0]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[37]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[36]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[35]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[34]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[33]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[32]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[31]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[30]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[29]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[28]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[27]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[26]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[25]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[24]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[23]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[22]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[21]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[20]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[19]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[18]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[17]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[16]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[15]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[14]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[13]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[12]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[11]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[10]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[9]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[8]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[7]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[6]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[5]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[4]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[3]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[2]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[1]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[0]}]
set_load -pin_load 0.0334 [get_ports {io_out[37]}]
set_load -pin_load 0.0334 [get_ports {io_out[36]}]
set_load -pin_load 0.0334 [get_ports {io_out[35]}]
set_load -pin_load 0.0334 [get_ports {io_out[34]}]
set_load -pin_load 0.0334 [get_ports {io_out[33]}]
set_load -pin_load 0.0334 [get_ports {io_out[32]}]
set_load -pin_load 0.0334 [get_ports {io_out[31]}]
set_load -pin_load 0.0334 [get_ports {io_out[30]}]
set_load -pin_load 0.0334 [get_ports {io_out[29]}]
set_load -pin_load 0.0334 [get_ports {io_out[28]}]
set_load -pin_load 0.0334 [get_ports {io_out[27]}]
set_load -pin_load 0.0334 [get_ports {io_out[26]}]
set_load -pin_load 0.0334 [get_ports {io_out[25]}]
set_load -pin_load 0.0334 [get_ports {io_out[24]}]
set_load -pin_load 0.0334 [get_ports {io_out[23]}]
set_load -pin_load 0.0334 [get_ports {io_out[22]}]
set_load -pin_load 0.0334 [get_ports {io_out[21]}]
set_load -pin_load 0.0334 [get_ports {io_out[20]}]
set_load -pin_load 0.0334 [get_ports {io_out[19]}]
set_load -pin_load 0.0334 [get_ports {io_out[18]}]
set_load -pin_load 0.0334 [get_ports {io_out[17]}]
set_load -pin_load 0.0334 [get_ports {io_out[16]}]
set_load -pin_load 0.0334 [get_ports {io_out[15]}]
set_load -pin_load 0.0334 [get_ports {io_out[14]}]
set_load -pin_load 0.0334 [get_ports {io_out[13]}]
set_load -pin_load 0.0334 [get_ports {io_out[12]}]
set_load -pin_load 0.0334 [get_ports {io_out[11]}]
set_load -pin_load 0.0334 [get_ports {io_out[10]}]
set_load -pin_load 0.0334 [get_ports {io_out[9]}]
set_load -pin_load 0.0334 [get_ports {io_out[8]}]
set_load -pin_load 0.0334 [get_ports {io_out[7]}]
set_load -pin_load 0.0334 [get_ports {io_out[6]}]
set_load -pin_load 0.0334 [get_ports {io_out[5]}]
set_load -pin_load 0.0334 [get_ports {io_out[4]}]
set_load -pin_load 0.0334 [get_ports {io_out[3]}]
set_load -pin_load 0.0334 [get_ports {io_out[2]}]
set_load -pin_load 0.0334 [get_ports {io_out[1]}]
set_load -pin_load 0.0334 [get_ports {io_out[0]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[127]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[126]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[125]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[124]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[123]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[122]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[121]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[120]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[119]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[118]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[117]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[116]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[115]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[114]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[113]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[112]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[111]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[110]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[109]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[108]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[107]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[106]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[105]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[104]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[103]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[102]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[101]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[100]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[99]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[98]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[97]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[96]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[95]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[94]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[93]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[92]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[91]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[90]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[89]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[88]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[87]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[86]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[85]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[84]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[83]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[82]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[81]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[80]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[79]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[78]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[77]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[76]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[75]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[74]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[73]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[72]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[71]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[70]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[69]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[68]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[67]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[66]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[65]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[64]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[63]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[62]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[61]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[60]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[59]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[58]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[57]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[56]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[55]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[54]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[53]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[52]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[51]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[50]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[49]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[48]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[47]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[46]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[45]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[44]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[43]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[42]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[41]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[40]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[39]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[38]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[37]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[36]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[35]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[34]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[33]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[32]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[31]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[30]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[29]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[28]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[27]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[26]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[25]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[24]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[23]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[22]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[21]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[20]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[19]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[18]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[17]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[16]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[15]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[14]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[13]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[12]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[11]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[10]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[9]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[8]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[7]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[6]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[5]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[4]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[3]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[2]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[1]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[0]}]
set_load -pin_load 0.0334 [get_ports {user_irq[2]}]
set_load -pin_load 0.0334 [get_ports {user_irq[1]}]
set_load -pin_load 0.0334 [get_ports {user_irq[0]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[31]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[30]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[29]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[28]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[27]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[26]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[25]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[24]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[23]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[22]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[21]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[20]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[19]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[18]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[17]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[16]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[15]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[14]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[13]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[12]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[11]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[10]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[9]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[8]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[7]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[6]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[5]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[4]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[3]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[2]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[1]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {user_clock2}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_clk_i}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_rst_i}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_cyc_i}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_stb_i}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_we_i}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[37]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[36]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[35]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[34]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[33]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[32]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[127]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[126]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[125]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[124]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[123]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[122]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[121]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[120]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[119]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[118]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[117]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[116]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[115]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[114]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[113]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[112]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[111]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[110]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[109]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[108]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[107]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[106]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[105]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[104]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[103]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[102]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[101]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[100]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[99]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[98]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[97]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[96]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[95]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[94]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[93]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[92]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[91]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[90]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[89]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[88]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[87]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[86]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[85]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[84]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[83]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[82]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[81]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[80]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[79]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[78]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[77]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[76]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[75]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[74]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[73]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[72]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[71]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[70]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[69]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[68]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[67]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[66]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[65]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[64]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[63]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[62]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[61]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[60]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[59]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[58]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[57]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[56]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[55]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[54]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[53]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[52]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[51]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[50]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[49]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[48]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[47]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[46]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[45]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[44]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[43]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[42]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[41]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[40]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[39]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[38]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[37]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[36]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[35]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[34]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[33]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[32]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[127]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[126]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[125]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[124]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[123]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[122]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[121]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[120]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[119]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[118]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[117]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[116]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[115]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[114]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[113]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[112]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[111]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[110]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[109]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[108]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[107]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[106]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[105]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[104]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[103]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[102]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[101]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[100]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[99]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[98]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[97]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[96]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[95]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[94]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[93]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[92]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[91]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[90]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[89]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[88]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[87]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[86]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[85]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[84]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[83]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[82]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[81]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[80]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[79]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[78]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[77]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[76]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[75]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[74]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[73]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[72]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[71]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[70]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[69]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[68]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[67]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[66]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[65]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[64]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[63]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[62]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[61]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[60]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[59]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[58]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[57]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[56]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[55]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[54]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[53]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[52]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[51]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[50]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[49]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[48]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[47]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[46]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[45]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[44]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[43]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[42]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[41]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[40]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[39]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[38]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[37]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[36]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[35]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[34]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[33]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[32]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[0]}]
set_timing_derate -early 0.9500
set_timing_derate -late 1.0500
###############################################################################
# Design Rules
###############################################################################
set_max_fanout 5.0000 [current_design]
[INFO]: Setting signal min routing layer to: met1 and clock min routing layer to met1.
[INFO]: Setting signal max routing layer to: met4 and clock max routing layer to met4.
-congestion_iterations 50 -verbose
[INFO GRT-0020] Min routing layer: met1
[INFO GRT-0021] Max routing layer: met4
[INFO GRT-0022] Global adjustment: 30%
[INFO GRT-0023] Grid origin: (0, 0)
[WARNING GRT-0043] No OR_DEFAULT vias defined.
[INFO GRT-0088] Layer li1 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3400
[INFO GRT-0088] Layer met1 Track-Pitch = 0.3400 line-2-Via Pitch: 0.3400
[INFO GRT-0088] Layer met2 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3500
[INFO GRT-0088] Layer met3 Track-Pitch = 0.6800 line-2-Via Pitch: 0.6150
[INFO GRT-0088] Layer met4 Track-Pitch = 0.9200 line-2-Via Pitch: 0.6150
[INFO GRT-0019] Found 0 clock nets.
[WARNING GRT-0036] Pin analog_io[0] is outside die area.
[WARNING GRT-0036] Pin analog_io[10] is outside die area.
[WARNING GRT-0036] Pin analog_io[11] is outside die area.
[WARNING GRT-0036] Pin analog_io[12] is outside die area.
[WARNING GRT-0036] Pin analog_io[13] is outside die area.
[WARNING GRT-0036] Pin analog_io[14] is outside die area.
[WARNING GRT-0036] Pin analog_io[15] is outside die area.
[WARNING GRT-0036] Pin analog_io[16] is outside die area.
[WARNING GRT-0036] Pin analog_io[17] is outside die area.
[WARNING GRT-0036] Pin analog_io[18] is outside die area.
[WARNING GRT-0036] Pin analog_io[19] is outside die area.
[WARNING GRT-0036] Pin analog_io[1] is outside die area.
[WARNING GRT-0036] Pin analog_io[20] is outside die area.
[WARNING GRT-0036] Pin analog_io[21] is outside die area.
[WARNING GRT-0036] Pin analog_io[22] is outside die area.
[WARNING GRT-0036] Pin analog_io[23] is outside die area.
[WARNING GRT-0036] Pin analog_io[24] is outside die area.
[WARNING GRT-0036] Pin analog_io[25] is outside die area.
[WARNING GRT-0036] Pin analog_io[26] is outside die area.
[WARNING GRT-0036] Pin analog_io[27] is outside die area.
[WARNING GRT-0036] Pin analog_io[28] is outside die area.
[WARNING GRT-0036] Pin analog_io[2] is outside die area.
[WARNING GRT-0036] Pin analog_io[3] is outside die area.
[WARNING GRT-0036] Pin analog_io[4] is outside die area.
[WARNING GRT-0036] Pin analog_io[5] is outside die area.
[WARNING GRT-0036] Pin analog_io[6] is outside die area.
[WARNING GRT-0036] Pin analog_io[7] is outside die area.
[WARNING GRT-0036] Pin analog_io[8] is outside die area.
[WARNING GRT-0036] Pin analog_io[9] is outside die area.
[WARNING GRT-0036] Pin io_in[0] is outside die area.
[WARNING GRT-0036] Pin io_in[10] is outside die area.
[WARNING GRT-0036] Pin io_in[11] is outside die area.
[WARNING GRT-0036] Pin io_in[12] is outside die area.
[WARNING GRT-0036] Pin io_in[13] is outside die area.
[WARNING GRT-0036] Pin io_in[14] is outside die area.
[WARNING GRT-0036] Pin io_in[15] is outside die area.
[WARNING GRT-0036] Pin io_in[16] is outside die area.
[WARNING GRT-0036] Pin io_in[17] is outside die area.
[WARNING GRT-0036] Pin io_in[18] is outside die area.
[WARNING GRT-0036] Pin io_in[19] is outside die area.
[WARNING GRT-0036] Pin io_in[1] is outside die area.
[WARNING GRT-0036] Pin io_in[20] is outside die area.
[WARNING GRT-0036] Pin io_in[21] is outside die area.
[WARNING GRT-0036] Pin io_in[22] is outside die area.
[WARNING GRT-0036] Pin io_in[23] is outside die area.
[WARNING GRT-0036] Pin io_in[24] is outside die area.
[WARNING GRT-0036] Pin io_in[25] is outside die area.
[WARNING GRT-0036] Pin io_in[26] is outside die area.
[WARNING GRT-0036] Pin io_in[27] is outside die area.
[WARNING GRT-0036] Pin io_in[28] is outside die area.
[WARNING GRT-0036] Pin io_in[29] is outside die area.
[WARNING GRT-0036] Pin io_in[2] is outside die area.
[WARNING GRT-0036] Pin io_in[30] is outside die area.
[WARNING GRT-0036] Pin io_in[31] is outside die area.
[WARNING GRT-0036] Pin io_in[32] is outside die area.
[WARNING GRT-0036] Pin io_in[33] is outside die area.
[WARNING GRT-0036] Pin io_in[34] is outside die area.
[WARNING GRT-0036] Pin io_in[35] is outside die area.
[WARNING GRT-0036] Pin io_in[36] is outside die area.
[WARNING GRT-0036] Pin io_in[37] is outside die area.
[WARNING GRT-0036] Pin io_in[3] is outside die area.
[WARNING GRT-0036] Pin io_in[4] is outside die area.
[WARNING GRT-0036] Pin io_in[5] is outside die area.
[WARNING GRT-0036] Pin io_in[6] is outside die area.
[WARNING GRT-0036] Pin io_in[7] is outside die area.
[WARNING GRT-0036] Pin io_in[8] is outside die area.
[WARNING GRT-0036] Pin io_in[9] is outside die area.
[WARNING GRT-0036] Pin io_oeb[0] is outside die area.
[WARNING GRT-0036] Pin io_oeb[10] is outside die area.
[WARNING GRT-0036] Pin io_oeb[11] is outside die area.
[WARNING GRT-0036] Pin io_oeb[12] is outside die area.
[WARNING GRT-0036] Pin io_oeb[13] is outside die area.
[WARNING GRT-0036] Pin io_oeb[14] is outside die area.
[WARNING GRT-0036] Pin io_oeb[15] is outside die area.
[WARNING GRT-0036] Pin io_oeb[16] is outside die area.
[WARNING GRT-0036] Pin io_oeb[17] is outside die area.
[WARNING GRT-0036] Pin io_oeb[18] is outside die area.
[WARNING GRT-0036] Pin io_oeb[19] is outside die area.
[WARNING GRT-0036] Pin io_oeb[1] is outside die area.
[WARNING GRT-0036] Pin io_oeb[20] is outside die area.
[WARNING GRT-0036] Pin io_oeb[21] is outside die area.
[WARNING GRT-0036] Pin io_oeb[22] is outside die area.
[WARNING GRT-0036] Pin io_oeb[23] is outside die area.
[WARNING GRT-0036] Pin io_oeb[24] is outside die area.
[WARNING GRT-0036] Pin io_oeb[25] is outside die area.
[WARNING GRT-0036] Pin io_oeb[26] is outside die area.
[WARNING GRT-0036] Pin io_oeb[27] is outside die area.
[WARNING GRT-0036] Pin io_oeb[28] is outside die area.
[WARNING GRT-0036] Pin io_oeb[29] is outside die area.
[WARNING GRT-0036] Pin io_oeb[2] is outside die area.
[WARNING GRT-0036] Pin io_oeb[30] is outside die area.
[WARNING GRT-0036] Pin io_oeb[31] is outside die area.
[WARNING GRT-0036] Pin io_oeb[32] is outside die area.
[WARNING GRT-0036] Pin io_oeb[33] is outside die area.
[WARNING GRT-0036] Pin io_oeb[34] is outside die area.
[WARNING GRT-0036] Pin io_oeb[35] is outside die area.
[WARNING GRT-0036] Pin io_oeb[36] is outside die area.
[WARNING GRT-0036] Pin io_oeb[37] is outside die area.
[WARNING GRT-0036] Pin io_oeb[3] is outside die area.
[WARNING GRT-0036] Pin io_oeb[4] is outside die area.
[WARNING GRT-0036] Pin io_oeb[5] is outside die area.
[WARNING GRT-0036] Pin io_oeb[6] is outside die area.
[WARNING GRT-0036] Pin io_oeb[7] is outside die area.
[WARNING GRT-0036] Pin io_oeb[8] is outside die area.
[WARNING GRT-0036] Pin io_oeb[9] is outside die area.
[WARNING GRT-0036] Pin io_out[0] is outside die area.
[WARNING GRT-0036] Pin io_out[10] is outside die area.
[WARNING GRT-0036] Pin io_out[11] is outside die area.
[WARNING GRT-0036] Pin io_out[12] is outside die area.
[WARNING GRT-0036] Pin io_out[13] is outside die area.
[WARNING GRT-0036] Pin io_out[14] is outside die area.
[WARNING GRT-0036] Pin io_out[15] is outside die area.
[WARNING GRT-0036] Pin io_out[16] is outside die area.
[WARNING GRT-0036] Pin io_out[17] is outside die area.
[WARNING GRT-0036] Pin io_out[18] is outside die area.
[WARNING GRT-0036] Pin io_out[19] is outside die area.
[WARNING GRT-0036] Pin io_out[1] is outside die area.
[WARNING GRT-0036] Pin io_out[20] is outside die area.
[WARNING GRT-0036] Pin io_out[21] is outside die area.
[WARNING GRT-0036] Pin io_out[22] is outside die area.
[WARNING GRT-0036] Pin io_out[23] is outside die area.
[WARNING GRT-0036] Pin io_out[24] is outside die area.
[WARNING GRT-0036] Pin io_out[25] is outside die area.
[WARNING GRT-0036] Pin io_out[26] is outside die area.
[WARNING GRT-0036] Pin io_out[27] is outside die area.
[WARNING GRT-0036] Pin io_out[28] is outside die area.
[WARNING GRT-0036] Pin io_out[29] is outside die area.
[WARNING GRT-0036] Pin io_out[2] is outside die area.
[WARNING GRT-0036] Pin io_out[30] is outside die area.
[WARNING GRT-0036] Pin io_out[31] is outside die area.
[WARNING GRT-0036] Pin io_out[32] is outside die area.
[WARNING GRT-0036] Pin io_out[33] is outside die area.
[WARNING GRT-0036] Pin io_out[34] is outside die area.
[WARNING GRT-0036] Pin io_out[35] is outside die area.
[WARNING GRT-0036] Pin io_out[36] is outside die area.
[WARNING GRT-0036] Pin io_out[37] is outside die area.
[WARNING GRT-0036] Pin io_out[3] is outside die area.
[WARNING GRT-0036] Pin io_out[4] is outside die area.
[WARNING GRT-0036] Pin io_out[5] is outside die area.
[WARNING GRT-0036] Pin io_out[6] is outside die area.
[WARNING GRT-0036] Pin io_out[7] is outside die area.
[WARNING GRT-0036] Pin io_out[8] is outside die area.
[WARNING GRT-0036] Pin io_out[9] is outside die area.
[WARNING GRT-0036] Pin la_data_in[0] is outside die area.
[WARNING GRT-0036] Pin la_data_in[100] is outside die area.
[WARNING GRT-0036] Pin la_data_in[101] is outside die area.
[WARNING GRT-0036] Pin la_data_in[102] is outside die area.
[WARNING GRT-0036] Pin la_data_in[103] is outside die area.
[WARNING GRT-0036] Pin la_data_in[104] is outside die area.
[WARNING GRT-0036] Pin la_data_in[105] is outside die area.
[WARNING GRT-0036] Pin la_data_in[106] is outside die area.
[WARNING GRT-0036] Pin la_data_in[107] is outside die area.
[WARNING GRT-0036] Pin la_data_in[108] is outside die area.
[WARNING GRT-0036] Pin la_data_in[109] is outside die area.
[WARNING GRT-0036] Pin la_data_in[10] is outside die area.
[WARNING GRT-0036] Pin la_data_in[110] is outside die area.
[WARNING GRT-0036] Pin la_data_in[111] is outside die area.
[WARNING GRT-0036] Pin la_data_in[112] is outside die area.
[WARNING GRT-0036] Pin la_data_in[113] is outside die area.
[WARNING GRT-0036] Pin la_data_in[114] is outside die area.
[WARNING GRT-0036] Pin la_data_in[115] is outside die area.
[WARNING GRT-0036] Pin la_data_in[116] is outside die area.
[WARNING GRT-0036] Pin la_data_in[117] is outside die area.
[WARNING GRT-0036] Pin la_data_in[118] is outside die area.
[WARNING GRT-0036] Pin la_data_in[119] is outside die area.
[WARNING GRT-0036] Pin la_data_in[11] is outside die area.
[WARNING GRT-0036] Pin la_data_in[120] is outside die area.
[WARNING GRT-0036] Pin la_data_in[121] is outside die area.
[WARNING GRT-0036] Pin la_data_in[122] is outside die area.
[WARNING GRT-0036] Pin la_data_in[123] is outside die area.
[WARNING GRT-0036] Pin la_data_in[124] is outside die area.
[WARNING GRT-0036] Pin la_data_in[125] is outside die area.
[WARNING GRT-0036] Pin la_data_in[126] is outside die area.
[WARNING GRT-0036] Pin la_data_in[127] is outside die area.
[WARNING GRT-0036] Pin la_data_in[12] is outside die area.
[WARNING GRT-0036] Pin la_data_in[13] is outside die area.
[WARNING GRT-0036] Pin la_data_in[14] is outside die area.
[WARNING GRT-0036] Pin la_data_in[15] is outside die area.
[WARNING GRT-0036] Pin la_data_in[16] is outside die area.
[WARNING GRT-0036] Pin la_data_in[17] is outside die area.
[WARNING GRT-0036] Pin la_data_in[18] is outside die area.
[WARNING GRT-0036] Pin la_data_in[19] is outside die area.
[WARNING GRT-0036] Pin la_data_in[1] is outside die area.
[WARNING GRT-0036] Pin la_data_in[20] is outside die area.
[WARNING GRT-0036] Pin la_data_in[21] is outside die area.
[WARNING GRT-0036] Pin la_data_in[22] is outside die area.
[WARNING GRT-0036] Pin la_data_in[23] is outside die area.
[WARNING GRT-0036] Pin la_data_in[24] is outside die area.
[WARNING GRT-0036] Pin la_data_in[25] is outside die area.
[WARNING GRT-0036] Pin la_data_in[26] is outside die area.
[WARNING GRT-0036] Pin la_data_in[27] is outside die area.
[WARNING GRT-0036] Pin la_data_in[28] is outside die area.
[WARNING GRT-0036] Pin la_data_in[29] is outside die area.
[WARNING GRT-0036] Pin la_data_in[2] is outside die area.
[WARNING GRT-0036] Pin la_data_in[30] is outside die area.
[WARNING GRT-0036] Pin la_data_in[31] is outside die area.
[WARNING GRT-0036] Pin la_data_in[32] is outside die area.
[WARNING GRT-0036] Pin la_data_in[33] is outside die area.
[WARNING GRT-0036] Pin la_data_in[34] is outside die area.
[WARNING GRT-0036] Pin la_data_in[35] is outside die area.
[WARNING GRT-0036] Pin la_data_in[36] is outside die area.
[WARNING GRT-0036] Pin la_data_in[37] is outside die area.
[WARNING GRT-0036] Pin la_data_in[38] is outside die area.
[WARNING GRT-0036] Pin la_data_in[39] is outside die area.
[WARNING GRT-0036] Pin la_data_in[3] is outside die area.
[WARNING GRT-0036] Pin la_data_in[40] is outside die area.
[WARNING GRT-0036] Pin la_data_in[41] is outside die area.
[WARNING GRT-0036] Pin la_data_in[42] is outside die area.
[WARNING GRT-0036] Pin la_data_in[43] is outside die area.
[WARNING GRT-0036] Pin la_data_in[44] is outside die area.
[WARNING GRT-0036] Pin la_data_in[45] is outside die area.
[WARNING GRT-0036] Pin la_data_in[46] is outside die area.
[WARNING GRT-0036] Pin la_data_in[47] is outside die area.
[WARNING GRT-0036] Pin la_data_in[48] is outside die area.
[WARNING GRT-0036] Pin la_data_in[49] is outside die area.
[WARNING GRT-0036] Pin la_data_in[4] is outside die area.
[WARNING GRT-0036] Pin la_data_in[50] is outside die area.
[WARNING GRT-0036] Pin la_data_in[51] is outside die area.
[WARNING GRT-0036] Pin la_data_in[52] is outside die area.
[WARNING GRT-0036] Pin la_data_in[53] is outside die area.
[WARNING GRT-0036] Pin la_data_in[54] is outside die area.
[WARNING GRT-0036] Pin la_data_in[55] is outside die area.
[WARNING GRT-0036] Pin la_data_in[56] is outside die area.
[WARNING GRT-0036] Pin la_data_in[57] is outside die area.
[WARNING GRT-0036] Pin la_data_in[58] is outside die area.
[WARNING GRT-0036] Pin la_data_in[59] is outside die area.
[WARNING GRT-0036] Pin la_data_in[5] is outside die area.
[WARNING GRT-0036] Pin la_data_in[60] is outside die area.
[WARNING GRT-0036] Pin la_data_in[61] is outside die area.
[WARNING GRT-0036] Pin la_data_in[62] is outside die area.
[WARNING GRT-0036] Pin la_data_in[63] is outside die area.
[WARNING GRT-0036] Pin la_data_in[64] is outside die area.
[WARNING GRT-0036] Pin la_data_in[65] is outside die area.
[WARNING GRT-0036] Pin la_data_in[66] is outside die area.
[WARNING GRT-0036] Pin la_data_in[67] is outside die area.
[WARNING GRT-0036] Pin la_data_in[68] is outside die area.
[WARNING GRT-0036] Pin la_data_in[69] is outside die area.
[WARNING GRT-0036] Pin la_data_in[6] is outside die area.
[WARNING GRT-0036] Pin la_data_in[70] is outside die area.
[WARNING GRT-0036] Pin la_data_in[71] is outside die area.
[WARNING GRT-0036] Pin la_data_in[72] is outside die area.
[WARNING GRT-0036] Pin la_data_in[73] is outside die area.
[WARNING GRT-0036] Pin la_data_in[74] is outside die area.
[WARNING GRT-0036] Pin la_data_in[75] is outside die area.
[WARNING GRT-0036] Pin la_data_in[76] is outside die area.
[WARNING GRT-0036] Pin la_data_in[77] is outside die area.
[WARNING GRT-0036] Pin la_data_in[78] is outside die area.
[WARNING GRT-0036] Pin la_data_in[79] is outside die area.
[WARNING GRT-0036] Pin la_data_in[7] is outside die area.
[WARNING GRT-0036] Pin la_data_in[80] is outside die area.
[WARNING GRT-0036] Pin la_data_in[81] is outside die area.
[WARNING GRT-0036] Pin la_data_in[82] is outside die area.
[WARNING GRT-0036] Pin la_data_in[83] is outside die area.
[WARNING GRT-0036] Pin la_data_in[84] is outside die area.
[WARNING GRT-0036] Pin la_data_in[85] is outside die area.
[WARNING GRT-0036] Pin la_data_in[86] is outside die area.
[WARNING GRT-0036] Pin la_data_in[87] is outside die area.
[WARNING GRT-0036] Pin la_data_in[88] is outside die area.
[WARNING GRT-0036] Pin la_data_in[89] is outside die area.
[WARNING GRT-0036] Pin la_data_in[8] is outside die area.
[WARNING GRT-0036] Pin la_data_in[90] is outside die area.
[WARNING GRT-0036] Pin la_data_in[91] is outside die area.
[WARNING GRT-0036] Pin la_data_in[92] is outside die area.
[WARNING GRT-0036] Pin la_data_in[93] is outside die area.
[WARNING GRT-0036] Pin la_data_in[94] is outside die area.
[WARNING GRT-0036] Pin la_data_in[95] is outside die area.
[WARNING GRT-0036] Pin la_data_in[96] is outside die area.
[WARNING GRT-0036] Pin la_data_in[97] is outside die area.
[WARNING GRT-0036] Pin la_data_in[98] is outside die area.
[WARNING GRT-0036] Pin la_data_in[99] is outside die area.
[WARNING GRT-0036] Pin la_data_in[9] is outside die area.
[WARNING GRT-0036] Pin la_data_out[0] is outside die area.
[WARNING GRT-0036] Pin la_data_out[100] is outside die area.
[WARNING GRT-0036] Pin la_data_out[101] is outside die area.
[WARNING GRT-0036] Pin la_data_out[102] is outside die area.
[WARNING GRT-0036] Pin la_data_out[103] is outside die area.
[WARNING GRT-0036] Pin la_data_out[104] is outside die area.
[WARNING GRT-0036] Pin la_data_out[105] is outside die area.
[WARNING GRT-0036] Pin la_data_out[106] is outside die area.
[WARNING GRT-0036] Pin la_data_out[107] is outside die area.
[WARNING GRT-0036] Pin la_data_out[108] is outside die area.
[WARNING GRT-0036] Pin la_data_out[109] is outside die area.
[WARNING GRT-0036] Pin la_data_out[10] is outside die area.
[WARNING GRT-0036] Pin la_data_out[110] is outside die area.
[WARNING GRT-0036] Pin la_data_out[111] is outside die area.
[WARNING GRT-0036] Pin la_data_out[112] is outside die area.
[WARNING GRT-0036] Pin la_data_out[113] is outside die area.
[WARNING GRT-0036] Pin la_data_out[114] is outside die area.
[WARNING GRT-0036] Pin la_data_out[115] is outside die area.
[WARNING GRT-0036] Pin la_data_out[116] is outside die area.
[WARNING GRT-0036] Pin la_data_out[117] is outside die area.
[WARNING GRT-0036] Pin la_data_out[118] is outside die area.
[WARNING GRT-0036] Pin la_data_out[119] is outside die area.
[WARNING GRT-0036] Pin la_data_out[11] is outside die area.
[WARNING GRT-0036] Pin la_data_out[120] is outside die area.
[WARNING GRT-0036] Pin la_data_out[121] is outside die area.
[WARNING GRT-0036] Pin la_data_out[122] is outside die area.
[WARNING GRT-0036] Pin la_data_out[123] is outside die area.
[WARNING GRT-0036] Pin la_data_out[124] is outside die area.
[WARNING GRT-0036] Pin la_data_out[125] is outside die area.
[WARNING GRT-0036] Pin la_data_out[126] is outside die area.
[WARNING GRT-0036] Pin la_data_out[127] is outside die area.
[WARNING GRT-0036] Pin la_data_out[12] is outside die area.
[WARNING GRT-0036] Pin la_data_out[13] is outside die area.
[WARNING GRT-0036] Pin la_data_out[14] is outside die area.
[WARNING GRT-0036] Pin la_data_out[15] is outside die area.
[WARNING GRT-0036] Pin la_data_out[16] is outside die area.
[WARNING GRT-0036] Pin la_data_out[17] is outside die area.
[WARNING GRT-0036] Pin la_data_out[18] is outside die area.
[WARNING GRT-0036] Pin la_data_out[19] is outside die area.
[WARNING GRT-0036] Pin la_data_out[1] is outside die area.
[WARNING GRT-0036] Pin la_data_out[20] is outside die area.
[WARNING GRT-0036] Pin la_data_out[21] is outside die area.
[WARNING GRT-0036] Pin la_data_out[22] is outside die area.
[WARNING GRT-0036] Pin la_data_out[23] is outside die area.
[WARNING GRT-0036] Pin la_data_out[24] is outside die area.
[WARNING GRT-0036] Pin la_data_out[25] is outside die area.
[WARNING GRT-0036] Pin la_data_out[26] is outside die area.
[WARNING GRT-0036] Pin la_data_out[27] is outside die area.
[WARNING GRT-0036] Pin la_data_out[28] is outside die area.
[WARNING GRT-0036] Pin la_data_out[29] is outside die area.
[WARNING GRT-0036] Pin la_data_out[2] is outside die area.
[WARNING GRT-0036] Pin la_data_out[30] is outside die area.
[WARNING GRT-0036] Pin la_data_out[31] is outside die area.
[WARNING GRT-0036] Pin la_data_out[32] is outside die area.
[WARNING GRT-0036] Pin la_data_out[33] is outside die area.
[WARNING GRT-0036] Pin la_data_out[34] is outside die area.
[WARNING GRT-0036] Pin la_data_out[35] is outside die area.
[WARNING GRT-0036] Pin la_data_out[36] is outside die area.
[WARNING GRT-0036] Pin la_data_out[37] is outside die area.
[WARNING GRT-0036] Pin la_data_out[38] is outside die area.
[WARNING GRT-0036] Pin la_data_out[39] is outside die area.
[WARNING GRT-0036] Pin la_data_out[3] is outside die area.
[WARNING GRT-0036] Pin la_data_out[40] is outside die area.
[WARNING GRT-0036] Pin la_data_out[41] is outside die area.
[WARNING GRT-0036] Pin la_data_out[42] is outside die area.
[WARNING GRT-0036] Pin la_data_out[43] is outside die area.
[WARNING GRT-0036] Pin la_data_out[44] is outside die area.
[WARNING GRT-0036] Pin la_data_out[45] is outside die area.
[WARNING GRT-0036] Pin la_data_out[46] is outside die area.
[WARNING GRT-0036] Pin la_data_out[47] is outside die area.
[WARNING GRT-0036] Pin la_data_out[48] is outside die area.
[WARNING GRT-0036] Pin la_data_out[49] is outside die area.
[WARNING GRT-0036] Pin la_data_out[4] is outside die area.
[WARNING GRT-0036] Pin la_data_out[50] is outside die area.
[WARNING GRT-0036] Pin la_data_out[51] is outside die area.
[WARNING GRT-0036] Pin la_data_out[52] is outside die area.
[WARNING GRT-0036] Pin la_data_out[53] is outside die area.
[WARNING GRT-0036] Pin la_data_out[54] is outside die area.
[WARNING GRT-0036] Pin la_data_out[55] is outside die area.
[WARNING GRT-0036] Pin la_data_out[56] is outside die area.
[WARNING GRT-0036] Pin la_data_out[57] is outside die area.
[WARNING GRT-0036] Pin la_data_out[58] is outside die area.
[WARNING GRT-0036] Pin la_data_out[59] is outside die area.
[WARNING GRT-0036] Pin la_data_out[5] is outside die area.
[WARNING GRT-0036] Pin la_data_out[60] is outside die area.
[WARNING GRT-0036] Pin la_data_out[61] is outside die area.
[WARNING GRT-0036] Pin la_data_out[62] is outside die area.
[WARNING GRT-0036] Pin la_data_out[63] is outside die area.
[WARNING GRT-0036] Pin la_data_out[64] is outside die area.
[WARNING GRT-0036] Pin la_data_out[65] is outside die area.
[WARNING GRT-0036] Pin la_data_out[66] is outside die area.
[WARNING GRT-0036] Pin la_data_out[67] is outside die area.
[WARNING GRT-0036] Pin la_data_out[68] is outside die area.
[WARNING GRT-0036] Pin la_data_out[69] is outside die area.
[WARNING GRT-0036] Pin la_data_out[6] is outside die area.
[WARNING GRT-0036] Pin la_data_out[70] is outside die area.
[WARNING GRT-0036] Pin la_data_out[71] is outside die area.
[WARNING GRT-0036] Pin la_data_out[72] is outside die area.
[WARNING GRT-0036] Pin la_data_out[73] is outside die area.
[WARNING GRT-0036] Pin la_data_out[74] is outside die area.
[WARNING GRT-0036] Pin la_data_out[75] is outside die area.
[WARNING GRT-0036] Pin la_data_out[76] is outside die area.
[WARNING GRT-0036] Pin la_data_out[77] is outside die area.
[WARNING GRT-0036] Pin la_data_out[78] is outside die area.
[WARNING GRT-0036] Pin la_data_out[79] is outside die area.
[WARNING GRT-0036] Pin la_data_out[7] is outside die area.
[WARNING GRT-0036] Pin la_data_out[80] is outside die area.
[WARNING GRT-0036] Pin la_data_out[81] is outside die area.
[WARNING GRT-0036] Pin la_data_out[82] is outside die area.
[WARNING GRT-0036] Pin la_data_out[83] is outside die area.
[WARNING GRT-0036] Pin la_data_out[84] is outside die area.
[WARNING GRT-0036] Pin la_data_out[85] is outside die area.
[WARNING GRT-0036] Pin la_data_out[86] is outside die area.
[WARNING GRT-0036] Pin la_data_out[87] is outside die area.
[WARNING GRT-0036] Pin la_data_out[88] is outside die area.
[WARNING GRT-0036] Pin la_data_out[89] is outside die area.
[WARNING GRT-0036] Pin la_data_out[8] is outside die area.
[WARNING GRT-0036] Pin la_data_out[90] is outside die area.
[WARNING GRT-0036] Pin la_data_out[91] is outside die area.
[WARNING GRT-0036] Pin la_data_out[92] is outside die area.
[WARNING GRT-0036] Pin la_data_out[93] is outside die area.
[WARNING GRT-0036] Pin la_data_out[94] is outside die area.
[WARNING GRT-0036] Pin la_data_out[95] is outside die area.
[WARNING GRT-0036] Pin la_data_out[96] is outside die area.
[WARNING GRT-0036] Pin la_data_out[97] is outside die area.
[WARNING GRT-0036] Pin la_data_out[98] is outside die area.
[WARNING GRT-0036] Pin la_data_out[99] is outside die area.
[WARNING GRT-0036] Pin la_data_out[9] is outside die area.
[WARNING GRT-0036] Pin la_oenb[0] is outside die area.
[WARNING GRT-0036] Pin la_oenb[100] is outside die area.
[WARNING GRT-0036] Pin la_oenb[101] is outside die area.
[WARNING GRT-0036] Pin la_oenb[102] is outside die area.
[WARNING GRT-0036] Pin la_oenb[103] is outside die area.
[WARNING GRT-0036] Pin la_oenb[104] is outside die area.
[WARNING GRT-0036] Pin la_oenb[105] is outside die area.
[WARNING GRT-0036] Pin la_oenb[106] is outside die area.
[WARNING GRT-0036] Pin la_oenb[107] is outside die area.
[WARNING GRT-0036] Pin la_oenb[108] is outside die area.
[WARNING GRT-0036] Pin la_oenb[109] is outside die area.
[WARNING GRT-0036] Pin la_oenb[10] is outside die area.
[WARNING GRT-0036] Pin la_oenb[110] is outside die area.
[WARNING GRT-0036] Pin la_oenb[111] is outside die area.
[WARNING GRT-0036] Pin la_oenb[112] is outside die area.
[WARNING GRT-0036] Pin la_oenb[113] is outside die area.
[WARNING GRT-0036] Pin la_oenb[114] is outside die area.
[WARNING GRT-0036] Pin la_oenb[115] is outside die area.
[WARNING GRT-0036] Pin la_oenb[116] is outside die area.
[WARNING GRT-0036] Pin la_oenb[117] is outside die area.
[WARNING GRT-0036] Pin la_oenb[118] is outside die area.
[WARNING GRT-0036] Pin la_oenb[119] is outside die area.
[WARNING GRT-0036] Pin la_oenb[11] is outside die area.
[WARNING GRT-0036] Pin la_oenb[120] is outside die area.
[WARNING GRT-0036] Pin la_oenb[121] is outside die area.
[WARNING GRT-0036] Pin la_oenb[122] is outside die area.
[WARNING GRT-0036] Pin la_oenb[123] is outside die area.
[WARNING GRT-0036] Pin la_oenb[124] is outside die area.
[WARNING GRT-0036] Pin la_oenb[125] is outside die area.
[WARNING GRT-0036] Pin la_oenb[126] is outside die area.
[WARNING GRT-0036] Pin la_oenb[127] is outside die area.
[WARNING GRT-0036] Pin la_oenb[12] is outside die area.
[WARNING GRT-0036] Pin la_oenb[13] is outside die area.
[WARNING GRT-0036] Pin la_oenb[14] is outside die area.
[WARNING GRT-0036] Pin la_oenb[15] is outside die area.
[WARNING GRT-0036] Pin la_oenb[16] is outside die area.
[WARNING GRT-0036] Pin la_oenb[17] is outside die area.
[WARNING GRT-0036] Pin la_oenb[18] is outside die area.
[WARNING GRT-0036] Pin la_oenb[19] is outside die area.
[WARNING GRT-0036] Pin la_oenb[1] is outside die area.
[WARNING GRT-0036] Pin la_oenb[20] is outside die area.
[WARNING GRT-0036] Pin la_oenb[21] is outside die area.
[WARNING GRT-0036] Pin la_oenb[22] is outside die area.
[WARNING GRT-0036] Pin la_oenb[23] is outside die area.
[WARNING GRT-0036] Pin la_oenb[24] is outside die area.
[WARNING GRT-0036] Pin la_oenb[25] is outside die area.
[WARNING GRT-0036] Pin la_oenb[26] is outside die area.
[WARNING GRT-0036] Pin la_oenb[27] is outside die area.
[WARNING GRT-0036] Pin la_oenb[28] is outside die area.
[WARNING GRT-0036] Pin la_oenb[29] is outside die area.
[WARNING GRT-0036] Pin la_oenb[2] is outside die area.
[WARNING GRT-0036] Pin la_oenb[30] is outside die area.
[WARNING GRT-0036] Pin la_oenb[31] is outside die area.
[WARNING GRT-0036] Pin la_oenb[32] is outside die area.
[WARNING GRT-0036] Pin la_oenb[33] is outside die area.
[WARNING GRT-0036] Pin la_oenb[34] is outside die area.
[WARNING GRT-0036] Pin la_oenb[35] is outside die area.
[WARNING GRT-0036] Pin la_oenb[36] is outside die area.
[WARNING GRT-0036] Pin la_oenb[37] is outside die area.
[WARNING GRT-0036] Pin la_oenb[38] is outside die area.
[WARNING GRT-0036] Pin la_oenb[39] is outside die area.
[WARNING GRT-0036] Pin la_oenb[3] is outside die area.
[WARNING GRT-0036] Pin la_oenb[40] is outside die area.
[WARNING GRT-0036] Pin la_oenb[41] is outside die area.
[WARNING GRT-0036] Pin la_oenb[42] is outside die area.
[WARNING GRT-0036] Pin la_oenb[43] is outside die area.
[WARNING GRT-0036] Pin la_oenb[44] is outside die area.
[WARNING GRT-0036] Pin la_oenb[45] is outside die area.
[WARNING GRT-0036] Pin la_oenb[46] is outside die area.
[WARNING GRT-0036] Pin la_oenb[47] is outside die area.
[WARNING GRT-0036] Pin la_oenb[48] is outside die area.
[WARNING GRT-0036] Pin la_oenb[49] is outside die area.
[WARNING GRT-0036] Pin la_oenb[4] is outside die area.
[WARNING GRT-0036] Pin la_oenb[50] is outside die area.
[WARNING GRT-0036] Pin la_oenb[51] is outside die area.
[WARNING GRT-0036] Pin la_oenb[52] is outside die area.
[WARNING GRT-0036] Pin la_oenb[53] is outside die area.
[WARNING GRT-0036] Pin la_oenb[54] is outside die area.
[WARNING GRT-0036] Pin la_oenb[55] is outside die area.
[WARNING GRT-0036] Pin la_oenb[56] is outside die area.
[WARNING GRT-0036] Pin la_oenb[57] is outside die area.
[WARNING GRT-0036] Pin la_oenb[58] is outside die area.
[WARNING GRT-0036] Pin la_oenb[59] is outside die area.
[WARNING GRT-0036] Pin la_oenb[5] is outside die area.
[WARNING GRT-0036] Pin la_oenb[60] is outside die area.
[WARNING GRT-0036] Pin la_oenb[61] is outside die area.
[WARNING GRT-0036] Pin la_oenb[62] is outside die area.
[WARNING GRT-0036] Pin la_oenb[63] is outside die area.
[WARNING GRT-0036] Pin la_oenb[64] is outside die area.
[WARNING GRT-0036] Pin la_oenb[65] is outside die area.
[WARNING GRT-0036] Pin la_oenb[66] is outside die area.
[WARNING GRT-0036] Pin la_oenb[67] is outside die area.
[WARNING GRT-0036] Pin la_oenb[68] is outside die area.
[WARNING GRT-0036] Pin la_oenb[69] is outside die area.
[WARNING GRT-0036] Pin la_oenb[6] is outside die area.
[WARNING GRT-0036] Pin la_oenb[70] is outside die area.
[WARNING GRT-0036] Pin la_oenb[71] is outside die area.
[WARNING GRT-0036] Pin la_oenb[72] is outside die area.
[WARNING GRT-0036] Pin la_oenb[73] is outside die area.
[WARNING GRT-0036] Pin la_oenb[74] is outside die area.
[WARNING GRT-0036] Pin la_oenb[75] is outside die area.
[WARNING GRT-0036] Pin la_oenb[76] is outside die area.
[WARNING GRT-0036] Pin la_oenb[77] is outside die area.
[WARNING GRT-0036] Pin la_oenb[78] is outside die area.
[WARNING GRT-0036] Pin la_oenb[79] is outside die area.
[WARNING GRT-0036] Pin la_oenb[7] is outside die area.
[WARNING GRT-0036] Pin la_oenb[80] is outside die area.
[WARNING GRT-0036] Pin la_oenb[81] is outside die area.
[WARNING GRT-0036] Pin la_oenb[82] is outside die area.
[WARNING GRT-0036] Pin la_oenb[83] is outside die area.
[WARNING GRT-0036] Pin la_oenb[84] is outside die area.
[WARNING GRT-0036] Pin la_oenb[85] is outside die area.
[WARNING GRT-0036] Pin la_oenb[86] is outside die area.
[WARNING GRT-0036] Pin la_oenb[87] is outside die area.
[WARNING GRT-0036] Pin la_oenb[88] is outside die area.
[WARNING GRT-0036] Pin la_oenb[89] is outside die area.
[WARNING GRT-0036] Pin la_oenb[8] is outside die area.
[WARNING GRT-0036] Pin la_oenb[90] is outside die area.
[WARNING GRT-0036] Pin la_oenb[91] is outside die area.
[WARNING GRT-0036] Pin la_oenb[92] is outside die area.
[WARNING GRT-0036] Pin la_oenb[93] is outside die area.
[WARNING GRT-0036] Pin la_oenb[94] is outside die area.
[WARNING GRT-0036] Pin la_oenb[95] is outside die area.
[WARNING GRT-0036] Pin la_oenb[96] is outside die area.
[WARNING GRT-0036] Pin la_oenb[97] is outside die area.
[WARNING GRT-0036] Pin la_oenb[98] is outside die area.
[WARNING GRT-0036] Pin la_oenb[99] is outside die area.
[WARNING GRT-0036] Pin la_oenb[9] is outside die area.
[WARNING GRT-0036] Pin user_clock2 is outside die area.
[WARNING GRT-0036] Pin user_irq[0] is outside die area.
[WARNING GRT-0036] Pin user_irq[1] is outside die area.
[WARNING GRT-0036] Pin user_irq[2] is outside die area.
[WARNING GRT-0036] Pin wb_clk_i is outside die area.
[WARNING GRT-0036] Pin wb_rst_i is outside die area.
[WARNING GRT-0036] Pin wbs_ack_o is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[0] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[10] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[11] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[12] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[13] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[14] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[15] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[16] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[17] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[18] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[19] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[1] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[20] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[21] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[22] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[23] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[24] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[25] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[26] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[27] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[28] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[29] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[2] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[30] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[31] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[3] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[4] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[5] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[6] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[7] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[8] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[9] is outside die area.
[WARNING GRT-0036] Pin wbs_cyc_i is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[0] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[10] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[11] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[12] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[13] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[14] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[15] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[16] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[17] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[18] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[19] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[1] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[20] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[21] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[22] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[23] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[24] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[25] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[26] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[27] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[28] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[29] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[2] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[30] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[31] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[3] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[4] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[5] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[6] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[7] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[8] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[9] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[0] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[10] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[11] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[12] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[13] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[14] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[15] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[16] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[17] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[18] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[19] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[1] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[20] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[21] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[22] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[23] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[24] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[25] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[26] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[27] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[28] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[29] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[2] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[30] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[31] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[3] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[4] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[5] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[6] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[7] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[8] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[9] is outside die area.
[WARNING GRT-0036] Pin wbs_sel_i[0] is outside die area.
[WARNING GRT-0036] Pin wbs_sel_i[1] is outside die area.
[WARNING GRT-0036] Pin wbs_sel_i[2] is outside die area.
[WARNING GRT-0036] Pin wbs_sel_i[3] is outside die area.
[WARNING GRT-0036] Pin wbs_stb_i is outside die area.
[WARNING GRT-0036] Pin wbs_we_i is outside die area.
[INFO GRT-0001] Minimum degree: 2
[INFO GRT-0002] Maximum degree: 2
[INFO GRT-0003] Macros: 1
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[INFO GRT-0004] Blockages: 166
[INFO GRT-0053] Routing resources analysis:
Routing Original Derated Resource
Layer Direction Resources Resources Reduction (%)
---------------------------------------------------------------
li1 Vertical 0 0 0.00%
met1 Horizontal 4314600 2830999 34.39%
met2 Vertical 3235950 2023299 37.47%
met3 Horizontal 2157300 1416419 34.34%
met4 Vertical 1510110 716877 52.53%
---------------------------------------------------------------
[INFO GRT-0197] Via related to pin nodes: 343
[INFO GRT-0198] Via related Steiner nodes: 0
[INFO GRT-0199] Via filling finished.
[INFO GRT-0111] Final number of vias: 544
[INFO GRT-0112] Final usage 3D: 52848
[INFO GRT-0096] Final congestion report:
Layer Resource Demand Usage (%) Max H / Max V / Total Overflow
---------------------------------------------------------------------------------------
li1 0 0 0.00% 0 / 0 / 0
met1 2830999 17923 0.63% 0 / 0 / 0
met2 2023299 31646 1.56% 0 / 0 / 0
met3 1416419 1447 0.10% 0 / 0 / 0
met4 716877 200 0.03% 0 / 0 / 0
---------------------------------------------------------------------------------------
Total 6987594 51216 0.73% 0 / 0 / 0
[INFO GRT-0018] Total wirelength: 359821 um
[INFO GRT-0014] Routed nets: 106
[INFO]: Setting RC values...
min_report
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
No paths found.
min_report_end
max_report
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
No paths found.
max_report_end
check_report
===========================================================================
report_checks -unconstrained
============================================================================
Startpoint: wbs_cyc_i (input port clocked by user_clock2)
Endpoint: fossiAES/io_wbs_cyc_i (internal pin)
Path Group: (none)
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
2.00 2.00 ^ input external delay
2.54 2.47 4.47 ^ wbs_cyc_i (in)
1 0.60 wbs_cyc_i (net)
3.34 0.00 4.47 ^ fossiAES/io_wbs_cyc_i (aes)
4.47 data arrival time
-----------------------------------------------------------------------------
(Path is unconstrained)
===========================================================================
report_checks --slack_max -0.01
============================================================================
No paths found.
check_report_end
check_slew
===========================================================================
report_check_types -max_slew -max_cap -max_fanout -violators
============================================================================
max slew
Pin Limit Slew Slack
------------------------------------------------------------
wbs_dat_i[0] 1.50 2.64 -1.14 (VIOLATED)
wbs_adr_i[0] 1.50 2.63 -1.13 (VIOLATED)
wbs_cyc_i 1.50 2.54 -1.05 (VIOLATED)
wbs_dat_i[10] 1.50 2.51 -1.01 (VIOLATED)
wbs_adr_i[9] 1.50 2.51 -1.01 (VIOLATED)
wbs_adr_i[6] 1.50 2.50 -1.00 (VIOLATED)
wbs_sel_i[0] 1.50 2.50 -1.00 (VIOLATED)
wbs_dat_i[15] 1.50 2.46 -0.96 (VIOLATED)
wbs_dat_i[1] 1.50 2.44 -0.94 (VIOLATED)
wb_rst_i 1.50 2.43 -0.94 (VIOLATED)
wbs_dat_i[23] 1.50 2.42 -0.92 (VIOLATED)
wbs_adr_i[13] 1.50 2.35 -0.85 (VIOLATED)
wbs_adr_i[25] 1.50 2.34 -0.85 (VIOLATED)
wbs_dat_i[8] 1.50 2.34 -0.84 (VIOLATED)
wbs_adr_i[16] 1.50 2.34 -0.84 (VIOLATED)
wbs_dat_i[24] 1.50 2.32 -0.82 (VIOLATED)
wbs_adr_i[12] 1.50 2.31 -0.81 (VIOLATED)
wbs_dat_i[11] 1.50 2.28 -0.78 (VIOLATED)
wbs_adr_i[17] 1.50 2.27 -0.77 (VIOLATED)
wbs_dat_i[9] 1.50 2.24 -0.75 (VIOLATED)
wbs_dat_i[12] 1.50 2.23 -0.73 (VIOLATED)
wb_clk_i 1.50 2.23 -0.73 (VIOLATED)
wbs_sel_i[2] 1.50 2.22 -0.72 (VIOLATED)
wbs_dat_i[16] 1.50 2.20 -0.70 (VIOLATED)
wbs_sel_i[1] 1.50 2.18 -0.68 (VIOLATED)
wbs_dat_i[14] 1.50 2.16 -0.67 (VIOLATED)
wbs_dat_i[2] 1.50 2.16 -0.67 (VIOLATED)
wbs_dat_i[13] 1.50 2.16 -0.66 (VIOLATED)
wbs_adr_i[22] 1.50 2.15 -0.66 (VIOLATED)
wbs_adr_i[26] 1.50 2.15 -0.65 (VIOLATED)
wbs_adr_i[31] 1.50 2.14 -0.65 (VIOLATED)
wbs_dat_i[18] 1.50 2.14 -0.64 (VIOLATED)
wbs_we_i 1.50 2.10 -0.60 (VIOLATED)
wbs_dat_i[7] 1.50 2.09 -0.59 (VIOLATED)
wbs_adr_i[21] 1.50 2.09 -0.59 (VIOLATED)
wbs_dat_i[21] 1.50 2.08 -0.58 (VIOLATED)
wbs_dat_i[3] 1.50 2.07 -0.57 (VIOLATED)
wbs_dat_i[27] 1.50 2.04 -0.54 (VIOLATED)
wbs_adr_i[18] 1.50 2.04 -0.54 (VIOLATED)
wbs_adr_i[24] 1.50 2.03 -0.53 (VIOLATED)
wbs_adr_i[1] 1.50 2.03 -0.53 (VIOLATED)
wbs_adr_i[27] 1.50 2.02 -0.52 (VIOLATED)
wbs_dat_i[22] 1.50 2.01 -0.51 (VIOLATED)
wbs_sel_i[3] 1.50 2.00 -0.50 (VIOLATED)
wbs_dat_i[19] 1.50 2.00 -0.50 (VIOLATED)
wbs_adr_i[3] 1.50 1.98 -0.48 (VIOLATED)
wbs_adr_i[30] 1.50 1.96 -0.47 (VIOLATED)
wbs_dat_i[30] 1.50 1.94 -0.44 (VIOLATED)
wbs_adr_i[20] 1.50 1.92 -0.42 (VIOLATED)
wbs_adr_i[11] 1.50 1.87 -0.37 (VIOLATED)
wbs_stb_i 1.50 1.87 -0.37 (VIOLATED)
wbs_adr_i[10] 1.50 1.85 -0.35 (VIOLATED)
wbs_adr_i[2] 1.50 1.84 -0.35 (VIOLATED)
wbs_adr_i[19] 1.50 1.84 -0.34 (VIOLATED)
wbs_adr_i[23] 1.50 1.82 -0.32 (VIOLATED)
wbs_dat_i[25] 1.50 1.81 -0.31 (VIOLATED)
wbs_dat_i[20] 1.50 1.80 -0.30 (VIOLATED)
wbs_dat_i[6] 1.50 1.79 -0.29 (VIOLATED)
wbs_adr_i[4] 1.50 1.79 -0.29 (VIOLATED)
wbs_dat_i[31] 1.50 1.76 -0.26 (VIOLATED)
wbs_adr_i[15] 1.50 1.74 -0.25 (VIOLATED)
wbs_dat_i[4] 1.50 1.73 -0.23 (VIOLATED)
wbs_adr_i[7] 1.50 1.71 -0.22 (VIOLATED)
wbs_adr_i[8] 1.50 1.71 -0.21 (VIOLATED)
wbs_dat_i[5] 1.50 1.69 -0.19 (VIOLATED)
wbs_dat_i[17] 1.50 1.67 -0.18 (VIOLATED)
wbs_adr_i[5] 1.50 1.67 -0.17 (VIOLATED)
wbs_adr_i[28] 1.50 1.65 -0.15 (VIOLATED)
wbs_dat_i[26] 1.50 1.62 -0.12 (VIOLATED)
wbs_dat_i[29] 1.50 1.61 -0.12 (VIOLATED)
wbs_adr_i[29] 1.50 1.61 -0.12 (VIOLATED)
wbs_adr_i[14] 1.50 1.60 -0.10 (VIOLATED)
wbs_dat_i[28] 1.50 1.57 -0.08 (VIOLATED)
max capacitance
Pin Limit Cap Slack
------------------------------------------------------------
wbs_dat_i[0] 0.33 0.60 -0.27 (VIOLATED)
wbs_cyc_i 0.33 0.60 -0.27 (VIOLATED)
wbs_adr_i[0] 0.33 0.60 -0.26 (VIOLATED)
wbs_adr_i[9] 0.33 0.59 -0.26 (VIOLATED)
wbs_adr_i[6] 0.33 0.59 -0.26 (VIOLATED)
wbs_sel_i[0] 0.33 0.59 -0.26 (VIOLATED)
wbs_dat_i[10] 0.33 0.58 -0.25 (VIOLATED)
wb_rst_i 0.33 0.56 -0.23 (VIOLATED)
wbs_dat_i[1] 0.33 0.56 -0.23 (VIOLATED)
wbs_dat_i[15] 0.33 0.55 -0.22 (VIOLATED)
wbs_adr_i[13] 0.33 0.55 -0.22 (VIOLATED)
wbs_dat_i[23] 0.33 0.54 -0.21 (VIOLATED)
wbs_adr_i[25] 0.33 0.54 -0.21 (VIOLATED)
wbs_adr_i[12] 0.33 0.54 -0.21 (VIOLATED)
wbs_adr_i[16] 0.33 0.54 -0.21 (VIOLATED)
wbs_dat_i[8] 0.33 0.54 -0.21 (VIOLATED)
wbs_dat_i[24] 0.33 0.53 -0.20 (VIOLATED)
wbs_dat_i[11] 0.33 0.52 -0.19 (VIOLATED)
wbs_adr_i[17] 0.33 0.52 -0.19 (VIOLATED)
wb_clk_i 0.33 0.52 -0.19 (VIOLATED)
wbs_sel_i[2] 0.33 0.52 -0.19 (VIOLATED)
wbs_dat_i[9] 0.33 0.52 -0.18 (VIOLATED)
wbs_dat_i[16] 0.33 0.51 -0.18 (VIOLATED)
wbs_dat_i[12] 0.33 0.51 -0.18 (VIOLATED)
wbs_sel_i[1] 0.33 0.51 -0.17 (VIOLATED)
wbs_dat_i[14] 0.33 0.50 -0.17 (VIOLATED)
wbs_dat_i[13] 0.33 0.50 -0.17 (VIOLATED)
wbs_adr_i[22] 0.33 0.50 -0.17 (VIOLATED)
wbs_adr_i[26] 0.33 0.50 -0.17 (VIOLATED)
wbs_adr_i[31] 0.33 0.50 -0.17 (VIOLATED)
wbs_dat_i[18] 0.33 0.50 -0.17 (VIOLATED)
wbs_dat_i[2] 0.33 0.49 -0.16 (VIOLATED)
wbs_we_i 0.33 0.49 -0.16 (VIOLATED)
wbs_dat_i[3] 0.33 0.48 -0.15 (VIOLATED)
wbs_adr_i[21] 0.33 0.48 -0.15 (VIOLATED)
wbs_dat_i[7] 0.33 0.48 -0.14 (VIOLATED)
wbs_dat_i[21] 0.33 0.47 -0.14 (VIOLATED)
wbs_adr_i[24] 0.33 0.47 -0.14 (VIOLATED)
wbs_dat_i[27] 0.33 0.46 -0.13 (VIOLATED)
wbs_adr_i[18] 0.33 0.46 -0.13 (VIOLATED)
wbs_adr_i[1] 0.33 0.46 -0.13 (VIOLATED)
wbs_adr_i[27] 0.33 0.46 -0.13 (VIOLATED)
wbs_dat_i[22] 0.33 0.46 -0.12 (VIOLATED)
wbs_adr_i[3] 0.33 0.46 -0.12 (VIOLATED)
wbs_sel_i[3] 0.33 0.46 -0.12 (VIOLATED)
wbs_dat_i[19] 0.33 0.45 -0.12 (VIOLATED)
wbs_adr_i[30] 0.33 0.44 -0.11 (VIOLATED)
wbs_dat_i[30] 0.33 0.44 -0.11 (VIOLATED)
wbs_adr_i[20] 0.33 0.43 -0.10 (VIOLATED)
wbs_adr_i[11] 0.33 0.43 -0.10 (VIOLATED)
wbs_stb_i 0.33 0.43 -0.09 (VIOLATED)
wbs_adr_i[10] 0.33 0.42 -0.09 (VIOLATED)
wbs_adr_i[19] 0.33 0.42 -0.09 (VIOLATED)
wbs_adr_i[2] 0.33 0.42 -0.09 (VIOLATED)
wbs_adr_i[23] 0.33 0.42 -0.09 (VIOLATED)
wbs_dat_i[20] 0.33 0.41 -0.08 (VIOLATED)
wbs_dat_i[25] 0.33 0.41 -0.08 (VIOLATED)
wbs_dat_i[6] 0.33 0.41 -0.07 (VIOLATED)
wbs_adr_i[4] 0.33 0.40 -0.07 (VIOLATED)
wbs_adr_i[15] 0.33 0.40 -0.07 (VIOLATED)
wbs_dat_i[31] 0.33 0.40 -0.07 (VIOLATED)
wbs_dat_i[4] 0.33 0.39 -0.06 (VIOLATED)
wbs_adr_i[8] 0.33 0.39 -0.06 (VIOLATED)
wbs_adr_i[7] 0.33 0.38 -0.05 (VIOLATED)
wbs_dat_i[5] 0.33 0.38 -0.05 (VIOLATED)
wbs_adr_i[5] 0.33 0.38 -0.05 (VIOLATED)
wbs_adr_i[28] 0.33 0.38 -0.04 (VIOLATED)
wbs_dat_i[17] 0.33 0.37 -0.04 (VIOLATED)
wbs_dat_i[29] 0.33 0.37 -0.03 (VIOLATED)
wbs_dat_i[26] 0.33 0.36 -0.03 (VIOLATED)
wbs_adr_i[14] 0.33 0.36 -0.03 (VIOLATED)
wbs_adr_i[29] 0.33 0.36 -0.03 (VIOLATED)
wbs_dat_i[28] 0.33 0.36 -0.02 (VIOLATED)
===========================================================================
max slew violation count 73
max fanout violation count 0
max cap violation count 73
============================================================================
check_slew_end
tns_report
===========================================================================
report_tns
============================================================================
tns 0.00
tns_report_end
wns_report
===========================================================================
report_wns
============================================================================
wns 0.00
wns_report_end
worst_slack
===========================================================================
report_worst_slack -max (Setup)
============================================================================
worst slack INF
===========================================================================
report_worst_slack -min (Hold)
============================================================================
worst slack INF
worst_slack_end
clock_skew
===========================================================================
report_clock_skew
============================================================================
Clock user_clock2
No launch/capture paths found.
clock_skew_end
power_report
===========================================================================
report_power
============================================================================
Group Internal Switching Leakage Total
Power Power Power Power
----------------------------------------------------------------
Sequential 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Combinational 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
0.0% 0.0% 0.0%
power_report_end
area_report
===========================================================================
report_design_area
============================================================================
Design area 640000 u^2 6% utilization.
area_report_end
[INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/placement/user_project_wrapper.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/15-global.def
[INFO]: Changing layout from 0 to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/15-global.guide
[INFO]: Current Def is /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/15-global.def
[INFO]: Current Guide is /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/15-global.guide
[INFO]: Incremented step index to 15.
[INFO]: Writing Verilog...
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/15-global.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0130] Created 645 pins.
[INFO ODB-0131] Created 1 components and 108 component-terminals.
[INFO ODB-0132] Created 8 special nets and 0 connections.
[INFO ODB-0133] Created 637 nets and 106 connections.
[INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/15-global.def
[INFO]: Changing netlist from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/synthesis/user_project_wrapper.v to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/15-global.v
[INFO]: Incremented step index to 16.
[INFO]: Running Detailed Routing...
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/15-global.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0130] Created 645 pins.
[INFO ODB-0131] Created 1 components and 108 component-terminals.
[INFO ODB-0132] Created 8 special nets and 0 connections.
[INFO ODB-0133] Created 637 nets and 106 connections.
[INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/15-global.def
[INFO ORD-0030] Using 2 thread(s).
[INFO DRT-0149] Reading tech and libs.
Units: 1000
Number of layers: 13
Number of macros: 442
Number of vias: 25
Number of viarulegen: 25
[INFO DRT-0150] Reading design.
Design: user_project_wrapper
Die area: ( 0 0 ) ( 2920000 3520000 )
Number of track patterns: 12
Number of DEF vias: 2
Number of components: 1
Number of terminals: 645
Number of snets: 8
Number of nets: 637
[INFO DRT-0167] List of default vias:
Layer mcon
default via: L1M1_PR
Layer via
default via: M1M2_PR
Layer via2
default via: M2M3_PR
Layer via3
default via: M3M4_PR
Layer via4
default via: M4M5_PR
[INFO DRT-0162] Library cell analysis.
[INFO DRT-0163] Instance analysis.
[INFO DRT-0164] Number of unique instances = 1.
[INFO DRT-0168] Init region query.
[INFO DRT-0024] Complete FR_MASTERSLICE.
[INFO DRT-0024] Complete FR_VIA.
[INFO DRT-0024] Complete li1.
[INFO DRT-0024] Complete mcon.
[INFO DRT-0024] Complete met1.
[INFO DRT-0024] Complete via.
[INFO DRT-0024] Complete met2.
[INFO DRT-0024] Complete via2.
[INFO DRT-0024] Complete met3.
[INFO DRT-0024] Complete via3.
[INFO DRT-0024] Complete met4.
[INFO DRT-0024] Complete via4.
[INFO DRT-0024] Complete met5.
[INFO DRT-0033] FR_MASTERSLICE shape region query size = 0.
[INFO DRT-0033] FR_VIA shape region query size = 0.
[INFO DRT-0033] li1 shape region query size = 1.
[INFO DRT-0033] mcon shape region query size = 0.
[INFO DRT-0033] met1 shape region query size = 1.
[INFO DRT-0033] via shape region query size = 0.
[INFO DRT-0033] met2 shape region query size = 642.
[INFO DRT-0033] via2 shape region query size = 0.
[INFO DRT-0033] met3 shape region query size = 258.
[INFO DRT-0033] via3 shape region query size = 0.
[INFO DRT-0033] met4 shape region query size = 3409.
[INFO DRT-0033] via4 shape region query size = 12006.
[INFO DRT-0033] met5 shape region query size = 3370.
[INFO DRT-0165] Start pin access.
[INFO DRT-0076] Complete 100 pins.
[INFO DRT-0078] Complete 106 pins.
[INFO DRT-0081] Complete 0 unique inst patterns.
[INFO DRT-0084] Complete 0 groups.
#scanned instances = 1
#unique instances = 1
#stdCellGenAp = 0
#stdCellValidPlanarAp = 0
#stdCellValidViaAp = 0
#stdCellPinNoAp = 0
#stdCellPinCnt = 0
#instTermValidViaApCnt = 0
#macroGenAp = 536
#macroValidPlanarAp = 509
#macroValidViaAp = 0
#macroNoAp = 0
[INFO DRT-0166] Complete pin access.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 100.87 (MB), peak = 100.87 (MB)
[INFO DRT-0151] Reading guide.
Number of guides: 614
[INFO DRT-0169] Post process guides.
[INFO DRT-0176] GCELLGRID X 0 DO 510 STEP 6900 ;
[INFO DRT-0177] GCELLGRID Y 0 DO 423 STEP 6900 ;
[INFO DRT-0028] Complete FR_MASTERSLICE.
[INFO DRT-0028] Complete FR_VIA.
[INFO DRT-0028] Complete li1.
[INFO DRT-0028] Complete mcon.
[INFO DRT-0028] Complete met1.
[INFO DRT-0028] Complete via.
[INFO DRT-0028] Complete met2.
[INFO DRT-0028] Complete via2.
[INFO DRT-0028] Complete met3.
[INFO DRT-0028] Complete via3.
[INFO DRT-0028] Complete met4.
[INFO DRT-0028] Complete via4.
[INFO DRT-0028] Complete met5.
[INFO DRT-0178] Init guide query.
[INFO DRT-0035] Complete FR_MASTERSLICE (guide).
[INFO DRT-0035] Complete FR_VIA (guide).
[INFO DRT-0035] Complete li1 (guide).
[INFO DRT-0035] Complete mcon (guide).
[INFO DRT-0035] Complete met1 (guide).
[INFO DRT-0035] Complete via (guide).
[INFO DRT-0035] Complete met2 (guide).
[INFO DRT-0035] Complete via2 (guide).
[INFO DRT-0035] Complete met3 (guide).
[INFO DRT-0035] Complete via3 (guide).
[INFO DRT-0035] Complete met4 (guide).
[INFO DRT-0035] Complete via4 (guide).
[INFO DRT-0035] Complete met5 (guide).
[INFO DRT-0036] FR_MASTERSLICE guide region query size = 0.
[INFO DRT-0036] FR_VIA guide region query size = 0.
[INFO DRT-0036] li1 guide region query size = 0.
[INFO DRT-0036] mcon guide region query size = 0.
[INFO DRT-0036] met1 guide region query size = 126.
[INFO DRT-0036] via guide region query size = 0.
[INFO DRT-0036] met2 guide region query size = 236.
[INFO DRT-0036] via2 guide region query size = 0.
[INFO DRT-0036] met3 guide region query size = 57.
[INFO DRT-0036] via3 guide region query size = 0.
[INFO DRT-0036] met4 guide region query size = 3.
[INFO DRT-0036] via4 guide region query size = 0.
[INFO DRT-0036] met5 guide region query size = 0.
[INFO DRT-0179] Init gr pin query.
[INFO DRT-0185] Post process initialize RPin region query.
[INFO DRT-0181] Start track assignment.
[INFO DRT-0184] Done with 239 vertical wires in 9 frboxes and 183 horizontal wires in 11 frboxes.
[INFO DRT-0186] Done with 8 vertical wires in 9 frboxes and 15 horizontal wires in 11 frboxes.
[INFO DRT-0182] Complete track assignment.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 103.45 (MB), peak = 103.45 (MB)
[INFO DRT-0187] Start routing data preparation.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 123.58 (MB), peak = 123.58 (MB)
[INFO DRT-0194] Start detail routing.
[INFO DRT-0195] Start 0th optimization iteration.
Completing 10% with 0 violations.
elapsed time = 00:00:04, memory = 195.20 (MB).
Completing 20% with 0 violations.
elapsed time = 00:00:08, memory = 255.27 (MB).
Completing 30% with 1 violations.
elapsed time = 00:00:10, memory = 218.01 (MB).
Completing 40% with 1 violations.
elapsed time = 00:00:13, memory = 248.17 (MB).
Completing 50% with 1 violations.
elapsed time = 00:00:16, memory = 208.42 (MB).
Completing 60% with 1 violations.
elapsed time = 00:00:20, memory = 232.91 (MB).
Completing 70% with 1 violations.
elapsed time = 00:00:23, memory = 263.00 (MB).
Completing 80% with 1 violations.
elapsed time = 00:00:26, memory = 218.70 (MB).
Completing 90% with 1 violations.
elapsed time = 00:00:29, memory = 248.60 (MB).
Completing 100% with 2 violations.
elapsed time = 00:00:32, memory = 202.61 (MB).
[INFO DRT-0199] Number of violations = 101.
[INFO DRT-0267] cpu time = 00:01:04, elapsed time = 00:00:32, memory = 432.81 (MB), peak = 465.83 (MB)
Total wire length = 356012 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123703 um.
Total wire length on LAYER met2 = 220555 um.
Total wire length on LAYER met3 = 10379 um.
Total wire length on LAYER met4 = 1373 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 322.
Up-via summary (total 322):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 258
met2 58
met3 6
met4 0
----------------------
322
[INFO DRT-0195] Start 1st optimization iteration.
Completing 10% with 101 violations.
elapsed time = 00:00:04, memory = 468.39 (MB).
Completing 20% with 101 violations.
elapsed time = 00:00:07, memory = 495.98 (MB).
Completing 30% with 101 violations.
elapsed time = 00:00:09, memory = 448.89 (MB).
Completing 40% with 101 violations.
elapsed time = 00:00:12, memory = 478.75 (MB).
Completing 50% with 100 violations.
elapsed time = 00:00:15, memory = 441.01 (MB).
Completing 60% with 100 violations.
elapsed time = 00:00:19, memory = 458.80 (MB).
Completing 70% with 100 violations.
elapsed time = 00:00:22, memory = 488.71 (MB).
Completing 80% with 43 violations.
elapsed time = 00:00:25, memory = 449.44 (MB).
Completing 90% with 43 violations.
elapsed time = 00:00:28, memory = 480.02 (MB).
Completing 100% with 1 violations.
elapsed time = 00:00:30, memory = 441.05 (MB).
[INFO DRT-0199] Number of violations = 1.
[INFO DRT-0267] cpu time = 00:01:01, elapsed time = 00:00:31, memory = 452.82 (MB), peak = 503.97 (MB)
Total wire length = 355982 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123583 um.
Total wire length on LAYER met2 = 220572 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 322.
Up-via summary (total 322):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 258
met2 58
met3 6
met4 0
----------------------
322
[INFO DRT-0195] Start 2nd optimization iteration.
Completing 10% with 1 violations.
elapsed time = 00:00:00, memory = 452.82 (MB).
Completing 20% with 1 violations.
elapsed time = 00:00:00, memory = 452.82 (MB).
Completing 30% with 1 violations.
elapsed time = 00:00:00, memory = 452.83 (MB).
Completing 40% with 1 violations.
elapsed time = 00:00:00, memory = 452.83 (MB).
Completing 50% with 1 violations.
elapsed time = 00:00:00, memory = 452.83 (MB).
Completing 60% with 1 violations.
elapsed time = 00:00:00, memory = 452.83 (MB).
Completing 70% with 1 violations.
elapsed time = 00:00:00, memory = 452.83 (MB).
Completing 80% with 1 violations.
elapsed time = 00:00:00, memory = 452.83 (MB).
Completing 90% with 1 violations.
elapsed time = 00:00:00, memory = 452.83 (MB).
Completing 100% with 1 violations.
elapsed time = 00:00:00, memory = 452.83 (MB).
[INFO DRT-0199] Number of violations = 1.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 441.18 (MB), peak = 503.97 (MB)
Total wire length = 355981 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123583 um.
Total wire length on LAYER met2 = 220572 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 322.
Up-via summary (total 322):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 258
met2 58
met3 6
met4 0
----------------------
322
[INFO DRT-0195] Start 3rd optimization iteration.
Completing 10% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 20% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 30% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 40% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 50% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 60% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 70% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 80% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 90% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 100% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
[INFO DRT-0199] Number of violations = 1.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 441.18 (MB), peak = 503.97 (MB)
Total wire length = 355987 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123588 um.
Total wire length on LAYER met2 = 220572 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 322.
Up-via summary (total 322):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 258
met2 58
met3 6
met4 0
----------------------
322
[INFO DRT-0195] Start 4th optimization iteration.
Completing 10% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 20% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 30% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 40% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 50% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 60% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 70% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 80% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 90% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 100% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
[INFO DRT-0199] Number of violations = 1.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 441.18 (MB), peak = 503.97 (MB)
Total wire length = 355986 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123587 um.
Total wire length on LAYER met2 = 220572 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 322.
Up-via summary (total 322):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 258
met2 58
met3 6
met4 0
----------------------
322
[INFO DRT-0195] Start 5th optimization iteration.
Completing 10% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 20% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 30% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 40% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 50% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 60% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 70% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 80% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 90% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 100% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
[INFO DRT-0199] Number of violations = 1.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 441.18 (MB), peak = 503.97 (MB)
Total wire length = 355990 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123591 um.
Total wire length on LAYER met2 = 220572 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 322.
Up-via summary (total 322):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 258
met2 58
met3 6
met4 0
----------------------
322
[INFO DRT-0195] Start 6th optimization iteration.
Completing 10% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 20% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 30% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 40% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 50% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 60% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 70% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 80% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 90% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 100% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
[INFO DRT-0199] Number of violations = 1.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 441.18 (MB), peak = 503.97 (MB)
Total wire length = 355989 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123591 um.
Total wire length on LAYER met2 = 220572 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 322.
Up-via summary (total 322):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 258
met2 58
met3 6
met4 0
----------------------
322
[INFO DRT-0195] Start 7th optimization iteration.
Completing 10% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 20% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 30% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 40% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 50% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 60% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 70% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 80% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 90% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 100% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
[INFO DRT-0199] Number of violations = 1.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 441.18 (MB), peak = 503.97 (MB)
Total wire length = 355988 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123589 um.
Total wire length on LAYER met2 = 220572 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 322.
Up-via summary (total 322):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 258
met2 58
met3 6
met4 0
----------------------
322
[INFO DRT-0195] Start 8th optimization iteration.
Completing 10% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 20% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 30% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 40% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 50% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 60% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 70% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 80% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 90% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 100% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
[INFO DRT-0199] Number of violations = 1.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 441.18 (MB), peak = 503.97 (MB)
Total wire length = 355991 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123593 um.
Total wire length on LAYER met2 = 220572 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 322.
Up-via summary (total 322):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 258
met2 58
met3 6
met4 0
----------------------
322
[INFO DRT-0195] Start 9th optimization iteration.
Completing 10% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 20% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 30% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 40% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 50% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 60% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 70% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 80% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 90% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 100% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
[INFO DRT-0199] Number of violations = 1.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 441.18 (MB), peak = 503.97 (MB)
Total wire length = 355992 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123594 um.
Total wire length on LAYER met2 = 220572 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 322.
Up-via summary (total 322):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 258
met2 58
met3 6
met4 0
----------------------
322
[INFO DRT-0195] Start 10th optimization iteration.
Completing 10% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 20% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 30% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 40% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 50% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 60% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 70% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 80% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 90% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 100% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
[INFO DRT-0199] Number of violations = 1.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 441.18 (MB), peak = 503.97 (MB)
Total wire length = 355993 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123595 um.
Total wire length on LAYER met2 = 220572 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 322.
Up-via summary (total 322):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 258
met2 58
met3 6
met4 0
----------------------
322
[INFO DRT-0195] Start 11th optimization iteration.
Completing 10% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 20% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 30% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 40% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 50% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 60% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 70% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 80% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 90% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 100% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
[INFO DRT-0199] Number of violations = 1.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 441.18 (MB), peak = 503.97 (MB)
Total wire length = 355993 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123595 um.
Total wire length on LAYER met2 = 220572 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 322.
Up-via summary (total 322):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 258
met2 58
met3 6
met4 0
----------------------
322
[INFO DRT-0195] Start 12th optimization iteration.
Completing 10% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 20% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 30% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 40% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 50% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 60% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 70% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 80% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 90% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 100% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
[INFO DRT-0199] Number of violations = 1.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 441.18 (MB), peak = 503.97 (MB)
Total wire length = 355993 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123595 um.
Total wire length on LAYER met2 = 220572 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 322.
Up-via summary (total 322):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 258
met2 58
met3 6
met4 0
----------------------
322
[INFO DRT-0195] Start 13th optimization iteration.
Completing 10% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 20% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 30% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 40% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 50% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 60% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 70% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 80% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 90% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 100% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
[INFO DRT-0199] Number of violations = 1.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 441.18 (MB), peak = 503.97 (MB)
Total wire length = 355993 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123595 um.
Total wire length on LAYER met2 = 220572 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 322.
Up-via summary (total 322):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 258
met2 58
met3 6
met4 0
----------------------
322
[INFO DRT-0195] Start 14th optimization iteration.
Completing 10% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 20% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 30% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 40% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 50% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 60% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 70% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 80% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 90% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 100% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
[INFO DRT-0199] Number of violations = 1.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 441.18 (MB), peak = 503.97 (MB)
Total wire length = 355995 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123597 um.
Total wire length on LAYER met2 = 220572 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 322.
Up-via summary (total 322):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 258
met2 58
met3 6
met4 0
----------------------
322
[INFO DRT-0195] Start 15th optimization iteration.
Completing 10% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 20% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 30% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 40% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 50% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 60% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 70% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 80% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 90% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 100% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
[INFO DRT-0199] Number of violations = 1.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 441.18 (MB), peak = 503.97 (MB)
Total wire length = 355996 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123598 um.
Total wire length on LAYER met2 = 220572 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 322.
Up-via summary (total 322):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 258
met2 58
met3 6
met4 0
----------------------
322
[INFO DRT-0195] Start 16th optimization iteration.
Completing 10% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 20% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 30% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 40% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 50% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 60% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 70% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 80% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 90% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 100% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
[INFO DRT-0199] Number of violations = 1.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 441.18 (MB), peak = 503.97 (MB)
Total wire length = 355997 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123598 um.
Total wire length on LAYER met2 = 220572 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 322.
Up-via summary (total 322):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 258
met2 58
met3 6
met4 0
----------------------
322
[INFO DRT-0195] Start 17th optimization iteration.
Completing 10% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 20% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 30% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 40% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 50% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 60% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 70% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 80% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 90% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 100% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
[INFO DRT-0199] Number of violations = 1.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 441.18 (MB), peak = 503.97 (MB)
Total wire length = 355998 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123600 um.
Total wire length on LAYER met2 = 220572 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 322.
Up-via summary (total 322):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 258
met2 58
met3 6
met4 0
----------------------
322
[INFO DRT-0195] Start 18th optimization iteration.
Completing 10% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 20% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 30% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 40% with 1 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 50% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 60% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 70% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 80% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 90% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 100% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
[INFO DRT-0199] Number of violations = 0.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 441.18 (MB), peak = 503.97 (MB)
Total wire length = 355998 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123598 um.
Total wire length on LAYER met2 = 220573 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 324.
Up-via summary (total 324):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 260
met2 58
met3 6
met4 0
----------------------
324
[INFO DRT-0195] Start 25th optimization iteration.
Completing 10% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 20% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 30% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 40% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 50% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 60% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 70% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 80% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 90% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 100% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
[INFO DRT-0199] Number of violations = 0.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 441.18 (MB), peak = 503.97 (MB)
Total wire length = 355998 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123598 um.
Total wire length on LAYER met2 = 220573 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 324.
Up-via summary (total 324):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 260
met2 58
met3 6
met4 0
----------------------
324
[INFO DRT-0195] Start 33rd optimization iteration.
Completing 10% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 20% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 30% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 40% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 50% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 60% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 70% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 80% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 90% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 100% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
[INFO DRT-0199] Number of violations = 0.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 441.18 (MB), peak = 503.97 (MB)
Total wire length = 355998 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123598 um.
Total wire length on LAYER met2 = 220573 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 324.
Up-via summary (total 324):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 260
met2 58
met3 6
met4 0
----------------------
324
[INFO DRT-0195] Start 41st optimization iteration.
Completing 10% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 20% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 30% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 40% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 50% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 60% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 70% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 80% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 90% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 100% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
[INFO DRT-0199] Number of violations = 0.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 441.18 (MB), peak = 503.97 (MB)
Total wire length = 355998 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123598 um.
Total wire length on LAYER met2 = 220573 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 324.
Up-via summary (total 324):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 260
met2 58
met3 6
met4 0
----------------------
324
[INFO DRT-0195] Start 49th optimization iteration.
Completing 10% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 20% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 30% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 40% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 50% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 60% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 70% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 80% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 90% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 100% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
[INFO DRT-0199] Number of violations = 0.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 441.18 (MB), peak = 503.97 (MB)
Total wire length = 355998 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123598 um.
Total wire length on LAYER met2 = 220573 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 324.
Up-via summary (total 324):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 260
met2 58
met3 6
met4 0
----------------------
324
[INFO DRT-0195] Start 57th optimization iteration.
Completing 10% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 20% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 30% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 40% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 50% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 60% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 70% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 80% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 90% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
Completing 100% with 0 violations.
elapsed time = 00:00:00, memory = 441.18 (MB).
[INFO DRT-0199] Number of violations = 0.
[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 441.18 (MB), peak = 503.97 (MB)
Total wire length = 355998 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123598 um.
Total wire length on LAYER met2 = 220573 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 324.
Up-via summary (total 324):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 260
met2 58
met3 6
met4 0
----------------------
324
[INFO DRT-0198] Complete detail routing.
Total wire length = 355998 um.
Total wire length on LAYER li1 = 0 um.
Total wire length on LAYER met1 = 123598 um.
Total wire length on LAYER met2 = 220573 um.
Total wire length on LAYER met3 = 10453 um.
Total wire length on LAYER met4 = 1372 um.
Total wire length on LAYER met5 = 0 um.
Total number of vias = 324.
Up-via summary (total 324):.
----------------------
FR_MASTERSLICE 0
li1 0
met1 260
met2 58
met3 6
met4 0
----------------------
324
[INFO DRT-0267] cpu time = 00:02:12, elapsed time = 00:01:08, memory = 441.18 (MB), peak = 503.97 (MB)
[INFO DRT-0180] Post processing.
Saving to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
[INFO]: No DRC violations after detailed routing.
[INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/15-global.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
[INFO]: Incremented step index to 17.
[INFO]: Writing Verilog...
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0130] Created 645 pins.
[INFO ODB-0131] Created 1 components and 108 component-terminals.
[INFO ODB-0132] Created 8 special nets and 0 connections.
[INFO ODB-0133] Created 637 nets and 106 connections.
[INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
[INFO]: Changing netlist from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/15-global.v to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/17-detailed.v
[INFO]: Incremented step index to 18.
[INFO]: Running SPEF Extraction...
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[WARNING ORD-0033] -order_wires is deprecated.
[INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0130] Created 645 pins.
[INFO ODB-0131] Created 1 components and 108 component-terminals.
[INFO ODB-0132] Created 8 special nets and 0 connections.
[INFO ODB-0133] Created 637 nets and 106 connections.
[INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
[INFO]: Setting RC values...
[INFO RCX-0431] Defined process_corner X with ext_model_index 0
[INFO RCX-0029] Defined extraction corner X
[INFO RCX-0008] extracting parasitics of user_project_wrapper ...
[INFO RCX-0435] Reading extraction model file /home/askartos/sandbox/caravel_tutorial/pdks/sky130A/libs.tech/openlane/rcx_rules.info ...
[INFO RCX-0436] RC segment generation user_project_wrapper (max_merge_res 50.0) ...
[INFO RCX-0040] Final 728 rc segments
[INFO RCX-0439] Coupling Cap extraction user_project_wrapper ...
[INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded.
[INFO RCX-0043] 1061 wires to be extracted
[INFO RCX-0442] 15% completion -- 160 wires have been extracted
[INFO RCX-0442] 28% completion -- 299 wires have been extracted
[INFO RCX-0442] 34% completion -- 363 wires have been extracted
[INFO RCX-0442] 46% completion -- 493 wires have been extracted
[INFO RCX-0442] 65% completion -- 691 wires have been extracted
[INFO RCX-0442] 71% completion -- 756 wires have been extracted
[INFO RCX-0442] 81% completion -- 866 wires have been extracted
[INFO RCX-0442] 89% completion -- 953 wires have been extracted
[INFO RCX-0442] 96% completion -- 1026 wires have been extracted
[INFO RCX-0045] Extract 643 nets, 834 rsegs, 834 caps, 353 ccs
[INFO RCX-0015] Finished extracting user_project_wrapper.
[INFO RCX-0016] Writing SPEF ...
[INFO RCX-0443] 637 nets finished
[INFO RCX-0017] Finished writing SPEF ...
[INFO]: Incremented step index to 19.
[INFO]: Running SPEF Extraction...
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[WARNING ORD-0033] -order_wires is deprecated.
[INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0130] Created 645 pins.
[INFO ODB-0131] Created 1 components and 108 component-terminals.
[INFO ODB-0132] Created 8 special nets and 0 connections.
[INFO ODB-0133] Created 637 nets and 106 connections.
[INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
[INFO]: Setting RC values...
[INFO RCX-0431] Defined process_corner X with ext_model_index 0
[INFO RCX-0029] Defined extraction corner X
[INFO RCX-0008] extracting parasitics of user_project_wrapper ...
[INFO RCX-0435] Reading extraction model file /home/askartos/sandbox/caravel_tutorial/pdks/sky130A/libs.tech/openlane/rcx_rules.info ...
[INFO RCX-0436] RC segment generation user_project_wrapper (max_merge_res 50.0) ...
[INFO RCX-0040] Final 728 rc segments
[INFO RCX-0439] Coupling Cap extraction user_project_wrapper ...
[INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded.
[INFO RCX-0043] 1061 wires to be extracted
[INFO RCX-0442] 15% completion -- 160 wires have been extracted
[INFO RCX-0442] 28% completion -- 299 wires have been extracted
[INFO RCX-0442] 34% completion -- 363 wires have been extracted
[INFO RCX-0442] 46% completion -- 493 wires have been extracted
[INFO RCX-0442] 65% completion -- 691 wires have been extracted
[INFO RCX-0442] 71% completion -- 756 wires have been extracted
[INFO RCX-0442] 81% completion -- 866 wires have been extracted
[INFO RCX-0442] 89% completion -- 953 wires have been extracted
[INFO RCX-0442] 96% completion -- 1026 wires have been extracted
[INFO RCX-0045] Extract 643 nets, 834 rsegs, 834 caps, 353 ccs
[INFO RCX-0015] Finished extracting user_project_wrapper.
[INFO RCX-0016] Writing SPEF ...
[INFO RCX-0443] 637 nets finished
[INFO RCX-0017] Finished writing SPEF ...
[INFO]: Incremented step index to 20.
[INFO]: Running SPEF Extraction...
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[WARNING ORD-0033] -order_wires is deprecated.
[INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0130] Created 645 pins.
[INFO ODB-0131] Created 1 components and 108 component-terminals.
[INFO ODB-0132] Created 8 special nets and 0 connections.
[INFO ODB-0133] Created 637 nets and 106 connections.
[INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
[INFO]: Setting RC values...
[INFO RCX-0431] Defined process_corner X with ext_model_index 0
[INFO RCX-0029] Defined extraction corner X
[INFO RCX-0008] extracting parasitics of user_project_wrapper ...
[INFO RCX-0435] Reading extraction model file /home/askartos/sandbox/caravel_tutorial/pdks/sky130A/libs.tech/openlane/rcx_rules.info ...
[INFO RCX-0436] RC segment generation user_project_wrapper (max_merge_res 50.0) ...
[INFO RCX-0040] Final 728 rc segments
[INFO RCX-0439] Coupling Cap extraction user_project_wrapper ...
[INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded.
[INFO RCX-0043] 1061 wires to be extracted
[INFO RCX-0442] 15% completion -- 160 wires have been extracted
[INFO RCX-0442] 28% completion -- 299 wires have been extracted
[INFO RCX-0442] 34% completion -- 363 wires have been extracted
[INFO RCX-0442] 46% completion -- 493 wires have been extracted
[INFO RCX-0442] 65% completion -- 691 wires have been extracted
[INFO RCX-0442] 71% completion -- 756 wires have been extracted
[INFO RCX-0442] 81% completion -- 866 wires have been extracted
[INFO RCX-0442] 89% completion -- 953 wires have been extracted
[INFO RCX-0442] 96% completion -- 1026 wires have been extracted
[INFO RCX-0045] Extract 643 nets, 834 rsegs, 834 caps, 353 ccs
[INFO RCX-0015] Finished extracting user_project_wrapper.
[INFO RCX-0016] Writing SPEF ...
[INFO RCX-0443] 637 nets finished
[INFO RCX-0017] Finished writing SPEF ...
[INFO]: Incremented step index to 21.
[INFO]: Running Static Timing Analysis...
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0130] Created 645 pins.
[INFO ODB-0131] Created 1 components and 108 component-terminals.
[INFO ODB-0132] Created 8 special nets and 0 connections.
[INFO ODB-0133] Created 637 nets and 106 connections.
[INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
###############################################################################
# Created by write_sdc
# Sun Jun 5 18:14:45 2022
###############################################################################
current_design user_project_wrapper
###############################################################################
# Timing Constraints
###############################################################################
create_clock -name user_clock2 -period 10.0000 [get_ports {user_clock2}]
set_clock_transition 0.1500 [get_clocks {user_clock2}]
set_clock_uncertainty 0.2500 user_clock2
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[0]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[10]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[11]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[12]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[13]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[14]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[15]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[16]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[17]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[18]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[19]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[1]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[20]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[21]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[22]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[23]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[24]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[25]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[26]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[27]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[28]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[2]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[3]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[4]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[5]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[6]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[7]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[8]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[9]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[0]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[10]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[11]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[12]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[13]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[14]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[15]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[16]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[17]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[18]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[19]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[1]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[20]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[21]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[22]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[23]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[24]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[25]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[26]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[27]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[28]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[29]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[2]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[30]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[31]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[32]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[33]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[34]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[35]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[36]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[37]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[3]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[4]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[5]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[6]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[7]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[8]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[9]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[0]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[100]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[101]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[102]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[103]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[104]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[105]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[106]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[107]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[108]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[109]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[10]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[110]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[111]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[112]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[113]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[114]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[115]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[116]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[117]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[118]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[119]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[11]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[120]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[121]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[122]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[123]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[124]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[125]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[126]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[127]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[12]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[13]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[14]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[15]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[16]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[17]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[18]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[19]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[1]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[20]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[21]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[22]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[23]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[24]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[25]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[26]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[27]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[28]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[29]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[2]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[30]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[31]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[32]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[33]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[34]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[35]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[36]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[37]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[38]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[39]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[3]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[40]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[41]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[42]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[43]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[44]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[45]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[46]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[47]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[48]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[49]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[4]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[50]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[51]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[52]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[53]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[54]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[55]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[56]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[57]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[58]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[59]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[5]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[60]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[61]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[62]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[63]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[64]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[65]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[66]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[67]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[68]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[69]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[6]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[70]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[71]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[72]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[73]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[74]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[75]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[76]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[77]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[78]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[79]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[7]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[80]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[81]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[82]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[83]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[84]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[85]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[86]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[87]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[88]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[89]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[8]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[90]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[91]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[92]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[93]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[94]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[95]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[96]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[97]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[98]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[99]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[9]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[0]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[100]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[101]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[102]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[103]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[104]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[105]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[106]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[107]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[108]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[109]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[10]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[110]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[111]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[112]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[113]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[114]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[115]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[116]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[117]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[118]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[119]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[11]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[120]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[121]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[122]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[123]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[124]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[125]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[126]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[127]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[12]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[13]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[14]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[15]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[16]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[17]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[18]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[19]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[1]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[20]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[21]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[22]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[23]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[24]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[25]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[26]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[27]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[28]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[29]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[2]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[30]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[31]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[32]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[33]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[34]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[35]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[36]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[37]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[38]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[39]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[3]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[40]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[41]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[42]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[43]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[44]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[45]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[46]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[47]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[48]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[49]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[4]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[50]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[51]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[52]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[53]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[54]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[55]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[56]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[57]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[58]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[59]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[5]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[60]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[61]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[62]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[63]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[64]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[65]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[66]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[67]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[68]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[69]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[6]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[70]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[71]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[72]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[73]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[74]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[75]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[76]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[77]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[78]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[79]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[7]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[80]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[81]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[82]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[83]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[84]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[85]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[86]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[87]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[88]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[89]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[8]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[90]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[91]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[92]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[93]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[94]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[95]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[96]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[97]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[98]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[99]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[9]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wb_clk_i}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wb_rst_i}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[0]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[10]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[11]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[12]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[13]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[14]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[15]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[16]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[17]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[18]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[19]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[1]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[20]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[21]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[22]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[23]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[24]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[25]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[26]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[27]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[28]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[29]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[2]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[30]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[31]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[3]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[4]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[5]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[6]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[7]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[8]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[9]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_cyc_i}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[0]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[10]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[11]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[12]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[13]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[14]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[15]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[16]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[17]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[18]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[19]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[1]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[20]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[21]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[22]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[23]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[24]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[25]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[26]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[27]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[28]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[29]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[2]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[30]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[31]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[3]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[4]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[5]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[6]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[7]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[8]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[9]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_sel_i[0]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_sel_i[1]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_sel_i[2]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_sel_i[3]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_stb_i}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_we_i}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[0]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[10]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[11]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[12]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[13]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[14]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[15]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[16]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[17]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[18]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[19]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[1]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[20]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[21]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[22]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[23]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[24]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[25]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[26]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[27]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[28]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[2]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[3]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[4]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[5]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[6]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[7]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[8]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[9]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[0]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[10]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[11]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[12]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[13]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[14]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[15]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[16]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[17]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[18]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[19]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[1]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[20]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[21]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[22]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[23]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[24]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[25]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[26]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[27]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[28]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[29]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[2]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[30]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[31]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[32]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[33]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[34]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[35]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[36]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[37]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[3]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[4]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[5]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[6]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[7]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[8]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[9]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[0]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[10]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[11]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[12]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[13]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[14]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[15]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[16]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[17]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[18]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[19]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[1]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[20]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[21]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[22]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[23]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[24]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[25]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[26]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[27]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[28]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[29]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[2]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[30]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[31]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[32]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[33]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[34]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[35]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[36]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[37]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[3]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[4]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[5]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[6]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[7]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[8]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[9]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[0]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[100]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[101]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[102]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[103]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[104]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[105]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[106]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[107]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[108]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[109]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[10]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[110]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[111]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[112]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[113]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[114]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[115]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[116]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[117]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[118]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[119]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[11]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[120]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[121]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[122]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[123]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[124]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[125]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[126]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[127]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[12]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[13]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[14]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[15]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[16]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[17]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[18]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[19]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[1]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[20]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[21]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[22]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[23]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[24]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[25]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[26]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[27]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[28]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[29]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[2]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[30]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[31]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[32]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[33]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[34]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[35]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[36]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[37]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[38]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[39]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[3]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[40]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[41]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[42]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[43]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[44]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[45]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[46]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[47]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[48]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[49]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[4]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[50]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[51]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[52]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[53]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[54]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[55]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[56]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[57]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[58]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[59]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[5]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[60]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[61]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[62]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[63]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[64]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[65]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[66]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[67]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[68]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[69]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[6]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[70]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[71]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[72]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[73]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[74]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[75]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[76]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[77]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[78]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[79]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[7]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[80]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[81]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[82]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[83]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[84]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[85]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[86]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[87]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[88]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[89]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[8]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[90]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[91]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[92]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[93]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[94]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[95]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[96]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[97]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[98]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[99]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[9]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {user_irq[0]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {user_irq[1]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {user_irq[2]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_ack_o}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[0]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[10]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[11]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[12]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[13]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[14]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[15]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[16]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[17]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[18]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[19]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[1]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[20]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[21]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[22]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[23]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[24]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[25]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[26]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[27]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[28]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[29]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[2]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[30]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[31]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[3]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[4]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[5]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[6]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[7]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[8]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[9]}]
###############################################################################
# Environment
###############################################################################
set_load -pin_load 0.0334 [get_ports {wbs_ack_o}]
set_load -pin_load 0.0334 [get_ports {analog_io[28]}]
set_load -pin_load 0.0334 [get_ports {analog_io[27]}]
set_load -pin_load 0.0334 [get_ports {analog_io[26]}]
set_load -pin_load 0.0334 [get_ports {analog_io[25]}]
set_load -pin_load 0.0334 [get_ports {analog_io[24]}]
set_load -pin_load 0.0334 [get_ports {analog_io[23]}]
set_load -pin_load 0.0334 [get_ports {analog_io[22]}]
set_load -pin_load 0.0334 [get_ports {analog_io[21]}]
set_load -pin_load 0.0334 [get_ports {analog_io[20]}]
set_load -pin_load 0.0334 [get_ports {analog_io[19]}]
set_load -pin_load 0.0334 [get_ports {analog_io[18]}]
set_load -pin_load 0.0334 [get_ports {analog_io[17]}]
set_load -pin_load 0.0334 [get_ports {analog_io[16]}]
set_load -pin_load 0.0334 [get_ports {analog_io[15]}]
set_load -pin_load 0.0334 [get_ports {analog_io[14]}]
set_load -pin_load 0.0334 [get_ports {analog_io[13]}]
set_load -pin_load 0.0334 [get_ports {analog_io[12]}]
set_load -pin_load 0.0334 [get_ports {analog_io[11]}]
set_load -pin_load 0.0334 [get_ports {analog_io[10]}]
set_load -pin_load 0.0334 [get_ports {analog_io[9]}]
set_load -pin_load 0.0334 [get_ports {analog_io[8]}]
set_load -pin_load 0.0334 [get_ports {analog_io[7]}]
set_load -pin_load 0.0334 [get_ports {analog_io[6]}]
set_load -pin_load 0.0334 [get_ports {analog_io[5]}]
set_load -pin_load 0.0334 [get_ports {analog_io[4]}]
set_load -pin_load 0.0334 [get_ports {analog_io[3]}]
set_load -pin_load 0.0334 [get_ports {analog_io[2]}]
set_load -pin_load 0.0334 [get_ports {analog_io[1]}]
set_load -pin_load 0.0334 [get_ports {analog_io[0]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[37]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[36]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[35]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[34]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[33]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[32]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[31]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[30]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[29]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[28]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[27]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[26]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[25]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[24]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[23]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[22]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[21]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[20]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[19]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[18]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[17]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[16]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[15]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[14]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[13]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[12]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[11]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[10]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[9]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[8]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[7]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[6]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[5]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[4]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[3]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[2]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[1]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[0]}]
set_load -pin_load 0.0334 [get_ports {io_out[37]}]
set_load -pin_load 0.0334 [get_ports {io_out[36]}]
set_load -pin_load 0.0334 [get_ports {io_out[35]}]
set_load -pin_load 0.0334 [get_ports {io_out[34]}]
set_load -pin_load 0.0334 [get_ports {io_out[33]}]
set_load -pin_load 0.0334 [get_ports {io_out[32]}]
set_load -pin_load 0.0334 [get_ports {io_out[31]}]
set_load -pin_load 0.0334 [get_ports {io_out[30]}]
set_load -pin_load 0.0334 [get_ports {io_out[29]}]
set_load -pin_load 0.0334 [get_ports {io_out[28]}]
set_load -pin_load 0.0334 [get_ports {io_out[27]}]
set_load -pin_load 0.0334 [get_ports {io_out[26]}]
set_load -pin_load 0.0334 [get_ports {io_out[25]}]
set_load -pin_load 0.0334 [get_ports {io_out[24]}]
set_load -pin_load 0.0334 [get_ports {io_out[23]}]
set_load -pin_load 0.0334 [get_ports {io_out[22]}]
set_load -pin_load 0.0334 [get_ports {io_out[21]}]
set_load -pin_load 0.0334 [get_ports {io_out[20]}]
set_load -pin_load 0.0334 [get_ports {io_out[19]}]
set_load -pin_load 0.0334 [get_ports {io_out[18]}]
set_load -pin_load 0.0334 [get_ports {io_out[17]}]
set_load -pin_load 0.0334 [get_ports {io_out[16]}]
set_load -pin_load 0.0334 [get_ports {io_out[15]}]
set_load -pin_load 0.0334 [get_ports {io_out[14]}]
set_load -pin_load 0.0334 [get_ports {io_out[13]}]
set_load -pin_load 0.0334 [get_ports {io_out[12]}]
set_load -pin_load 0.0334 [get_ports {io_out[11]}]
set_load -pin_load 0.0334 [get_ports {io_out[10]}]
set_load -pin_load 0.0334 [get_ports {io_out[9]}]
set_load -pin_load 0.0334 [get_ports {io_out[8]}]
set_load -pin_load 0.0334 [get_ports {io_out[7]}]
set_load -pin_load 0.0334 [get_ports {io_out[6]}]
set_load -pin_load 0.0334 [get_ports {io_out[5]}]
set_load -pin_load 0.0334 [get_ports {io_out[4]}]
set_load -pin_load 0.0334 [get_ports {io_out[3]}]
set_load -pin_load 0.0334 [get_ports {io_out[2]}]
set_load -pin_load 0.0334 [get_ports {io_out[1]}]
set_load -pin_load 0.0334 [get_ports {io_out[0]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[127]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[126]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[125]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[124]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[123]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[122]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[121]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[120]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[119]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[118]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[117]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[116]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[115]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[114]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[113]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[112]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[111]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[110]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[109]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[108]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[107]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[106]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[105]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[104]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[103]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[102]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[101]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[100]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[99]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[98]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[97]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[96]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[95]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[94]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[93]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[92]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[91]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[90]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[89]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[88]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[87]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[86]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[85]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[84]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[83]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[82]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[81]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[80]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[79]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[78]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[77]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[76]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[75]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[74]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[73]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[72]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[71]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[70]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[69]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[68]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[67]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[66]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[65]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[64]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[63]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[62]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[61]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[60]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[59]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[58]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[57]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[56]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[55]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[54]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[53]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[52]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[51]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[50]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[49]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[48]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[47]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[46]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[45]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[44]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[43]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[42]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[41]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[40]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[39]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[38]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[37]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[36]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[35]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[34]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[33]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[32]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[31]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[30]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[29]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[28]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[27]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[26]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[25]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[24]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[23]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[22]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[21]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[20]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[19]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[18]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[17]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[16]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[15]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[14]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[13]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[12]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[11]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[10]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[9]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[8]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[7]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[6]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[5]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[4]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[3]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[2]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[1]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[0]}]
set_load -pin_load 0.0334 [get_ports {user_irq[2]}]
set_load -pin_load 0.0334 [get_ports {user_irq[1]}]
set_load -pin_load 0.0334 [get_ports {user_irq[0]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[31]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[30]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[29]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[28]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[27]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[26]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[25]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[24]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[23]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[22]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[21]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[20]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[19]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[18]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[17]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[16]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[15]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[14]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[13]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[12]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[11]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[10]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[9]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[8]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[7]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[6]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[5]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[4]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[3]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[2]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[1]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {user_clock2}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_clk_i}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_rst_i}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_cyc_i}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_stb_i}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_we_i}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[37]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[36]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[35]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[34]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[33]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[32]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[127]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[126]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[125]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[124]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[123]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[122]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[121]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[120]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[119]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[118]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[117]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[116]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[115]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[114]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[113]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[112]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[111]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[110]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[109]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[108]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[107]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[106]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[105]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[104]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[103]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[102]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[101]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[100]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[99]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[98]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[97]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[96]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[95]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[94]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[93]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[92]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[91]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[90]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[89]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[88]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[87]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[86]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[85]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[84]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[83]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[82]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[81]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[80]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[79]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[78]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[77]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[76]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[75]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[74]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[73]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[72]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[71]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[70]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[69]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[68]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[67]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[66]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[65]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[64]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[63]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[62]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[61]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[60]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[59]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[58]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[57]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[56]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[55]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[54]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[53]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[52]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[51]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[50]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[49]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[48]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[47]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[46]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[45]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[44]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[43]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[42]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[41]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[40]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[39]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[38]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[37]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[36]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[35]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[34]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[33]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[32]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[127]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[126]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[125]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[124]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[123]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[122]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[121]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[120]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[119]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[118]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[117]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[116]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[115]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[114]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[113]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[112]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[111]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[110]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[109]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[108]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[107]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[106]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[105]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[104]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[103]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[102]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[101]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[100]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[99]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[98]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[97]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[96]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[95]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[94]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[93]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[92]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[91]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[90]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[89]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[88]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[87]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[86]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[85]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[84]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[83]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[82]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[81]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[80]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[79]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[78]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[77]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[76]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[75]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[74]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[73]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[72]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[71]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[70]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[69]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[68]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[67]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[66]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[65]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[64]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[63]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[62]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[61]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[60]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[59]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[58]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[57]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[56]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[55]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[54]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[53]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[52]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[51]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[50]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[49]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[48]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[47]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[46]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[45]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[44]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[43]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[42]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[41]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[40]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[39]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[38]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[37]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[36]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[35]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[34]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[33]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[32]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[0]}]
set_timing_derate -early 0.9500
set_timing_derate -late 1.0500
###############################################################################
# Design Rules
###############################################################################
set_max_fanout 5.0000 [current_design]
min_report
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
No paths found.
min_report_end
max_report
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
No paths found.
max_report_end
check_report
===========================================================================
report_checks -unconstrained
============================================================================
Startpoint: wbs_dat_i[15] (input port clocked by user_clock2)
Endpoint: fossiAES/io_wbs_dat_i[15] (internal pin)
Path Group: (none)
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
2.00 2.00 ^ input external delay
3.99 3.65 5.65 ^ wbs_dat_i[15] (in)
1 0.91 wbs_dat_i[15] (net)
4.62 0.00 5.65 ^ fossiAES/io_wbs_dat_i[15] (aes)
5.65 data arrival time
-----------------------------------------------------------------------------
(Path is unconstrained)
===========================================================================
report_checks --slack_max -0.01
============================================================================
No paths found.
check_report_end
check_slew
===========================================================================
report_check_types -max_slew -max_cap -max_fanout -violators
============================================================================
max slew
Pin Limit Slew Slack
------------------------------------------------------------
wbs_dat_i[15] 1.50 3.99 -2.49 (VIOLATED)
wbs_dat_i[23] 1.50 3.26 -1.76 (VIOLATED)
wbs_dat_i[11] 1.50 3.22 -1.72 (VIOLATED)
wbs_dat_i[12] 1.50 3.16 -1.66 (VIOLATED)
wbs_dat_i[8] 1.50 3.00 -1.50 (VIOLATED)
wbs_dat_i[27] 1.50 2.73 -1.23 (VIOLATED)
wbs_dat_i[1] 1.50 2.57 -1.07 (VIOLATED)
wbs_dat_i[24] 1.50 2.51 -1.02 (VIOLATED)
wbs_adr_i[9] 1.50 2.45 -0.95 (VIOLATED)
wbs_dat_i[21] 1.50 2.34 -0.84 (VIOLATED)
wbs_adr_i[16] 1.50 2.34 -0.84 (VIOLATED)
wbs_sel_i[3] 1.50 2.25 -0.75 (VIOLATED)
wbs_dat_i[0] 1.50 2.21 -0.72 (VIOLATED)
wbs_dat_i[9] 1.50 2.19 -0.69 (VIOLATED)
wbs_dat_i[16] 1.50 2.17 -0.67 (VIOLATED)
wbs_adr_i[6] 1.50 2.12 -0.63 (VIOLATED)
wbs_dat_i[7] 1.50 2.10 -0.61 (VIOLATED)
wbs_dat_i[2] 1.50 2.08 -0.58 (VIOLATED)
wbs_adr_i[25] 1.50 2.04 -0.54 (VIOLATED)
wbs_adr_i[0] 1.50 2.03 -0.53 (VIOLATED)
wbs_dat_i[25] 1.50 1.97 -0.48 (VIOLATED)
wbs_dat_i[10] 1.50 1.97 -0.47 (VIOLATED)
wbs_adr_i[12] 1.50 1.95 -0.46 (VIOLATED)
wbs_adr_i[17] 1.50 1.92 -0.42 (VIOLATED)
wbs_adr_i[4] 1.50 1.91 -0.41 (VIOLATED)
wbs_adr_i[21] 1.50 1.90 -0.41 (VIOLATED)
wbs_cyc_i 1.50 1.85 -0.35 (VIOLATED)
wbs_adr_i[26] 1.50 1.85 -0.35 (VIOLATED)
wbs_sel_i[0] 1.50 1.83 -0.33 (VIOLATED)
wbs_dat_i[30] 1.50 1.82 -0.33 (VIOLATED)
wbs_dat_i[14] 1.50 1.82 -0.32 (VIOLATED)
wb_clk_i 1.50 1.81 -0.32 (VIOLATED)
wbs_adr_i[31] 1.50 1.80 -0.31 (VIOLATED)
wbs_adr_i[1] 1.50 1.79 -0.29 (VIOLATED)
wbs_dat_i[13] 1.50 1.75 -0.25 (VIOLATED)
wbs_dat_i[6] 1.50 1.70 -0.21 (VIOLATED)
wbs_sel_i[2] 1.50 1.69 -0.19 (VIOLATED)
wbs_dat_i[18] 1.50 1.66 -0.17 (VIOLATED)
wbs_adr_i[13] 1.50 1.66 -0.16 (VIOLATED)
wbs_adr_i[30] 1.50 1.65 -0.16 (VIOLATED)
wbs_dat_i[17] 1.50 1.64 -0.15 (VIOLATED)
wbs_dat_i[19] 1.50 1.63 -0.13 (VIOLATED)
wb_rst_i 1.50 1.57 -0.07 (VIOLATED)
wbs_adr_i[22] 1.50 1.55 -0.06 (VIOLATED)
wbs_sel_i[1] 1.50 1.50 -0.00 (VIOLATED)
wbs_dat_i[31] 1.50 1.50 -0.00 (VIOLATED)
max capacitance
Pin Limit Cap Slack
------------------------------------------------------------
wbs_dat_i[15] 0.33 0.91 -0.58 (VIOLATED)
wbs_dat_i[11] 0.33 0.79 -0.46 (VIOLATED)
wbs_dat_i[12] 0.33 0.78 -0.44 (VIOLATED)
wbs_dat_i[8] 0.33 0.75 -0.42 (VIOLATED)
wbs_dat_i[23] 0.33 0.74 -0.41 (VIOLATED)
wbs_adr_i[9] 0.33 0.70 -0.36 (VIOLATED)
wbs_dat_i[24] 0.33 0.67 -0.34 (VIOLATED)
wbs_dat_i[27] 0.33 0.66 -0.33 (VIOLATED)
wbs_dat_i[1] 0.33 0.64 -0.31 (VIOLATED)
wbs_adr_i[6] 0.33 0.62 -0.29 (VIOLATED)
wbs_adr_i[16] 0.33 0.59 -0.25 (VIOLATED)
wbs_dat_i[21] 0.33 0.57 -0.24 (VIOLATED)
wbs_dat_i[16] 0.33 0.56 -0.23 (VIOLATED)
wbs_dat_i[7] 0.33 0.55 -0.22 (VIOLATED)
wbs_dat_i[9] 0.33 0.54 -0.21 (VIOLATED)
wbs_adr_i[12] 0.33 0.54 -0.20 (VIOLATED)
wbs_adr_i[25] 0.33 0.52 -0.19 (VIOLATED)
wbs_sel_i[3] 0.33 0.52 -0.19 (VIOLATED)
wbs_dat_i[0] 0.33 0.52 -0.19 (VIOLATED)
wbs_dat_i[10] 0.33 0.51 -0.18 (VIOLATED)
wbs_cyc_i 0.33 0.50 -0.17 (VIOLATED)
wbs_adr_i[17] 0.33 0.49 -0.16 (VIOLATED)
wbs_adr_i[26] 0.33 0.48 -0.15 (VIOLATED)
wbs_dat_i[2] 0.33 0.48 -0.15 (VIOLATED)
wbs_adr_i[21] 0.33 0.48 -0.15 (VIOLATED)
wb_clk_i 0.33 0.48 -0.15 (VIOLATED)
wbs_sel_i[0] 0.33 0.48 -0.15 (VIOLATED)
wbs_dat_i[14] 0.33 0.48 -0.15 (VIOLATED)
wbs_adr_i[0] 0.33 0.47 -0.14 (VIOLATED)
wbs_adr_i[31] 0.33 0.47 -0.14 (VIOLATED)
wbs_dat_i[13] 0.33 0.45 -0.12 (VIOLATED)
wbs_dat_i[25] 0.33 0.45 -0.12 (VIOLATED)
wbs_dat_i[30] 0.33 0.44 -0.11 (VIOLATED)
wbs_sel_i[2] 0.33 0.44 -0.11 (VIOLATED)
wbs_adr_i[4] 0.33 0.43 -0.10 (VIOLATED)
wbs_dat_i[18] 0.33 0.42 -0.09 (VIOLATED)
wbs_adr_i[13] 0.33 0.42 -0.09 (VIOLATED)
wbs_dat_i[6] 0.33 0.42 -0.09 (VIOLATED)
wbs_adr_i[1] 0.33 0.42 -0.08 (VIOLATED)
wbs_adr_i[30] 0.33 0.39 -0.06 (VIOLATED)
wbs_adr_i[22] 0.33 0.39 -0.05 (VIOLATED)
wb_rst_i 0.33 0.38 -0.05 (VIOLATED)
wbs_dat_i[19] 0.33 0.38 -0.05 (VIOLATED)
wbs_dat_i[17] 0.33 0.37 -0.04 (VIOLATED)
wbs_sel_i[1] 0.33 0.36 -0.03 (VIOLATED)
wbs_adr_i[24] 0.33 0.36 -0.03 (VIOLATED)
wbs_adr_i[20] 0.33 0.34 -0.01 (VIOLATED)
wbs_dat_i[31] 0.33 0.34 -0.01 (VIOLATED)
wbs_adr_i[18] 0.33 0.34 -0.01 (VIOLATED)
wbs_adr_i[27] 0.33 0.34 -0.01 (VIOLATED)
===========================================================================
max slew violation count 46
max fanout violation count 0
max cap violation count 50
============================================================================
check_slew_end
tns_report
===========================================================================
report_tns
============================================================================
tns 0.00
tns_report_end
wns_report
===========================================================================
report_wns
============================================================================
wns 0.00
wns_report_end
worst_slack
===========================================================================
report_worst_slack -max (Setup)
============================================================================
worst slack INF
===========================================================================
report_worst_slack -min (Hold)
============================================================================
worst slack INF
worst_slack_end
clock_skew
===========================================================================
report_clock_skew
============================================================================
Clock user_clock2
No launch/capture paths found.
clock_skew_end
power_report
===========================================================================
report_power
============================================================================
Group Internal Switching Leakage Total
Power Power Power Power
----------------------------------------------------------------
Sequential 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Combinational 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
0.0% 0.0% 0.0%
power_report_end
area_report
===========================================================================
report_design_area
============================================================================
Design area 640000 u^2 6% utilization.
area_report_end
[INFO]: Incremented step index to 22.
[INFO]: Running Static Timing Analysis...
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0130] Created 645 pins.
[INFO ODB-0131] Created 1 components and 108 component-terminals.
[INFO ODB-0132] Created 8 special nets and 0 connections.
[INFO ODB-0133] Created 637 nets and 106 connections.
[INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
[WARNING ORD-1011] LEF master aes has no liberty cell.
###############################################################################
# Created by write_sdc
# Sun Jun 5 18:14:45 2022
###############################################################################
current_design user_project_wrapper
###############################################################################
# Timing Constraints
###############################################################################
create_clock -name user_clock2 -period 10.0000 [get_ports {user_clock2}]
set_clock_transition 0.1500 [get_clocks {user_clock2}]
set_clock_uncertainty 0.2500 user_clock2
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[0]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[10]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[11]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[12]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[13]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[14]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[15]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[16]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[17]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[18]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[19]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[1]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[20]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[21]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[22]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[23]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[24]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[25]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[26]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[27]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[28]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[2]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[3]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[4]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[5]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[6]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[7]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[8]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[9]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[0]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[10]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[11]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[12]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[13]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[14]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[15]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[16]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[17]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[18]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[19]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[1]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[20]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[21]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[22]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[23]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[24]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[25]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[26]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[27]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[28]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[29]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[2]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[30]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[31]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[32]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[33]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[34]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[35]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[36]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[37]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[3]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[4]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[5]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[6]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[7]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[8]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_in[9]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[0]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[100]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[101]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[102]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[103]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[104]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[105]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[106]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[107]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[108]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[109]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[10]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[110]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[111]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[112]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[113]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[114]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[115]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[116]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[117]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[118]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[119]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[11]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[120]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[121]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[122]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[123]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[124]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[125]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[126]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[127]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[12]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[13]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[14]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[15]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[16]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[17]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[18]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[19]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[1]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[20]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[21]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[22]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[23]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[24]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[25]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[26]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[27]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[28]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[29]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[2]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[30]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[31]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[32]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[33]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[34]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[35]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[36]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[37]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[38]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[39]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[3]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[40]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[41]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[42]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[43]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[44]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[45]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[46]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[47]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[48]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[49]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[4]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[50]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[51]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[52]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[53]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[54]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[55]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[56]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[57]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[58]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[59]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[5]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[60]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[61]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[62]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[63]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[64]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[65]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[66]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[67]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[68]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[69]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[6]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[70]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[71]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[72]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[73]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[74]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[75]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[76]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[77]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[78]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[79]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[7]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[80]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[81]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[82]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[83]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[84]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[85]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[86]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[87]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[88]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[89]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[8]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[90]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[91]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[92]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[93]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[94]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[95]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[96]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[97]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[98]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[99]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_in[9]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[0]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[100]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[101]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[102]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[103]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[104]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[105]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[106]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[107]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[108]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[109]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[10]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[110]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[111]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[112]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[113]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[114]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[115]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[116]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[117]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[118]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[119]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[11]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[120]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[121]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[122]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[123]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[124]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[125]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[126]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[127]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[12]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[13]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[14]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[15]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[16]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[17]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[18]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[19]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[1]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[20]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[21]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[22]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[23]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[24]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[25]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[26]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[27]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[28]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[29]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[2]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[30]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[31]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[32]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[33]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[34]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[35]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[36]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[37]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[38]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[39]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[3]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[40]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[41]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[42]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[43]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[44]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[45]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[46]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[47]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[48]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[49]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[4]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[50]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[51]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[52]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[53]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[54]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[55]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[56]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[57]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[58]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[59]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[5]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[60]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[61]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[62]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[63]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[64]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[65]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[66]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[67]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[68]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[69]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[6]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[70]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[71]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[72]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[73]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[74]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[75]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[76]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[77]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[78]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[79]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[7]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[80]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[81]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[82]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[83]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[84]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[85]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[86]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[87]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[88]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[89]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[8]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[90]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[91]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[92]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[93]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[94]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[95]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[96]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[97]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[98]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[99]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_oenb[9]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wb_clk_i}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wb_rst_i}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[0]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[10]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[11]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[12]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[13]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[14]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[15]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[16]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[17]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[18]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[19]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[1]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[20]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[21]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[22]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[23]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[24]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[25]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[26]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[27]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[28]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[29]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[2]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[30]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[31]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[3]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[4]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[5]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[6]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[7]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[8]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_adr_i[9]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_cyc_i}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[0]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[10]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[11]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[12]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[13]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[14]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[15]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[16]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[17]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[18]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[19]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[1]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[20]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[21]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[22]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[23]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[24]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[25]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[26]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[27]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[28]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[29]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[2]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[30]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[31]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[3]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[4]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[5]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[6]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[7]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[8]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_i[9]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_sel_i[0]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_sel_i[1]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_sel_i[2]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_sel_i[3]}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_stb_i}]
set_input_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_we_i}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[0]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[10]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[11]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[12]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[13]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[14]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[15]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[16]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[17]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[18]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[19]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[1]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[20]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[21]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[22]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[23]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[24]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[25]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[26]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[27]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[28]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[2]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[3]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[4]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[5]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[6]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[7]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[8]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {analog_io[9]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[0]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[10]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[11]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[12]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[13]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[14]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[15]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[16]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[17]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[18]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[19]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[1]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[20]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[21]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[22]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[23]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[24]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[25]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[26]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[27]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[28]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[29]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[2]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[30]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[31]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[32]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[33]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[34]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[35]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[36]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[37]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[3]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[4]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[5]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[6]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[7]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[8]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_oeb[9]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[0]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[10]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[11]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[12]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[13]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[14]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[15]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[16]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[17]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[18]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[19]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[1]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[20]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[21]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[22]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[23]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[24]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[25]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[26]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[27]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[28]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[29]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[2]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[30]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[31]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[32]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[33]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[34]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[35]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[36]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[37]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[3]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[4]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[5]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[6]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[7]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[8]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {io_out[9]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[0]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[100]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[101]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[102]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[103]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[104]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[105]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[106]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[107]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[108]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[109]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[10]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[110]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[111]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[112]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[113]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[114]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[115]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[116]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[117]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[118]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[119]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[11]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[120]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[121]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[122]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[123]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[124]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[125]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[126]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[127]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[12]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[13]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[14]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[15]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[16]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[17]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[18]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[19]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[1]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[20]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[21]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[22]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[23]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[24]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[25]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[26]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[27]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[28]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[29]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[2]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[30]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[31]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[32]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[33]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[34]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[35]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[36]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[37]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[38]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[39]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[3]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[40]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[41]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[42]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[43]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[44]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[45]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[46]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[47]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[48]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[49]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[4]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[50]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[51]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[52]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[53]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[54]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[55]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[56]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[57]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[58]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[59]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[5]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[60]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[61]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[62]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[63]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[64]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[65]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[66]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[67]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[68]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[69]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[6]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[70]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[71]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[72]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[73]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[74]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[75]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[76]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[77]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[78]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[79]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[7]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[80]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[81]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[82]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[83]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[84]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[85]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[86]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[87]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[88]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[89]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[8]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[90]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[91]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[92]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[93]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[94]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[95]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[96]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[97]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[98]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[99]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {la_data_out[9]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {user_irq[0]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {user_irq[1]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {user_irq[2]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_ack_o}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[0]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[10]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[11]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[12]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[13]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[14]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[15]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[16]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[17]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[18]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[19]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[1]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[20]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[21]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[22]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[23]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[24]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[25]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[26]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[27]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[28]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[29]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[2]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[30]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[31]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[3]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[4]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[5]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[6]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[7]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[8]}]
set_output_delay 2.0000 -clock [get_clocks {user_clock2}] -add_delay [get_ports {wbs_dat_o[9]}]
###############################################################################
# Environment
###############################################################################
set_load -pin_load 0.0334 [get_ports {wbs_ack_o}]
set_load -pin_load 0.0334 [get_ports {analog_io[28]}]
set_load -pin_load 0.0334 [get_ports {analog_io[27]}]
set_load -pin_load 0.0334 [get_ports {analog_io[26]}]
set_load -pin_load 0.0334 [get_ports {analog_io[25]}]
set_load -pin_load 0.0334 [get_ports {analog_io[24]}]
set_load -pin_load 0.0334 [get_ports {analog_io[23]}]
set_load -pin_load 0.0334 [get_ports {analog_io[22]}]
set_load -pin_load 0.0334 [get_ports {analog_io[21]}]
set_load -pin_load 0.0334 [get_ports {analog_io[20]}]
set_load -pin_load 0.0334 [get_ports {analog_io[19]}]
set_load -pin_load 0.0334 [get_ports {analog_io[18]}]
set_load -pin_load 0.0334 [get_ports {analog_io[17]}]
set_load -pin_load 0.0334 [get_ports {analog_io[16]}]
set_load -pin_load 0.0334 [get_ports {analog_io[15]}]
set_load -pin_load 0.0334 [get_ports {analog_io[14]}]
set_load -pin_load 0.0334 [get_ports {analog_io[13]}]
set_load -pin_load 0.0334 [get_ports {analog_io[12]}]
set_load -pin_load 0.0334 [get_ports {analog_io[11]}]
set_load -pin_load 0.0334 [get_ports {analog_io[10]}]
set_load -pin_load 0.0334 [get_ports {analog_io[9]}]
set_load -pin_load 0.0334 [get_ports {analog_io[8]}]
set_load -pin_load 0.0334 [get_ports {analog_io[7]}]
set_load -pin_load 0.0334 [get_ports {analog_io[6]}]
set_load -pin_load 0.0334 [get_ports {analog_io[5]}]
set_load -pin_load 0.0334 [get_ports {analog_io[4]}]
set_load -pin_load 0.0334 [get_ports {analog_io[3]}]
set_load -pin_load 0.0334 [get_ports {analog_io[2]}]
set_load -pin_load 0.0334 [get_ports {analog_io[1]}]
set_load -pin_load 0.0334 [get_ports {analog_io[0]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[37]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[36]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[35]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[34]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[33]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[32]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[31]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[30]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[29]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[28]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[27]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[26]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[25]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[24]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[23]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[22]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[21]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[20]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[19]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[18]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[17]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[16]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[15]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[14]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[13]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[12]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[11]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[10]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[9]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[8]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[7]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[6]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[5]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[4]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[3]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[2]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[1]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[0]}]
set_load -pin_load 0.0334 [get_ports {io_out[37]}]
set_load -pin_load 0.0334 [get_ports {io_out[36]}]
set_load -pin_load 0.0334 [get_ports {io_out[35]}]
set_load -pin_load 0.0334 [get_ports {io_out[34]}]
set_load -pin_load 0.0334 [get_ports {io_out[33]}]
set_load -pin_load 0.0334 [get_ports {io_out[32]}]
set_load -pin_load 0.0334 [get_ports {io_out[31]}]
set_load -pin_load 0.0334 [get_ports {io_out[30]}]
set_load -pin_load 0.0334 [get_ports {io_out[29]}]
set_load -pin_load 0.0334 [get_ports {io_out[28]}]
set_load -pin_load 0.0334 [get_ports {io_out[27]}]
set_load -pin_load 0.0334 [get_ports {io_out[26]}]
set_load -pin_load 0.0334 [get_ports {io_out[25]}]
set_load -pin_load 0.0334 [get_ports {io_out[24]}]
set_load -pin_load 0.0334 [get_ports {io_out[23]}]
set_load -pin_load 0.0334 [get_ports {io_out[22]}]
set_load -pin_load 0.0334 [get_ports {io_out[21]}]
set_load -pin_load 0.0334 [get_ports {io_out[20]}]
set_load -pin_load 0.0334 [get_ports {io_out[19]}]
set_load -pin_load 0.0334 [get_ports {io_out[18]}]
set_load -pin_load 0.0334 [get_ports {io_out[17]}]
set_load -pin_load 0.0334 [get_ports {io_out[16]}]
set_load -pin_load 0.0334 [get_ports {io_out[15]}]
set_load -pin_load 0.0334 [get_ports {io_out[14]}]
set_load -pin_load 0.0334 [get_ports {io_out[13]}]
set_load -pin_load 0.0334 [get_ports {io_out[12]}]
set_load -pin_load 0.0334 [get_ports {io_out[11]}]
set_load -pin_load 0.0334 [get_ports {io_out[10]}]
set_load -pin_load 0.0334 [get_ports {io_out[9]}]
set_load -pin_load 0.0334 [get_ports {io_out[8]}]
set_load -pin_load 0.0334 [get_ports {io_out[7]}]
set_load -pin_load 0.0334 [get_ports {io_out[6]}]
set_load -pin_load 0.0334 [get_ports {io_out[5]}]
set_load -pin_load 0.0334 [get_ports {io_out[4]}]
set_load -pin_load 0.0334 [get_ports {io_out[3]}]
set_load -pin_load 0.0334 [get_ports {io_out[2]}]
set_load -pin_load 0.0334 [get_ports {io_out[1]}]
set_load -pin_load 0.0334 [get_ports {io_out[0]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[127]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[126]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[125]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[124]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[123]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[122]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[121]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[120]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[119]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[118]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[117]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[116]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[115]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[114]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[113]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[112]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[111]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[110]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[109]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[108]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[107]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[106]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[105]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[104]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[103]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[102]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[101]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[100]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[99]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[98]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[97]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[96]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[95]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[94]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[93]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[92]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[91]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[90]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[89]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[88]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[87]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[86]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[85]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[84]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[83]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[82]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[81]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[80]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[79]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[78]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[77]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[76]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[75]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[74]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[73]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[72]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[71]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[70]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[69]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[68]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[67]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[66]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[65]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[64]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[63]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[62]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[61]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[60]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[59]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[58]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[57]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[56]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[55]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[54]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[53]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[52]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[51]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[50]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[49]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[48]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[47]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[46]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[45]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[44]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[43]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[42]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[41]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[40]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[39]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[38]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[37]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[36]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[35]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[34]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[33]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[32]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[31]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[30]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[29]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[28]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[27]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[26]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[25]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[24]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[23]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[22]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[21]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[20]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[19]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[18]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[17]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[16]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[15]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[14]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[13]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[12]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[11]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[10]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[9]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[8]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[7]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[6]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[5]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[4]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[3]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[2]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[1]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[0]}]
set_load -pin_load 0.0334 [get_ports {user_irq[2]}]
set_load -pin_load 0.0334 [get_ports {user_irq[1]}]
set_load -pin_load 0.0334 [get_ports {user_irq[0]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[31]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[30]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[29]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[28]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[27]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[26]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[25]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[24]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[23]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[22]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[21]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[20]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[19]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[18]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[17]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[16]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[15]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[14]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[13]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[12]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[11]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[10]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[9]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[8]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[7]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[6]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[5]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[4]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[3]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[2]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[1]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {user_clock2}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_clk_i}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_rst_i}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_cyc_i}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_stb_i}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_we_i}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[37]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[36]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[35]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[34]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[33]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[32]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[127]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[126]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[125]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[124]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[123]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[122]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[121]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[120]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[119]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[118]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[117]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[116]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[115]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[114]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[113]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[112]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[111]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[110]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[109]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[108]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[107]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[106]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[105]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[104]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[103]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[102]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[101]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[100]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[99]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[98]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[97]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[96]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[95]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[94]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[93]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[92]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[91]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[90]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[89]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[88]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[87]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[86]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[85]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[84]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[83]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[82]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[81]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[80]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[79]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[78]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[77]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[76]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[75]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[74]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[73]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[72]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[71]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[70]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[69]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[68]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[67]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[66]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[65]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[64]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[63]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[62]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[61]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[60]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[59]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[58]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[57]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[56]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[55]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[54]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[53]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[52]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[51]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[50]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[49]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[48]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[47]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[46]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[45]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[44]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[43]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[42]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[41]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[40]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[39]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[38]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[37]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[36]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[35]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[34]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[33]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[32]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[127]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[126]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[125]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[124]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[123]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[122]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[121]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[120]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[119]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[118]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[117]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[116]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[115]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[114]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[113]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[112]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[111]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[110]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[109]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[108]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[107]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[106]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[105]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[104]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[103]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[102]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[101]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[100]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[99]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[98]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[97]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[96]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[95]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[94]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[93]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[92]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[91]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[90]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[89]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[88]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[87]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[86]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[85]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[84]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[83]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[82]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[81]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[80]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[79]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[78]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[77]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[76]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[75]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[74]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[73]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[72]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[71]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[70]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[69]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[68]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[67]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[66]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[65]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[64]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[63]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[62]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[61]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[60]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[59]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[58]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[57]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[56]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[55]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[54]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[53]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[52]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[51]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[50]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[49]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[48]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[47]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[46]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[45]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[44]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[43]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[42]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[41]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[40]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[39]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[38]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[37]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[36]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[35]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[34]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[33]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[32]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[0]}]
set_timing_derate -early 0.9500
set_timing_derate -late 1.0500
###############################################################################
# Design Rules
###############################################################################
set_max_fanout 5.0000 [current_design]
min_report
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
======================= Slowest Corner ===================================
No paths found.
======================= Typical Corner ===================================
No paths found.
======================= Fastest Corner ===================================
No paths found.
min_report_end
max_report
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
======================= Slowest Corner ===================================
No paths found.
======================= Typical Corner ===================================
No paths found.
======================= Fastest Corner ===================================
No paths found.
max_report_end
check_report
===========================================================================
report_checks -unconstrained
============================================================================
======================= Slowest Corner ===================================
Startpoint: wbs_dat_i[15] (input port clocked by user_clock2)
Endpoint: fossiAES/io_wbs_dat_i[15] (internal pin)
Path Group: (none)
Path Type: max
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
2.00 2.00 ^ input external delay
6.43 5.49 7.49 ^ wbs_dat_i[15] (in)
1 0.91 wbs_dat_i[15] (net)
6.83 0.00 7.49 ^ fossiAES/io_wbs_dat_i[15] (aes)
7.49 data arrival time
-----------------------------------------------------------------------------
(Path is unconstrained)
======================= Typical Corner ===================================
Startpoint: wbs_dat_i[15] (input port clocked by user_clock2)
Endpoint: fossiAES/io_wbs_dat_i[15] (internal pin)
Path Group: (none)
Path Type: max
Corner: tt
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
2.00 2.00 ^ input external delay
3.99 3.65 5.65 ^ wbs_dat_i[15] (in)
1 0.91 wbs_dat_i[15] (net)
4.62 0.00 5.65 ^ fossiAES/io_wbs_dat_i[15] (aes)
5.65 data arrival time
-----------------------------------------------------------------------------
(Path is unconstrained)
======================= Fastest Corner ===================================
Startpoint: wbs_adr_i[9] (input port clocked by user_clock2)
Endpoint: fossiAES/io_wbs_adr_i[9] (internal pin)
Path Group: (none)
Path Type: max
Corner: ff
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
2.00 2.00 ^ input external delay
1.51 3.03 5.03 ^ wbs_adr_i[9] (in)
1 0.70 wbs_adr_i[9] (net)
5.16 0.00 5.03 ^ fossiAES/io_wbs_adr_i[9] (aes)
5.03 data arrival time
-----------------------------------------------------------------------------
(Path is unconstrained)
===========================================================================
report_checks --slack_max -0.01
============================================================================
======================= Slowest Corner ===================================
No paths found.
======================= Typical Corner ===================================
No paths found.
======================= Fastest Corner ===================================
No paths found.
check_report_end
check_slew
===========================================================================
report_check_types -max_slew -max_cap -max_fanout -violators
============================================================================
======================= Slowest Corner ===================================
max slew
Pin Limit Slew Slack
------------------------------------------------------------
wbs_dat_i[15] 1.50 6.43 -4.93 (VIOLATED)
wbs_dat_i[11] 1.50 5.59 -4.09 (VIOLATED)
wbs_dat_i[12] 1.50 5.46 -3.97 (VIOLATED)
wbs_dat_i[8] 1.50 5.26 -3.76 (VIOLATED)
wbs_dat_i[23] 1.50 5.22 -3.73 (VIOLATED)
wbs_adr_i[9] 1.50 4.67 -3.17 (VIOLATED)
wbs_dat_i[27] 1.50 4.62 -3.13 (VIOLATED)
wbs_dat_i[24] 1.50 4.59 -3.10 (VIOLATED)
wbs_dat_i[1] 1.50 4.48 -2.99 (VIOLATED)
wbs_adr_i[6] 1.50 4.09 -2.59 (VIOLATED)
wbs_adr_i[16] 1.50 4.07 -2.57 (VIOLATED)
wbs_dat_i[21] 1.50 4.01 -2.51 (VIOLATED)
wbs_dat_i[16] 1.50 3.87 -2.37 (VIOLATED)
wbs_dat_i[9] 1.50 3.81 -2.31 (VIOLATED)
wbs_dat_i[7] 1.50 3.76 -2.26 (VIOLATED)
wbs_sel_i[3] 1.50 3.71 -2.21 (VIOLATED)
wbs_dat_i[0] 1.50 3.67 -2.17 (VIOLATED)
wbs_adr_i[12] 1.50 3.61 -2.11 (VIOLATED)
wbs_adr_i[25] 1.50 3.60 -2.10 (VIOLATED)
wbs_dat_i[10] 1.50 3.49 -1.99 (VIOLATED)
wbs_dat_i[2] 1.50 3.44 -1.94 (VIOLATED)
wbs_cyc_i 1.50 3.41 -1.91 (VIOLATED)
wbs_adr_i[17] 1.50 3.40 -1.90 (VIOLATED)
wbs_adr_i[0] 1.50 3.37 -1.87 (VIOLATED)
wbs_adr_i[21] 1.50 3.35 -1.85 (VIOLATED)
wbs_adr_i[26] 1.50 3.32 -1.82 (VIOLATED)
wbs_sel_i[0] 1.50 3.29 -1.79 (VIOLATED)
wb_clk_i 1.50 3.29 -1.79 (VIOLATED)
wbs_dat_i[14] 1.50 3.26 -1.76 (VIOLATED)
wbs_adr_i[31] 1.50 3.22 -1.72 (VIOLATED)
wbs_dat_i[25] 1.50 3.21 -1.71 (VIOLATED)
wbs_dat_i[13] 1.50 3.10 -1.60 (VIOLATED)
wbs_adr_i[4] 1.50 3.10 -1.60 (VIOLATED)
wbs_dat_i[30] 1.50 3.10 -1.60 (VIOLATED)
wbs_sel_i[2] 1.50 3.01 -1.51 (VIOLATED)
wbs_adr_i[1] 1.50 2.96 -1.46 (VIOLATED)
wbs_dat_i[6] 1.50 2.93 -1.43 (VIOLATED)
wbs_dat_i[18] 1.50 2.91 -1.42 (VIOLATED)
wbs_adr_i[13] 1.50 2.91 -1.41 (VIOLATED)
wbs_adr_i[30] 1.50 2.77 -1.28 (VIOLATED)
wbs_dat_i[19] 1.50 2.71 -1.21 (VIOLATED)
wb_rst_i 1.50 2.69 -1.19 (VIOLATED)
wbs_adr_i[22] 1.50 2.69 -1.19 (VIOLATED)
wbs_dat_i[17] 1.50 2.67 -1.17 (VIOLATED)
wbs_sel_i[1] 1.50 2.56 -1.06 (VIOLATED)
wbs_adr_i[24] 1.50 2.54 -1.04 (VIOLATED)
wbs_dat_i[31] 1.50 2.45 -0.95 (VIOLATED)
wbs_adr_i[20] 1.50 2.44 -0.94 (VIOLATED)
wbs_adr_i[18] 1.50 2.39 -0.89 (VIOLATED)
wbs_adr_i[27] 1.50 2.38 -0.88 (VIOLATED)
wbs_adr_i[7] 1.50 2.33 -0.84 (VIOLATED)
wbs_dat_i[5] 1.50 2.27 -0.78 (VIOLATED)
wbs_we_i 1.50 2.20 -0.70 (VIOLATED)
wbs_dat_i[3] 1.50 2.15 -0.65 (VIOLATED)
wbs_adr_i[29] 1.50 2.11 -0.61 (VIOLATED)
wbs_adr_i[3] 1.50 2.07 -0.57 (VIOLATED)
wbs_dat_i[22] 1.50 2.05 -0.56 (VIOLATED)
wbs_adr_i[11] 1.50 1.95 -0.45 (VIOLATED)
wbs_stb_i 1.50 1.94 -0.45 (VIOLATED)
wbs_adr_i[10] 1.50 1.92 -0.42 (VIOLATED)
wbs_adr_i[19] 1.50 1.92 -0.42 (VIOLATED)
wbs_adr_i[23] 1.50 1.90 -0.41 (VIOLATED)
wbs_adr_i[2] 1.50 1.90 -0.40 (VIOLATED)
wbs_dat_i[20] 1.50 1.87 -0.37 (VIOLATED)
wbs_dat_i[4] 1.50 1.82 -0.32 (VIOLATED)
wbs_adr_i[15] 1.50 1.81 -0.31 (VIOLATED)
wbs_adr_i[28] 1.50 1.77 -0.27 (VIOLATED)
wbs_adr_i[8] 1.50 1.76 -0.26 (VIOLATED)
wbs_adr_i[5] 1.50 1.72 -0.22 (VIOLATED)
wbs_dat_i[29] 1.50 1.67 -0.17 (VIOLATED)
wbs_dat_i[26] 1.50 1.66 -0.16 (VIOLATED)
wbs_adr_i[14] 1.50 1.65 -0.15 (VIOLATED)
wbs_dat_i[28] 1.50 1.64 -0.14 (VIOLATED)
max capacitance
Pin Limit Cap Slack
------------------------------------------------------------
wbs_dat_i[15] 0.21 0.91 -0.70 (VIOLATED)
wbs_dat_i[11] 0.21 0.79 -0.58 (VIOLATED)
wbs_dat_i[12] 0.21 0.78 -0.57 (VIOLATED)
wbs_dat_i[8] 0.21 0.75 -0.54 (VIOLATED)
wbs_dat_i[23] 0.21 0.74 -0.53 (VIOLATED)
wbs_adr_i[9] 0.21 0.70 -0.49 (VIOLATED)
wbs_dat_i[24] 0.21 0.67 -0.46 (VIOLATED)
wbs_dat_i[27] 0.21 0.66 -0.45 (VIOLATED)
wbs_dat_i[1] 0.21 0.64 -0.43 (VIOLATED)
wbs_adr_i[6] 0.21 0.62 -0.41 (VIOLATED)
wbs_adr_i[16] 0.21 0.59 -0.38 (VIOLATED)
wbs_dat_i[21] 0.21 0.57 -0.36 (VIOLATED)
wbs_dat_i[16] 0.21 0.56 -0.35 (VIOLATED)
wbs_dat_i[7] 0.21 0.55 -0.34 (VIOLATED)
wbs_dat_i[9] 0.21 0.54 -0.33 (VIOLATED)
wbs_adr_i[12] 0.21 0.54 -0.33 (VIOLATED)
wbs_adr_i[25] 0.21 0.52 -0.31 (VIOLATED)
wbs_sel_i[3] 0.21 0.52 -0.31 (VIOLATED)
wbs_dat_i[0] 0.21 0.52 -0.31 (VIOLATED)
wbs_dat_i[10] 0.21 0.51 -0.30 (VIOLATED)
wbs_cyc_i 0.21 0.50 -0.29 (VIOLATED)
wbs_adr_i[17] 0.21 0.49 -0.28 (VIOLATED)
wbs_adr_i[26] 0.21 0.48 -0.27 (VIOLATED)
wbs_dat_i[2] 0.21 0.48 -0.27 (VIOLATED)
wbs_adr_i[21] 0.21 0.48 -0.27 (VIOLATED)
wb_clk_i 0.21 0.48 -0.27 (VIOLATED)
wbs_sel_i[0] 0.21 0.48 -0.27 (VIOLATED)
wbs_dat_i[14] 0.21 0.48 -0.27 (VIOLATED)
wbs_adr_i[0] 0.21 0.47 -0.26 (VIOLATED)
wbs_adr_i[31] 0.21 0.47 -0.26 (VIOLATED)
wbs_dat_i[13] 0.21 0.45 -0.24 (VIOLATED)
wbs_dat_i[25] 0.21 0.45 -0.24 (VIOLATED)
wbs_dat_i[30] 0.21 0.44 -0.23 (VIOLATED)
wbs_sel_i[2] 0.21 0.44 -0.23 (VIOLATED)
wbs_adr_i[4] 0.21 0.43 -0.22 (VIOLATED)
wbs_dat_i[18] 0.21 0.42 -0.21 (VIOLATED)
wbs_adr_i[13] 0.21 0.42 -0.21 (VIOLATED)
wbs_dat_i[6] 0.21 0.42 -0.21 (VIOLATED)
wbs_adr_i[1] 0.21 0.42 -0.21 (VIOLATED)
wbs_adr_i[30] 0.21 0.39 -0.18 (VIOLATED)
wbs_adr_i[22] 0.21 0.39 -0.18 (VIOLATED)
wb_rst_i 0.21 0.38 -0.17 (VIOLATED)
wbs_dat_i[19] 0.21 0.38 -0.17 (VIOLATED)
wbs_dat_i[17] 0.21 0.37 -0.16 (VIOLATED)
wbs_sel_i[1] 0.21 0.36 -0.16 (VIOLATED)
wbs_adr_i[24] 0.21 0.36 -0.15 (VIOLATED)
wbs_adr_i[20] 0.21 0.34 -0.13 (VIOLATED)
wbs_dat_i[31] 0.21 0.34 -0.13 (VIOLATED)
wbs_adr_i[18] 0.21 0.34 -0.13 (VIOLATED)
wbs_adr_i[27] 0.21 0.34 -0.13 (VIOLATED)
wbs_adr_i[7] 0.21 0.33 -0.12 (VIOLATED)
wbs_dat_i[5] 0.21 0.32 -0.11 (VIOLATED)
wbs_we_i 0.21 0.31 -0.10 (VIOLATED)
wbs_dat_i[3] 0.21 0.30 -0.10 (VIOLATED)
wbs_adr_i[3] 0.21 0.29 -0.08 (VIOLATED)
wbs_adr_i[29] 0.21 0.29 -0.08 (VIOLATED)
wbs_dat_i[22] 0.21 0.29 -0.08 (VIOLATED)
wbs_adr_i[11] 0.21 0.27 -0.06 (VIOLATED)
wbs_stb_i 0.21 0.27 -0.06 (VIOLATED)
wbs_adr_i[10] 0.21 0.27 -0.06 (VIOLATED)
wbs_adr_i[19] 0.21 0.27 -0.06 (VIOLATED)
wbs_adr_i[23] 0.21 0.27 -0.06 (VIOLATED)
wbs_adr_i[2] 0.21 0.27 -0.06 (VIOLATED)
wbs_dat_i[20] 0.21 0.26 -0.05 (VIOLATED)
wbs_dat_i[4] 0.21 0.25 -0.04 (VIOLATED)
wbs_adr_i[15] 0.21 0.25 -0.04 (VIOLATED)
wbs_adr_i[28] 0.21 0.25 -0.04 (VIOLATED)
wbs_adr_i[8] 0.21 0.25 -0.04 (VIOLATED)
wbs_adr_i[5] 0.21 0.24 -0.03 (VIOLATED)
wbs_dat_i[29] 0.21 0.23 -0.02 (VIOLATED)
wbs_adr_i[14] 0.21 0.23 -0.02 (VIOLATED)
wbs_dat_i[26] 0.21 0.23 -0.02 (VIOLATED)
wbs_dat_i[28] 0.21 0.23 -0.02 (VIOLATED)
======================= Typical Corner ===================================
max slew
Pin Limit Slew Slack
------------------------------------------------------------
wbs_dat_i[15] 1.50 3.99 -2.49 (VIOLATED)
wbs_dat_i[23] 1.50 3.26 -1.76 (VIOLATED)
wbs_dat_i[11] 1.50 3.22 -1.72 (VIOLATED)
wbs_dat_i[12] 1.50 3.16 -1.66 (VIOLATED)
wbs_dat_i[8] 1.50 3.00 -1.50 (VIOLATED)
wbs_dat_i[27] 1.50 2.73 -1.23 (VIOLATED)
wbs_dat_i[1] 1.50 2.57 -1.07 (VIOLATED)
wbs_dat_i[24] 1.50 2.51 -1.02 (VIOLATED)
wbs_adr_i[9] 1.50 2.45 -0.95 (VIOLATED)
wbs_dat_i[21] 1.50 2.34 -0.84 (VIOLATED)
wbs_adr_i[16] 1.50 2.34 -0.84 (VIOLATED)
wbs_sel_i[3] 1.50 2.25 -0.75 (VIOLATED)
wbs_dat_i[0] 1.50 2.21 -0.72 (VIOLATED)
wbs_dat_i[9] 1.50 2.19 -0.69 (VIOLATED)
wbs_dat_i[16] 1.50 2.17 -0.67 (VIOLATED)
wbs_adr_i[6] 1.50 2.12 -0.63 (VIOLATED)
wbs_dat_i[7] 1.50 2.10 -0.61 (VIOLATED)
wbs_dat_i[2] 1.50 2.08 -0.58 (VIOLATED)
wbs_adr_i[25] 1.50 2.04 -0.54 (VIOLATED)
wbs_adr_i[0] 1.50 2.03 -0.53 (VIOLATED)
wbs_dat_i[25] 1.50 1.97 -0.48 (VIOLATED)
wbs_dat_i[10] 1.50 1.97 -0.47 (VIOLATED)
wbs_adr_i[12] 1.50 1.95 -0.46 (VIOLATED)
wbs_adr_i[17] 1.50 1.92 -0.42 (VIOLATED)
wbs_adr_i[4] 1.50 1.91 -0.41 (VIOLATED)
wbs_adr_i[21] 1.50 1.90 -0.41 (VIOLATED)
wbs_cyc_i 1.50 1.85 -0.35 (VIOLATED)
wbs_adr_i[26] 1.50 1.85 -0.35 (VIOLATED)
wbs_sel_i[0] 1.50 1.83 -0.33 (VIOLATED)
wbs_dat_i[30] 1.50 1.82 -0.33 (VIOLATED)
wbs_dat_i[14] 1.50 1.82 -0.32 (VIOLATED)
wb_clk_i 1.50 1.81 -0.32 (VIOLATED)
wbs_adr_i[31] 1.50 1.80 -0.31 (VIOLATED)
wbs_adr_i[1] 1.50 1.79 -0.29 (VIOLATED)
wbs_dat_i[13] 1.50 1.75 -0.25 (VIOLATED)
wbs_dat_i[6] 1.50 1.70 -0.21 (VIOLATED)
wbs_sel_i[2] 1.50 1.69 -0.19 (VIOLATED)
wbs_dat_i[18] 1.50 1.66 -0.17 (VIOLATED)
wbs_adr_i[13] 1.50 1.66 -0.16 (VIOLATED)
wbs_adr_i[30] 1.50 1.65 -0.16 (VIOLATED)
wbs_dat_i[17] 1.50 1.64 -0.15 (VIOLATED)
wbs_dat_i[19] 1.50 1.63 -0.13 (VIOLATED)
wb_rst_i 1.50 1.57 -0.07 (VIOLATED)
wbs_adr_i[22] 1.50 1.55 -0.06 (VIOLATED)
wbs_sel_i[1] 1.50 1.50 -0.00 (VIOLATED)
wbs_dat_i[31] 1.50 1.50 -0.00 (VIOLATED)
max capacitance
Pin Limit Cap Slack
------------------------------------------------------------
wbs_dat_i[15] 0.33 0.91 -0.58 (VIOLATED)
wbs_dat_i[11] 0.33 0.79 -0.46 (VIOLATED)
wbs_dat_i[12] 0.33 0.78 -0.44 (VIOLATED)
wbs_dat_i[8] 0.33 0.75 -0.42 (VIOLATED)
wbs_dat_i[23] 0.33 0.74 -0.41 (VIOLATED)
wbs_adr_i[9] 0.33 0.70 -0.36 (VIOLATED)
wbs_dat_i[24] 0.33 0.67 -0.34 (VIOLATED)
wbs_dat_i[27] 0.33 0.66 -0.33 (VIOLATED)
wbs_dat_i[1] 0.33 0.64 -0.31 (VIOLATED)
wbs_adr_i[6] 0.33 0.62 -0.29 (VIOLATED)
wbs_adr_i[16] 0.33 0.59 -0.25 (VIOLATED)
wbs_dat_i[21] 0.33 0.57 -0.24 (VIOLATED)
wbs_dat_i[16] 0.33 0.56 -0.23 (VIOLATED)
wbs_dat_i[7] 0.33 0.55 -0.22 (VIOLATED)
wbs_dat_i[9] 0.33 0.54 -0.21 (VIOLATED)
wbs_adr_i[12] 0.33 0.54 -0.20 (VIOLATED)
wbs_adr_i[25] 0.33 0.52 -0.19 (VIOLATED)
wbs_sel_i[3] 0.33 0.52 -0.19 (VIOLATED)
wbs_dat_i[0] 0.33 0.52 -0.19 (VIOLATED)
wbs_dat_i[10] 0.33 0.51 -0.18 (VIOLATED)
wbs_cyc_i 0.33 0.50 -0.17 (VIOLATED)
wbs_adr_i[17] 0.33 0.49 -0.16 (VIOLATED)
wbs_adr_i[26] 0.33 0.48 -0.15 (VIOLATED)
wbs_dat_i[2] 0.33 0.48 -0.15 (VIOLATED)
wbs_adr_i[21] 0.33 0.48 -0.15 (VIOLATED)
wb_clk_i 0.33 0.48 -0.15 (VIOLATED)
wbs_sel_i[0] 0.33 0.48 -0.15 (VIOLATED)
wbs_dat_i[14] 0.33 0.48 -0.15 (VIOLATED)
wbs_adr_i[0] 0.33 0.47 -0.14 (VIOLATED)
wbs_adr_i[31] 0.33 0.47 -0.14 (VIOLATED)
wbs_dat_i[13] 0.33 0.45 -0.12 (VIOLATED)
wbs_dat_i[25] 0.33 0.45 -0.12 (VIOLATED)
wbs_dat_i[30] 0.33 0.44 -0.11 (VIOLATED)
wbs_sel_i[2] 0.33 0.44 -0.11 (VIOLATED)
wbs_adr_i[4] 0.33 0.43 -0.10 (VIOLATED)
wbs_dat_i[18] 0.33 0.42 -0.09 (VIOLATED)
wbs_adr_i[13] 0.33 0.42 -0.09 (VIOLATED)
wbs_dat_i[6] 0.33 0.42 -0.09 (VIOLATED)
wbs_adr_i[1] 0.33 0.42 -0.08 (VIOLATED)
wbs_adr_i[30] 0.33 0.39 -0.06 (VIOLATED)
wbs_adr_i[22] 0.33 0.39 -0.05 (VIOLATED)
wb_rst_i 0.33 0.38 -0.05 (VIOLATED)
wbs_dat_i[19] 0.33 0.38 -0.05 (VIOLATED)
wbs_dat_i[17] 0.33 0.37 -0.04 (VIOLATED)
wbs_sel_i[1] 0.33 0.36 -0.03 (VIOLATED)
wbs_adr_i[24] 0.33 0.36 -0.03 (VIOLATED)
wbs_adr_i[20] 0.33 0.34 -0.01 (VIOLATED)
wbs_dat_i[31] 0.33 0.34 -0.01 (VIOLATED)
wbs_adr_i[18] 0.33 0.34 -0.01 (VIOLATED)
wbs_adr_i[27] 0.33 0.34 -0.01 (VIOLATED)
======================= Fastest Corner ===================================
max slew
Pin Limit Slew Slack
------------------------------------------------------------
wbs_dat_i[15] 1.50 2.94 -1.44 (VIOLATED)
wbs_dat_i[23] 1.50 2.44 -0.94 (VIOLATED)
wbs_dat_i[11] 1.50 2.24 -0.74 (VIOLATED)
wbs_dat_i[12] 1.50 2.21 -0.71 (VIOLATED)
wbs_dat_i[8] 1.50 2.06 -0.56 (VIOLATED)
wbs_dat_i[27] 1.50 1.92 -0.42 (VIOLATED)
wbs_dat_i[1] 1.50 1.76 -0.27 (VIOLATED)
wbs_dat_i[24] 1.50 1.66 -0.16 (VIOLATED)
wbs_sel_i[3] 1.50 1.63 -0.13 (VIOLATED)
wbs_dat_i[21] 1.50 1.62 -0.13 (VIOLATED)
wbs_dat_i[0] 1.50 1.60 -0.10 (VIOLATED)
wbs_adr_i[16] 1.50 1.60 -0.10 (VIOLATED)
wbs_adr_i[9] 1.50 1.51 -0.02 (VIOLATED)
wbs_dat_i[9] 1.50 1.51 -0.01 (VIOLATED)
wbs_dat_i[2] 1.50 1.50 -0.00 (VIOLATED)
max capacitance
Pin Limit Cap Slack
------------------------------------------------------------
wbs_dat_i[15] 0.43 0.91 -0.47 (VIOLATED)
wbs_dat_i[11] 0.43 0.79 -0.36 (VIOLATED)
wbs_dat_i[12] 0.43 0.78 -0.34 (VIOLATED)
wbs_dat_i[8] 0.43 0.75 -0.32 (VIOLATED)
wbs_dat_i[23] 0.43 0.74 -0.30 (VIOLATED)
wbs_adr_i[9] 0.43 0.70 -0.26 (VIOLATED)
wbs_dat_i[24] 0.43 0.67 -0.24 (VIOLATED)
wbs_dat_i[27] 0.43 0.66 -0.22 (VIOLATED)
wbs_dat_i[1] 0.43 0.64 -0.21 (VIOLATED)
wbs_adr_i[6] 0.43 0.62 -0.18 (VIOLATED)
wbs_adr_i[16] 0.43 0.59 -0.15 (VIOLATED)
wbs_dat_i[21] 0.43 0.57 -0.14 (VIOLATED)
wbs_dat_i[16] 0.43 0.56 -0.13 (VIOLATED)
wbs_dat_i[7] 0.43 0.55 -0.11 (VIOLATED)
wbs_dat_i[9] 0.43 0.54 -0.11 (VIOLATED)
wbs_adr_i[12] 0.43 0.54 -0.10 (VIOLATED)
wbs_adr_i[25] 0.43 0.52 -0.09 (VIOLATED)
wbs_sel_i[3] 0.43 0.52 -0.09 (VIOLATED)
wbs_dat_i[0] 0.43 0.52 -0.08 (VIOLATED)
wbs_dat_i[10] 0.43 0.51 -0.07 (VIOLATED)
wbs_cyc_i 0.43 0.50 -0.07 (VIOLATED)
wbs_adr_i[17] 0.43 0.49 -0.06 (VIOLATED)
wbs_adr_i[26] 0.43 0.48 -0.05 (VIOLATED)
wbs_dat_i[2] 0.43 0.48 -0.05 (VIOLATED)
wbs_adr_i[21] 0.43 0.48 -0.05 (VIOLATED)
wb_clk_i 0.43 0.48 -0.05 (VIOLATED)
wbs_sel_i[0] 0.43 0.48 -0.05 (VIOLATED)
wbs_dat_i[14] 0.43 0.48 -0.04 (VIOLATED)
wbs_adr_i[0] 0.43 0.47 -0.04 (VIOLATED)
wbs_adr_i[31] 0.43 0.47 -0.04 (VIOLATED)
wbs_dat_i[13] 0.43 0.45 -0.02 (VIOLATED)
wbs_dat_i[25] 0.43 0.45 -0.01 (VIOLATED)
wbs_dat_i[30] 0.43 0.44 -0.01 (VIOLATED)
wbs_sel_i[2] 0.43 0.44 -0.00 (VIOLATED)
===========================================================================
max slew violation count 73
max fanout violation count 0
max cap violation count 73
============================================================================
check_slew_end
tns_report
===========================================================================
report_tns
============================================================================
tns 0.00
tns_report_end
wns_report
===========================================================================
report_wns
============================================================================
wns 0.00
wns_report_end
worst_slack
===========================================================================
report_worst_slack -max (Setup)
============================================================================
worst slack INF
===========================================================================
report_worst_slack -min (Hold)
============================================================================
worst slack INF
worst_slack_end
clock_skew
===========================================================================
report_clock_skew
============================================================================
======================== Slowest Corner ==================================
Clock user_clock2
No launch/capture paths found.
======================= Typical Corner ===================================
Clock user_clock2
No launch/capture paths found.
======================= Fastest Corner ===================================
Clock user_clock2
No launch/capture paths found.
clock_skew_end
power_report
===========================================================================
report_power
============================================================================
======================= Slowest Corner =================================
Group Internal Switching Leakage Total
Power Power Power Power
----------------------------------------------------------------
Sequential 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Combinational 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
0.0% 0.0% 0.0%
======================= Typical Corner ===================================
Group Internal Switching Leakage Total
Power Power Power Power
----------------------------------------------------------------
Sequential 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Combinational 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
0.0% 0.0% 0.0%
======================= Fastest Corner =================================
Group Internal Switching Leakage Total
Power Power Power Power
----------------------------------------------------------------
Sequential 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Combinational 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
0.0% 0.0% 0.0%
power_report_end
area_report
===========================================================================
report_design_area
============================================================================
Design area 640000 u^2 6% utilization.
area_report_end
[INFO]: Incremented step index to 23.
[INFO]: Running Magic to generate various views...
[INFO]: Streaming out GDS II...
Magic 8.3 revision 269 - Compiled on Fri Feb 18 11:40:47 UTC 2022.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
Processing system .magicrc file
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
The following types are not handled by extraction and will be treated as non-electrical types:
mvobsactive ubm
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/openlane/scripts/magic/mag_gds.tcl" from command line.
Reading LEF data from file /home/askartos/sandbox/caravel_tutorial/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef.
This action cannot be undone.
LEF read, Line 78 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 79 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 111 (Message): Unknown keyword "MINENCLOSEDAREA" in LEF file; ignoring.
LEF read, Line 113 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 114 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 120 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
LEF read, Line 121 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
LEF read, Line 122 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
LEF read, Line 154 (Message): Unknown keyword "MINENCLOSEDAREA" in LEF file; ignoring.
LEF read, Line 162 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 163 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 165 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
LEF read, Line 166 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
LEF read, Line 167 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
LEF read, Line 203 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 204 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 206 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
LEF read, Line 207 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
LEF read, Line 208 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
LEF read, Line 244 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 245 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 247 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
LEF read, Line 248 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
LEF read, Line 249 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
LEF read, Line 285 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 286 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read: Processed 792 lines.
Reading LEF data from file /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/../../lef/aes.lef.
This action cannot be undone.
LEF read: Processed 1085 lines.
Reading DEF data from file /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def.
This action cannot be undone.
Processed 2 vias total.
Processed 1 subcell instances total.
Processed 645 pins total.
Processed 8 special nets total.
Processed 637 nets total.
DEF read: Processed 9302 lines.
Root cell box:
width x height ( llx, lly ), ( urx, ury ) area (units^2)
microns: 2920.000 x 3520.000 ( 0.000, 0.000), ( 2920.000, 3520.000) 10278400.000
lambda: 292000.00 x 352000.00 ( 0.00, 0.00 ), ( 292000.00, 352000.00) 102784000000.00
internal: 584000 x 704000 ( 0, 0 ), ( 584000, 704000) 411136000000
Warning: Calma reading is not undoable! I hope that's OK.
Library written using GDS-II Release 3.0
Library name: aes
Reading "sky130_fd_sc_hd__diode_2".
Reading "sky130_fd_sc_hd__decap_12".
Reading "sky130_fd_sc_hd__decap_4".
Reading "sky130_fd_sc_hd__decap_3".
Reading "sky130_fd_sc_hd__buf_2".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1".
Reading "sky130_fd_sc_hd__fill_1".
Reading "sky130_fd_sc_hd__fill_2".
Reading "sky130_fd_sc_hd__decap_6".
Reading "sky130_fd_sc_hd__buf_8".
Reading "sky130_fd_sc_hd__decap_8".
Reading "sky130_fd_sc_hd__buf_4".
Reading "sky130_fd_sc_hd__clkbuf_4".
Reading "sky130_fd_sc_hd__buf_6".
Reading "sky130_fd_sc_hd__nand2_8".
Reading "sky130_fd_sc_hd__clkbuf_2".
Reading "sky130_fd_sc_hd__buf_12".
Reading "sky130_fd_sc_hd__clkbuf_8".
Reading "sky130_fd_sc_hd__dfxtp_2".
Reading "sky130_fd_sc_hd__dfxtp_4".
Reading "sky130_fd_sc_hd__clkbuf_1".
Reading "sky130_fd_sc_hd__dfxtp_1".
Reading "sky130_fd_sc_hd__dlygate4sd3_1".
Reading "sky130_fd_sc_hd__or2_1".
Reading "sky130_fd_sc_hd__and3_1".
Reading "sky130_fd_sc_hd__clkdlybuf4s25_1".
Reading "sky130_fd_sc_hd__dlymetal6s2s_1".
Reading "sky130_fd_sc_hd__clkbuf_16".
Reading "sky130_fd_sc_hd__nand2_1".
Reading "sky130_fd_sc_hd__o211a_1".
Reading "sky130_fd_sc_hd__clkdlybuf4s50_1".
Reading "sky130_fd_sc_hd__a21o_1".
Reading "sky130_fd_sc_hd__o221a_1".
Reading "sky130_fd_sc_hd__o221a_4".
Reading "sky130_fd_sc_hd__o221a_2".
Reading "sky130_fd_sc_hd__nor2_1".
Reading "sky130_fd_sc_hd__a221o_1".
Reading "sky130_fd_sc_hd__and2b_1".
Reading "sky130_fd_sc_hd__o21a_2".
Reading "sky130_fd_sc_hd__mux2_1".
Reading "sky130_fd_sc_hd__and2_1".
Reading "sky130_fd_sc_hd__and3b_1".
Reading "sky130_fd_sc_hd__or2b_1".
Reading "sky130_fd_sc_hd__or3_4".
Reading "sky130_fd_sc_hd__nand2_2".
Reading "sky130_fd_sc_hd__and2_2".
Reading "sky130_fd_sc_hd__clkinv_2".
Reading "sky130_fd_sc_hd__a22o_2".
Reading "sky130_fd_sc_hd__o21a_1".
Reading "sky130_fd_sc_hd__or3_1".
Reading "sky130_fd_sc_hd__or3_2".
Reading "sky130_fd_sc_hd__nor2_2".
Reading "sky130_fd_sc_hd__and3b_2".
Reading "sky130_fd_sc_hd__or3b_2".
Reading "sky130_fd_sc_hd__or2_2".
Reading "sky130_fd_sc_hd__a31oi_1".
Reading "sky130_fd_sc_hd__or3b_4".
Reading "sky130_fd_sc_hd__nor2_4".
Reading "sky130_fd_sc_hd__nand2_4".
Reading "sky130_fd_sc_hd__and3b_4".
Reading "sky130_fd_sc_hd__and4bb_4".
Reading "sky130_fd_sc_hd__a211o_1".
Reading "sky130_fd_sc_hd__or4b_4".
Reading "sky130_fd_sc_hd__a211oi_1".
Reading "sky130_fd_sc_hd__a22o_1".
Reading "sky130_fd_sc_hd__xnor2_1".
Reading "sky130_fd_sc_hd__xnor2_2".
Reading "sky130_fd_sc_hd__clkinv_4".
Reading "sky130_fd_sc_hd__a21oi_1".
Reading "sky130_fd_sc_hd__o21ai_2".
Reading "sky130_fd_sc_hd__xor2_1".
Reading "sky130_fd_sc_hd__and3_4".
Reading "sky130_fd_sc_hd__or3b_1".
Reading "sky130_fd_sc_hd__xor2_2".
Reading "sky130_fd_sc_hd__nor2_8".
Reading "sky130_fd_sc_hd__a31o_1".
Reading "sky130_fd_sc_hd__a21boi_1".
Reading "sky130_fd_sc_hd__o21ai_1".
Reading "sky130_fd_sc_hd__inv_2".
Reading "sky130_fd_sc_hd__a2bb2o_1".
Reading "sky130_fd_sc_hd__xnor2_4".
Reading "sky130_fd_sc_hd__a21bo_1".
Reading "sky130_fd_sc_hd__a22oi_1".
Reading "sky130_fd_sc_hd__a21oi_2".
Reading "sky130_fd_sc_hd__o21ba_1".
Reading "sky130_fd_sc_hd__nand3_1".
Reading "sky130_fd_sc_hd__a32o_1".
Reading "sky130_fd_sc_hd__or2b_2".
Reading "sky130_fd_sc_hd__and4_1".
Reading "sky130_fd_sc_hd__nand3_4".
Reading "sky130_fd_sc_hd__nand3_2".
Reading "sky130_fd_sc_hd__nor3_4".
Reading "sky130_fd_sc_hd__nor3_1".
Reading "sky130_fd_sc_hd__o21bai_2".
Reading "sky130_fd_sc_hd__a2111o_1".
Reading "sky130_fd_sc_hd__nand3b_1".
Reading "sky130_fd_sc_hd__and4_4".
Reading "sky130_fd_sc_hd__nand3b_4".
Reading "sky130_fd_sc_hd__or4b_1".
Reading "sky130_fd_sc_hd__nand3b_2".
Reading "sky130_fd_sc_hd__a2bb2o_2".
Reading "sky130_fd_sc_hd__and2_4".
Reading "sky130_fd_sc_hd__a22o_4".
Reading "sky130_fd_sc_hd__and4bb_2".
Reading "sky130_fd_sc_hd__o31a_1".
Reading "sky130_fd_sc_hd__or4_1".
Reading "sky130_fd_sc_hd__mux2_8".
Reading "sky130_fd_sc_hd__and4bb_1".
Reading "sky130_fd_sc_hd__mux2_4".
Reading "sky130_fd_sc_hd__a211o_2".
Reading "sky130_fd_sc_hd__a31oi_4".
Reading "sky130_fd_sc_hd__a31o_4".
Reading "sky130_fd_sc_hd__o32a_2".
Reading "sky130_fd_sc_hd__a221o_4".
Reading "sky130_fd_sc_hd__a221o_2".
Reading "sky130_fd_sc_hd__o311a_1".
Reading "sky130_fd_sc_hd__o2111a_1".
Reading "sky130_fd_sc_hd__o22a_1".
Reading "sky130_fd_sc_hd__o22a_4".
Reading "sky130_fd_sc_hd__a2bb2o_4".
Reading "sky130_fd_sc_hd__a21o_2".
Reading "sky130_fd_sc_hd__a21oi_4".
Reading "sky130_fd_sc_hd__a41o_1".
Reading "sky130_fd_sc_hd__o211a_2".
Reading "sky130_fd_sc_hd__a32o_2".
Reading "sky130_fd_sc_hd__o211ai_1".
Reading "sky130_fd_sc_hd__and4b_1".
Reading "sky130_fd_sc_hd__o32a_1".
Reading "sky130_fd_sc_hd__a311o_1".
Reading "sky130_fd_sc_hd__o2bb2a_1".
Reading "sky130_fd_sc_hd__or2_4".
Reading "sky130_fd_sc_hd__o22ai_2".
Reading "sky130_fd_sc_hd__o22ai_4".
Reading "sky130_fd_sc_hd__nor4_1".
Reading "sky130_fd_sc_hd__o41a_1".
Reading "sky130_fd_sc_hd__o31ai_1".
Reading "sky130_fd_sc_hd__a31oi_2".
Reading "sky130_fd_sc_hd__o22ai_1".
Reading "sky130_fd_sc_hd__mux2_2".
Reading "sky130_fd_sc_hd__xor2_4".
Reading "sky130_fd_sc_hd__a211oi_2".
Reading "sky130_fd_sc_hd__nor3_2".
Reading "sky130_fd_sc_hd__a31o_2".
Reading "sky130_fd_sc_hd__or4_2".
Reading "sky130_fd_sc_hd__o221ai_1".
Reading "sky130_fd_sc_hd__and4b_4".
Reading "sky130_fd_sc_hd__o311a_2".
Reading "sky130_fd_sc_hd__a311o_2".
Reading "sky130_fd_sc_hd__o2bb2a_2".
Reading "sky130_fd_sc_hd__or4b_2".
Reading "sky130_fd_sc_hd__or4_4".
Reading "sky130_fd_sc_hd__o21ai_4".
Reading "sky130_fd_sc_hd__or4bb_1".
Reading "sky130_fd_sc_hd__o21bai_1".
Reading "sky130_fd_sc_hd__a2111oi_2".
Reading "sky130_fd_sc_hd__a2111oi_1".
CIF file read warning: CIF style sky130(vendor): units rescaled by factor of 5 / 1
CIF file read warning: Input off lambda grid by 2/5; snapped to grid.
Reading "sky130_fd_sc_hd__o31ai_2".
Reading "sky130_fd_sc_hd__o41a_2".
Reading "sky130_fd_sc_hd__and2b_4".
Reading "sky130_fd_sc_hd__o21bai_4".
Reading "sky130_fd_sc_hd__o211ai_2".
Reading "sky130_fd_sc_hd__o22a_2".
Reading "sky130_fd_sc_hd__nand4_1".
Reading "sky130_fd_sc_hd__o2111ai_4".
Reading "aes".
Warning: cell aes already existed before reading GDS!
5000 uses
10000 uses
15000 uses
20000 uses
25000 uses
30000 uses
35000 uses
40000 uses
45000 uses
50000 uses
55000 uses
60000 uses
65000 uses
70000 uses
75000 uses
80000 uses
Generating output for cell sky130_fd_sc_hd__decap_12
Generating output for cell sky130_fd_sc_hd__fill_1
Generating output for cell sky130_fd_sc_hd__decap_3
Generating output for cell sky130_fd_sc_hd__tapvpwrvgnd_1
Generating output for cell sky130_fd_sc_hd__decap_6
Generating output for cell sky130_fd_sc_hd__fill_2
Generating output for cell sky130_fd_sc_hd__diode_2
Generating output for cell sky130_fd_sc_hd__decap_4
Generating output for cell sky130_fd_sc_hd__buf_2
Generating output for cell sky130_fd_sc_hd__decap_8
Generating output for cell sky130_fd_sc_hd__buf_12
Generating output for cell sky130_fd_sc_hd__clkbuf_2
Generating output for cell sky130_fd_sc_hd__clkbuf_8
Generating output for cell sky130_fd_sc_hd__dfxtp_2
Generating output for cell sky130_fd_sc_hd__buf_6
Generating output for cell sky130_fd_sc_hd__dfxtp_1
Generating output for cell sky130_fd_sc_hd__nand2_8
Generating output for cell sky130_fd_sc_hd__dfxtp_4
Generating output for cell sky130_fd_sc_hd__buf_8
Generating output for cell sky130_fd_sc_hd__buf_4
Generating output for cell sky130_fd_sc_hd__clkbuf_16
Generating output for cell sky130_fd_sc_hd__clkbuf_4
Generating output for cell sky130_fd_sc_hd__and3_4
Generating output for cell sky130_fd_sc_hd__nor4_1
Generating output for cell sky130_fd_sc_hd__nor2_1
Generating output for cell sky130_fd_sc_hd__o21ai_1
Generating output for cell sky130_fd_sc_hd__a31o_1
Generating output for cell sky130_fd_sc_hd__or4bb_1
Generating output for cell sky130_fd_sc_hd__dlymetal6s2s_1
Generating output for cell sky130_fd_sc_hd__a21oi_1
Generating output for cell sky130_fd_sc_hd__a21oi_4
Generating output for cell sky130_fd_sc_hd__and4b_1
Generating output for cell sky130_fd_sc_hd__and3b_1
Generating output for cell sky130_fd_sc_hd__or2_1
Generating output for cell sky130_fd_sc_hd__or4b_1
Generating output for cell sky130_fd_sc_hd__or4_1
Generating output for cell sky130_fd_sc_hd__o41a_1
Generating output for cell sky130_fd_sc_hd__a21oi_2
Generating output for cell sky130_fd_sc_hd__a2111o_1
Generating output for cell sky130_fd_sc_hd__o221a_1
Generating output for cell sky130_fd_sc_hd__or3_1
Generating output for cell sky130_fd_sc_hd__nor2_2
Generating output for cell sky130_fd_sc_hd__a21o_1
Generating output for cell sky130_fd_sc_hd__and4_1
Generating output for cell sky130_fd_sc_hd__o2111a_1
Generating output for cell sky130_fd_sc_hd__or2_2
Generating output for cell sky130_fd_sc_hd__o22a_1
Generating output for cell sky130_fd_sc_hd__or4_2
Generating output for cell sky130_fd_sc_hd__o311a_1
Generating output for cell sky130_fd_sc_hd__a41o_1
Generating output for cell sky130_fd_sc_hd__and2_1
Generating output for cell sky130_fd_sc_hd__o21ba_1
Generating output for cell sky130_fd_sc_hd__and2_4
Generating output for cell sky130_fd_sc_hd__nand2_1
Generating output for cell sky130_fd_sc_hd__o31a_1
Generating output for cell sky130_fd_sc_hd__and2_2
Generating output for cell sky130_fd_sc_hd__o21bai_1
Generating output for cell sky130_fd_sc_hd__o211ai_1
Generating output for cell sky130_fd_sc_hd__inv_2
Generating output for cell sky130_fd_sc_hd__a311o_1
Generating output for cell sky130_fd_sc_hd__a211o_1
Generating output for cell sky130_fd_sc_hd__nand2_2
Generating output for cell sky130_fd_sc_hd__nand2_4
Generating output for cell sky130_fd_sc_hd__and3_1
Generating output for cell sky130_fd_sc_hd__o22ai_2
Generating output for cell sky130_fd_sc_hd__o211a_1
Generating output for cell sky130_fd_sc_hd__nand3b_1
Generating output for cell sky130_fd_sc_hd__o32a_1
Generating output for cell sky130_fd_sc_hd__o21a_1
Generating output for cell sky130_fd_sc_hd__a22o_1
Generating output for cell sky130_fd_sc_hd__and4bb_1
Generating output for cell sky130_fd_sc_hd__a221o_1
Generating output for cell sky130_fd_sc_hd__or3b_1
Generating output for cell sky130_fd_sc_hd__o21ai_2
Generating output for cell sky130_fd_sc_hd__nor3_2
Generating output for cell sky130_fd_sc_hd__nand4_1
Generating output for cell sky130_fd_sc_hd__nor3_1
Generating output for cell sky130_fd_sc_hd__a31oi_2
Generating output for cell sky130_fd_sc_hd__or3_2
Generating output for cell sky130_fd_sc_hd__or4b_2
Generating output for cell sky130_fd_sc_hd__o2111ai_4
Generating output for cell sky130_fd_sc_hd__o22ai_1
Generating output for cell sky130_fd_sc_hd__o221ai_1
Generating output for cell sky130_fd_sc_hd__nor2_4
Generating output for cell sky130_fd_sc_hd__or2_4
Generating output for cell sky130_fd_sc_hd__a2bb2o_1
Generating output for cell sky130_fd_sc_hd__o22a_2
Generating output for cell sky130_fd_sc_hd__and2b_1
Generating output for cell sky130_fd_sc_hd__o211ai_2
Generating output for cell sky130_fd_sc_hd__o2bb2a_1
Generating output for cell sky130_fd_sc_hd__or3b_2
Generating output for cell sky130_fd_sc_hd__or3_4
Generating output for cell sky130_fd_sc_hd__o21bai_4
Generating output for cell sky130_fd_sc_hd__clkbuf_1
Generating output for cell sky130_fd_sc_hd__or3b_4
Generating output for cell sky130_fd_sc_hd__and2b_4
Generating output for cell sky130_fd_sc_hd__o41a_2
Generating output for cell sky130_fd_sc_hd__nand3_1
Generating output for cell sky130_fd_sc_hd__a31o_2
Generating output for cell sky130_fd_sc_hd__and3b_2
Generating output for cell sky130_fd_sc_hd__or2b_1
Generating output for cell sky130_fd_sc_hd__or4_4
Generating output for cell sky130_fd_sc_hd__xnor2_1
Generating output for cell sky130_fd_sc_hd__xnor2_4
Generating output for cell sky130_fd_sc_hd__xnor2_2
Generating output for cell sky130_fd_sc_hd__xor2_1
Generating output for cell sky130_fd_sc_hd__xor2_4
Generating output for cell sky130_fd_sc_hd__xor2_2
Generating output for cell sky130_fd_sc_hd__a21bo_1
Generating output for cell sky130_fd_sc_hd__dlygate4sd3_1
Generating output for cell sky130_fd_sc_hd__o31ai_2
Generating output for cell sky130_fd_sc_hd__clkdlybuf4s25_1
Generating output for cell sky130_fd_sc_hd__a2bb2o_2
Generating output for cell sky130_fd_sc_hd__a2111oi_1
Generating output for cell sky130_fd_sc_hd__a2111oi_2
Generating output for cell sky130_fd_sc_hd__o2bb2a_2
Generating output for cell sky130_fd_sc_hd__mux2_8
Generating output for cell sky130_fd_sc_hd__mux2_4
Generating output for cell sky130_fd_sc_hd__mux2_1
Generating output for cell sky130_fd_sc_hd__clkinv_2
Generating output for cell sky130_fd_sc_hd__clkdlybuf4s50_1
Generating output for cell sky130_fd_sc_hd__a22o_4
Generating output for cell sky130_fd_sc_hd__a31oi_4
Generating output for cell sky130_fd_sc_hd__o21ai_4
Generating output for cell sky130_fd_sc_hd__a22o_2
Generating output for cell sky130_fd_sc_hd__a211oi_1
Generating output for cell sky130_fd_sc_hd__o221a_2
Generating output for cell sky130_fd_sc_hd__o21a_2
Generating output for cell sky130_fd_sc_hd__a311o_2
Generating output for cell sky130_fd_sc_hd__o311a_2
Generating output for cell sky130_fd_sc_hd__o21bai_2
Generating output for cell sky130_fd_sc_hd__and4b_4
Generating output for cell sky130_fd_sc_hd__a32o_1
Generating output for cell sky130_fd_sc_hd__a211oi_2
Generating output for cell sky130_fd_sc_hd__mux2_2
Generating output for cell sky130_fd_sc_hd__o31ai_1
Generating output for cell sky130_fd_sc_hd__clkinv_4
Generating output for cell sky130_fd_sc_hd__a32o_2
Generating output for cell sky130_fd_sc_hd__o22ai_4
Generating output for cell sky130_fd_sc_hd__a221o_2
Generating output for cell sky130_fd_sc_hd__o211a_2
Generating output for cell sky130_fd_sc_hd__a221o_4
Generating output for cell sky130_fd_sc_hd__a31o_4
Generating output for cell sky130_fd_sc_hd__a211o_2
Generating output for cell sky130_fd_sc_hd__a21o_2
Generating output for cell sky130_fd_sc_hd__a2bb2o_4
Generating output for cell sky130_fd_sc_hd__o22a_4
Generating output for cell sky130_fd_sc_hd__o32a_2
Generating output for cell sky130_fd_sc_hd__nor2_8
Generating output for cell sky130_fd_sc_hd__and4bb_2
Generating output for cell sky130_fd_sc_hd__nand3b_2
Generating output for cell sky130_fd_sc_hd__nand3b_4
Generating output for cell sky130_fd_sc_hd__and4_4
Generating output for cell sky130_fd_sc_hd__nor3_4
Generating output for cell sky130_fd_sc_hd__nand3_2
Generating output for cell sky130_fd_sc_hd__nand3_4
Generating output for cell sky130_fd_sc_hd__or2b_2
Generating output for cell sky130_fd_sc_hd__a22oi_1
Generating output for cell sky130_fd_sc_hd__a21boi_1
Generating output for cell sky130_fd_sc_hd__or4b_4
Generating output for cell sky130_fd_sc_hd__and4bb_4
Generating output for cell sky130_fd_sc_hd__and3b_4
Generating output for cell sky130_fd_sc_hd__a31oi_1
Generating output for cell sky130_fd_sc_hd__o221a_4
Generating output for cell aes
Generating output for cell user_project_wrapper
[INFO]: GDS Write Complete
[INFO]: Generating MAGLEF views...
Magic 8.3 revision 269 - Compiled on Fri Feb 18 11:40:47 UTC 2022.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
Processing system .magicrc file
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
The following types are not handled by extraction and will be treated as non-electrical types:
mvobsactive ubm
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/openlane/scripts/magic/gds_pointers.tcl" from command line.
Warning: Calma reading is not undoable! I hope that's OK.
Library written using GDS-II Release 3.0
Library name: user_project_wrapper
Reading "sky130_fd_sc_hd__decap_12".
Reading "sky130_fd_sc_hd__fill_1".
Reading "sky130_fd_sc_hd__decap_3".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1".
Reading "sky130_fd_sc_hd__decap_6".
Reading "sky130_fd_sc_hd__fill_2".
Reading "sky130_fd_sc_hd__diode_2".
Reading "sky130_fd_sc_hd__decap_4".
Reading "sky130_fd_sc_hd__buf_2".
Reading "sky130_fd_sc_hd__decap_8".
Reading "sky130_fd_sc_hd__buf_12".
Reading "sky130_fd_sc_hd__clkbuf_2".
Reading "sky130_fd_sc_hd__clkbuf_8".
Reading "sky130_fd_sc_hd__dfxtp_2".
Reading "sky130_fd_sc_hd__buf_6".
Reading "sky130_fd_sc_hd__dfxtp_1".
Reading "sky130_fd_sc_hd__nand2_8".
Reading "sky130_fd_sc_hd__dfxtp_4".
Reading "sky130_fd_sc_hd__buf_8".
Reading "sky130_fd_sc_hd__buf_4".
Reading "sky130_fd_sc_hd__clkbuf_16".
Reading "sky130_fd_sc_hd__clkbuf_4".
Reading "sky130_fd_sc_hd__and3_4".
Reading "sky130_fd_sc_hd__nor4_1".
Reading "sky130_fd_sc_hd__nor2_1".
Reading "sky130_fd_sc_hd__o21ai_1".
Reading "sky130_fd_sc_hd__a31o_1".
Reading "sky130_fd_sc_hd__or4bb_1".
Reading "sky130_fd_sc_hd__dlymetal6s2s_1".
Reading "sky130_fd_sc_hd__a21oi_1".
Reading "sky130_fd_sc_hd__a21oi_4".
Reading "sky130_fd_sc_hd__and4b_1".
Reading "sky130_fd_sc_hd__and3b_1".
Reading "sky130_fd_sc_hd__or2_1".
Reading "sky130_fd_sc_hd__or4b_1".
Reading "sky130_fd_sc_hd__or4_1".
Reading "sky130_fd_sc_hd__o41a_1".
Reading "sky130_fd_sc_hd__a21oi_2".
Reading "sky130_fd_sc_hd__a2111o_1".
Reading "sky130_fd_sc_hd__o221a_1".
Reading "sky130_fd_sc_hd__or3_1".
Reading "sky130_fd_sc_hd__nor2_2".
Reading "sky130_fd_sc_hd__a21o_1".
Reading "sky130_fd_sc_hd__and4_1".
Reading "sky130_fd_sc_hd__o2111a_1".
Reading "sky130_fd_sc_hd__or2_2".
Reading "sky130_fd_sc_hd__o22a_1".
Reading "sky130_fd_sc_hd__or4_2".
Reading "sky130_fd_sc_hd__o311a_1".
Reading "sky130_fd_sc_hd__a41o_1".
Reading "sky130_fd_sc_hd__and2_1".
Reading "sky130_fd_sc_hd__o21ba_1".
Reading "sky130_fd_sc_hd__and2_4".
Reading "sky130_fd_sc_hd__nand2_1".
Reading "sky130_fd_sc_hd__o31a_1".
Reading "sky130_fd_sc_hd__and2_2".
Reading "sky130_fd_sc_hd__o21bai_1".
Reading "sky130_fd_sc_hd__o211ai_1".
Reading "sky130_fd_sc_hd__inv_2".
Reading "sky130_fd_sc_hd__a311o_1".
Reading "sky130_fd_sc_hd__a211o_1".
Reading "sky130_fd_sc_hd__nand2_2".
Reading "sky130_fd_sc_hd__nand2_4".
Reading "sky130_fd_sc_hd__and3_1".
Reading "sky130_fd_sc_hd__o22ai_2".
Reading "sky130_fd_sc_hd__o211a_1".
Reading "sky130_fd_sc_hd__nand3b_1".
Reading "sky130_fd_sc_hd__o32a_1".
Reading "sky130_fd_sc_hd__o21a_1".
Reading "sky130_fd_sc_hd__a22o_1".
Reading "sky130_fd_sc_hd__and4bb_1".
Reading "sky130_fd_sc_hd__a221o_1".
Reading "sky130_fd_sc_hd__or3b_1".
Reading "sky130_fd_sc_hd__o21ai_2".
Reading "sky130_fd_sc_hd__nor3_2".
Reading "sky130_fd_sc_hd__nand4_1".
Reading "sky130_fd_sc_hd__nor3_1".
Reading "sky130_fd_sc_hd__a31oi_2".
Reading "sky130_fd_sc_hd__or3_2".
Reading "sky130_fd_sc_hd__or4b_2".
Reading "sky130_fd_sc_hd__o2111ai_4".
Reading "sky130_fd_sc_hd__o22ai_1".
Reading "sky130_fd_sc_hd__o221ai_1".
Reading "sky130_fd_sc_hd__nor2_4".
Reading "sky130_fd_sc_hd__or2_4".
Reading "sky130_fd_sc_hd__a2bb2o_1".
Reading "sky130_fd_sc_hd__o22a_2".
Reading "sky130_fd_sc_hd__and2b_1".
Reading "sky130_fd_sc_hd__o211ai_2".
Reading "sky130_fd_sc_hd__o2bb2a_1".
Reading "sky130_fd_sc_hd__or3b_2".
Reading "sky130_fd_sc_hd__or3_4".
Reading "sky130_fd_sc_hd__o21bai_4".
Reading "sky130_fd_sc_hd__clkbuf_1".
Reading "sky130_fd_sc_hd__or3b_4".
Reading "sky130_fd_sc_hd__and2b_4".
Reading "sky130_fd_sc_hd__o41a_2".
Reading "sky130_fd_sc_hd__nand3_1".
Reading "sky130_fd_sc_hd__a31o_2".
Reading "sky130_fd_sc_hd__and3b_2".
Reading "sky130_fd_sc_hd__or2b_1".
Reading "sky130_fd_sc_hd__or4_4".
Reading "sky130_fd_sc_hd__xnor2_1".
Reading "sky130_fd_sc_hd__xnor2_4".
Reading "sky130_fd_sc_hd__xnor2_2".
Reading "sky130_fd_sc_hd__xor2_1".
Reading "sky130_fd_sc_hd__xor2_4".
Reading "sky130_fd_sc_hd__xor2_2".
Reading "sky130_fd_sc_hd__a21bo_1".
Reading "sky130_fd_sc_hd__dlygate4sd3_1".
Reading "sky130_fd_sc_hd__o31ai_2".
Reading "sky130_fd_sc_hd__clkdlybuf4s25_1".
Reading "sky130_fd_sc_hd__a2bb2o_2".
Reading "sky130_fd_sc_hd__a2111oi_1".
CIF file read warning: CIF style sky130(vendor): units rescaled by factor of 5 / 1
CIF file read warning: Input off lambda grid by 2/5; snapped to grid.
Reading "sky130_fd_sc_hd__a2111oi_2".
Reading "sky130_fd_sc_hd__o2bb2a_2".
Reading "sky130_fd_sc_hd__mux2_8".
Reading "sky130_fd_sc_hd__mux2_4".
Reading "sky130_fd_sc_hd__mux2_1".
Reading "sky130_fd_sc_hd__clkinv_2".
Reading "sky130_fd_sc_hd__clkdlybuf4s50_1".
Reading "sky130_fd_sc_hd__a22o_4".
Reading "sky130_fd_sc_hd__a31oi_4".
Reading "sky130_fd_sc_hd__o21ai_4".
Reading "sky130_fd_sc_hd__a22o_2".
Reading "sky130_fd_sc_hd__a211oi_1".
Reading "sky130_fd_sc_hd__o221a_2".
Reading "sky130_fd_sc_hd__o21a_2".
Reading "sky130_fd_sc_hd__a311o_2".
Reading "sky130_fd_sc_hd__o311a_2".
Reading "sky130_fd_sc_hd__o21bai_2".
Reading "sky130_fd_sc_hd__and4b_4".
Reading "sky130_fd_sc_hd__a32o_1".
Reading "sky130_fd_sc_hd__a211oi_2".
Reading "sky130_fd_sc_hd__mux2_2".
Reading "sky130_fd_sc_hd__o31ai_1".
Reading "sky130_fd_sc_hd__clkinv_4".
Reading "sky130_fd_sc_hd__a32o_2".
Reading "sky130_fd_sc_hd__o22ai_4".
Reading "sky130_fd_sc_hd__a221o_2".
Reading "sky130_fd_sc_hd__o211a_2".
Reading "sky130_fd_sc_hd__a221o_4".
Reading "sky130_fd_sc_hd__a31o_4".
Reading "sky130_fd_sc_hd__a211o_2".
Reading "sky130_fd_sc_hd__a21o_2".
Reading "sky130_fd_sc_hd__a2bb2o_4".
Reading "sky130_fd_sc_hd__o22a_4".
Reading "sky130_fd_sc_hd__o32a_2".
Reading "sky130_fd_sc_hd__nor2_8".
Reading "sky130_fd_sc_hd__and4bb_2".
Reading "sky130_fd_sc_hd__nand3b_2".
Reading "sky130_fd_sc_hd__nand3b_4".
Reading "sky130_fd_sc_hd__and4_4".
Reading "sky130_fd_sc_hd__nor3_4".
Reading "sky130_fd_sc_hd__nand3_2".
Reading "sky130_fd_sc_hd__nand3_4".
Reading "sky130_fd_sc_hd__or2b_2".
Reading "sky130_fd_sc_hd__a22oi_1".
Reading "sky130_fd_sc_hd__a21boi_1".
Reading "sky130_fd_sc_hd__or4b_4".
Reading "sky130_fd_sc_hd__and4bb_4".
Reading "sky130_fd_sc_hd__and3b_4".
Reading "sky130_fd_sc_hd__a31oi_1".
Reading "sky130_fd_sc_hd__o221a_4".
Reading "aes".
5000 uses
10000 uses
15000 uses
20000 uses
25000 uses
30000 uses
35000 uses
40000 uses
45000 uses
50000 uses
55000 uses
60000 uses
65000 uses
70000 uses
75000 uses
80000 uses
Reading "user_project_wrapper".
[INFO]: Wrote /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/finishing/gds_ptrs.mag including GDS pointers.
Magic 8.3 revision 269 - Compiled on Fri Feb 18 11:40:47 UTC 2022.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
Processing system .magicrc file
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
The following types are not handled by extraction and will be treated as non-electrical types:
mvobsactive ubm
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/openlane/scripts/magic/lef.tcl" from command line.
Reading LEF data from file /home/askartos/sandbox/caravel_tutorial/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef.
This action cannot be undone.
LEF read, Line 78 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 79 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 111 (Message): Unknown keyword "MINENCLOSEDAREA" in LEF file; ignoring.
LEF read, Line 113 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 114 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 120 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
LEF read, Line 121 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
LEF read, Line 122 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
LEF read, Line 154 (Message): Unknown keyword "MINENCLOSEDAREA" in LEF file; ignoring.
LEF read, Line 162 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 163 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 165 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
LEF read, Line 166 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
LEF read, Line 167 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
LEF read, Line 203 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 204 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 206 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
LEF read, Line 207 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
LEF read, Line 208 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
LEF read, Line 244 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 245 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 247 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
LEF read, Line 248 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
LEF read, Line 249 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
LEF read, Line 285 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 286 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read: Processed 792 lines.
Reading LEF data from file /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/../../lef/aes.lef.
This action cannot be undone.
LEF read: Processed 1085 lines.
user_project_wrapper: 10000 rects
user_project_wrapper: 20000 rects
user_project_wrapper: 30000 rects
user_project_wrapper: 40000 rects
user_project_wrapper: 50000 rects
Processing timestamp mismatches: aes.
[INFO]: Writing abstract LEF
Generating LEF output /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/finishing/user_project_wrapper.lef for cell user_project_wrapper:
Diagnostic: Write LEF header for cell user_project_wrapper
Diagnostic: Writing LEF output for cell user_project_wrapper
Diagnostic: Scale value is 0.005000
[INFO]: LEF Write Complete
Using technology "sky130A", version 1.0.283-0-g7519dfb
Magic 8.3 revision 269 - Compiled on Fri Feb 18 11:40:47 UTC 2022.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
Processing system .magicrc file
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
The following types are not handled by extraction and will be treated as non-electrical types:
mvobsactive ubm
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/openlane/scripts/magic/maglef.tcl" from command line.
Reading LEF data from file /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/finishing/user_project_wrapper.lef.
This action cannot be undone.
LEF read: Processed 6939 lines.
[INFO]: DONE GENERATING MAGLEF VIEW
[INFO]: Generting GDS II with Klayout...
[INFO]: Incremented step index to 24.
[INFO]: Streaming out GDS II...
Input: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
Output: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/finishing/user_project_wrapper.klayout.gds
Design: user_project_wrapper
Technology File: /home/askartos/sandbox/caravel_tutorial/pdks/sky130A/libs.tech/klayout/sky130A.lyt
GDS File List: ['/home/askartos/sandbox/caravel_tutorial/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds', '/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/../../gds/aes.gds']
LEF File: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef
[INFO] Clearing cells...
[INFO] Merging GDS files...
/home/askartos/sandbox/caravel_tutorial/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds
/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/../../gds/aes.gds
[INFO] Copying toplevel cell 'user_project_wrapper'
WARNING: no fill config file specified
[INFO] Checking for missing GDS...
[INFO] All LEF cells have matching GDS cells
[INFO] Writing out GDS '/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/finishing/user_project_wrapper.klayout.gds'
[INFO] Done.
[INFO]: Back-up GDS-II streamed out.
[INFO]: Incremented step index to 25.
[INFO]: Running XOR on the layouts using Klayout...
First Layout: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/finishing/user_project_wrapper.gds
Second Layout: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/finishing/user_project_wrapper.klayout.gds
Design Name: user_project_wrapper
Output GDS will be: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/reports/finishing/user_project_wrapper.xor.gds
Reading /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/finishing/user_project_wrapper.gds ..
Reading /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/finishing/user_project_wrapper.klayout.gds ..
--- Running XOR for 122/16 ---
"input" in: xor.drc:38
Polygons (raw): 72528 (flat) 169 (hierarchical)
Elapsed: 0.000s Memory: 495.00M
"input" in: xor.drc:38
Polygons (raw): 145056 (flat) 338 (hierarchical)
Elapsed: 0.010s Memory: 495.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.930s Memory: 497.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 497.00M
--- Running XOR for 235/4 ---
"input" in: xor.drc:38
Polygons (raw): 2 (flat) 2 (hierarchical)
Elapsed: 0.000s Memory: 497.00M
"input" in: xor.drc:38
Polygons (raw): 2 (flat) 2 (hierarchical)
Elapsed: 0.000s Memory: 497.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.060s Memory: 497.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 497.00M
--- Running XOR for 236/0 ---
"input" in: xor.drc:38
Polygons (raw): 61705 (flat) 161 (hierarchical)
Elapsed: 0.000s Memory: 497.00M
"input" in: xor.drc:38
Polygons (raw): 123410 (flat) 322 (hierarchical)
Elapsed: 0.000s Memory: 497.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.850s Memory: 544.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 544.00M
--- Running XOR for 64/16 ---
"input" in: xor.drc:38
Polygons (raw): 72509 (flat) 167 (hierarchical)
Elapsed: 0.000s Memory: 544.00M
"input" in: xor.drc:38
Polygons (raw): 145018 (flat) 334 (hierarchical)
Elapsed: 0.000s Memory: 544.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.900s Memory: 544.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 544.00M
--- Running XOR for 64/20 ---
"input" in: xor.drc:38
Polygons (raw): 80703 (flat) 164 (hierarchical)
Elapsed: 0.000s Memory: 544.00M
"input" in: xor.drc:38
Polygons (raw): 161406 (flat) 328 (hierarchical)
Elapsed: 0.000s Memory: 544.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 1.420s Memory: 493.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 493.00M
--- Running XOR for 64/5 ---
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 493.00M
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 493.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.190s Memory: 493.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 493.00M
--- Running XOR for 64/59 ---
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 493.00M
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 493.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.200s Memory: 493.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 493.00M
--- Running XOR for 65/20 ---
"input" in: xor.drc:38
Polygons (raw): 125953 (flat) 462 (hierarchical)
Elapsed: 0.000s Memory: 493.00M
"input" in: xor.drc:38
Polygons (raw): 251906 (flat) 924 (hierarchical)
Elapsed: 0.000s Memory: 493.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 1.390s Memory: 498.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 498.00M
--- Running XOR for 65/44 ---
"input" in: xor.drc:38
Polygons (raw): 17568 (flat) 2 (hierarchical)
Elapsed: 0.000s Memory: 498.00M
"input" in: xor.drc:38
Polygons (raw): 35136 (flat) 4 (hierarchical)
Elapsed: 0.010s Memory: 498.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.210s Memory: 500.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 500.00M
--- Running XOR for 66/20 ---
"input" in: xor.drc:38
Polygons (raw): 131783 (flat) 788 (hierarchical)
Elapsed: 0.000s Memory: 500.00M
"input" in: xor.drc:38
Polygons (raw): 263566 (flat) 1576 (hierarchical)
Elapsed: 0.000s Memory: 500.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 2.550s Memory: 502.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 502.00M
--- Running XOR for 66/44 ---
"input" in: xor.drc:38
Polygons (raw): 802031 (flat) 4917 (hierarchical)
Elapsed: 0.000s Memory: 502.00M
"input" in: xor.drc:38
Polygons (raw): 1604062 (flat) 9834 (hierarchical)
Elapsed: 0.000s Memory: 502.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 7.840s Memory: 530.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 530.00M
--- Running XOR for 67/16 ---
"input" in: xor.drc:38
Polygons (raw): 141975 (flat) 1326 (hierarchical)
Elapsed: 0.000s Memory: 530.00M
"input" in: xor.drc:38
Polygons (raw): 283950 (flat) 2652 (hierarchical)
Elapsed: 0.000s Memory: 530.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 1.190s Memory: 530.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 530.00M
--- Running XOR for 67/20 ---
"input" in: xor.drc:38
Polygons (raw): 273122 (flat) 37526 (hierarchical)
Elapsed: 0.000s Memory: 530.00M
"input" in: xor.drc:38
Polygons (raw): 510071 (flat) 38879 (hierarchical)
Elapsed: 0.010s Memory: 530.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 6.450s Memory: 594.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
--- Running XOR for 67/44 ---
"input" in: xor.drc:38
Polygons (raw): 1020665 (flat) 39093 (hierarchical)
Elapsed: 0.030s Memory: 594.00M
"input" in: xor.drc:38
Polygons (raw): 2005157 (flat) 42013 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 8.550s Memory: 594.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
--- Running XOR for 67/5 ---
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.120s Memory: 594.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
--- Running XOR for 68/16 ---
"input" in: xor.drc:38
Polygons (raw): 163024 (flat) 332 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
"input" in: xor.drc:38
Polygons (raw): 326048 (flat) 664 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 1.800s Memory: 594.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
--- Running XOR for 68/20 ---
"input" in: xor.drc:38
Polygons (raw): 345763 (flat) 183435 (hierarchical)
Elapsed: 0.010s Memory: 594.00M
"input" in: xor.drc:38
Polygons (raw): 508177 (flat) 183262 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 3.620s Memory: 594.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
--- Running XOR for 68/44 ---
"input" in: xor.drc:38
Polygons (raw): 50484 (flat) 50484 (hierarchical)
Elapsed: 0.010s Memory: 594.00M
"input" in: xor.drc:38
Polygons (raw): 50484 (flat) 50225 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.300s Memory: 594.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
--- Running XOR for 68/5 ---
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 594.00M
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.240s Memory: 594.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
--- Running XOR for 69/16 ---
"input" in: xor.drc:38
Polygons (raw): 586 (flat) 586 (hierarchical)
Elapsed: 0.010s Memory: 594.00M
"input" in: xor.drc:38
Polygons (raw): 586 (flat) 586 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.070s Memory: 594.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
--- Running XOR for 69/20 ---
"input" in: xor.drc:38
Polygons (raw): 83502 (flat) 83502 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
"input" in: xor.drc:38
Polygons (raw): 82946 (flat) 82630 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
"^" in: xor.drc:38
Polygons (raw): 530 (flat) 530 (hierarchical)
Elapsed: 0.880s Memory: 600.00M
XOR differences: 530
"output" in: xor.drc:41
Polygons (raw): 530 (flat) 530 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
--- Running XOR for 69/44 ---
"input" in: xor.drc:38
Polygons (raw): 11419 (flat) 11419 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"input" in: xor.drc:38
Polygons (raw): 11419 (flat) 11362 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.110s Memory: 600.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 600.00M
--- Running XOR for 69/5 ---
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
--- Running XOR for 70/16 ---
"input" in: xor.drc:38
Polygons (raw): 157 (flat) 157 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"input" in: xor.drc:38
Polygons (raw): 157 (flat) 157 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.060s Memory: 600.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
--- Running XOR for 70/20 ---
"input" in: xor.drc:38
Polygons (raw): 19220 (flat) 19220 (hierarchical)
Elapsed: 0.010s Memory: 600.00M
"input" in: xor.drc:38
Polygons (raw): 19057 (flat) 18995 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"^" in: xor.drc:38
Polygons (raw): 107 (flat) 107 (hierarchical)
Elapsed: 0.170s Memory: 600.00M
XOR differences: 107
"output" in: xor.drc:41
Polygons (raw): 107 (flat) 107 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
--- Running XOR for 70/44 ---
"input" in: xor.drc:38
Polygons (raw): 7838 (flat) 7838 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"input" in: xor.drc:38
Polygons (raw): 7838 (flat) 7833 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.090s Memory: 600.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 600.00M
--- Running XOR for 70/5 ---
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
--- Running XOR for 71/16 ---
"input" in: xor.drc:38
Polygons (raw): 193 (flat) 193 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"input" in: xor.drc:38
Polygons (raw): 193 (flat) 193 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.060s Memory: 600.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
--- Running XOR for 71/20 ---
"input" in: xor.drc:38
Polygons (raw): 2681 (flat) 2681 (hierarchical)
Elapsed: 0.010s Memory: 600.00M
"input" in: xor.drc:38
Polygons (raw): 5658 (flat) 2629 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.120s Memory: 600.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
--- Running XOR for 71/44 ---
"input" in: xor.drc:38
Polygons (raw): 12006 (flat) 12006 (hierarchical)
Elapsed: 0.010s Memory: 600.00M
"input" in: xor.drc:38
Polygons (raw): 12006 (flat) 6 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.060s Memory: 600.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
--- Running XOR for 71/5 ---
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
--- Running XOR for 72/16 ---
"input" in: xor.drc:38
Polygons (raw): 172 (flat) 172 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"input" in: xor.drc:38
Polygons (raw): 172 (flat) 172 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 600.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
--- Running XOR for 72/20 ---
"input" in: xor.drc:38
Polygons (raw): 172 (flat) 172 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"input" in: xor.drc:38
Polygons (raw): 3198 (flat) 174 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.020s Memory: 600.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
--- Running XOR for 72/5 ---
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 600.00M
--- Running XOR for 78/44 ---
"input" in: xor.drc:38
Polygons (raw): 82277 (flat) 166 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"input" in: xor.drc:38
Polygons (raw): 164554 (flat) 332 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 1.020s Memory: 600.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
--- Running XOR for 81/14 ---
"input" in: xor.drc:38
Polygons (raw): 2 (flat) 2 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"input" in: xor.drc:38
Polygons (raw): 1 (flat) 1 (hierarchical)
Elapsed: 0.010s Memory: 600.00M
"^" in: xor.drc:38
Polygons (raw): 1 (flat) 1 (hierarchical)
Elapsed: 0.060s Memory: 600.00M
XOR differences: 1
"output" in: xor.drc:41
Polygons (raw): 1 (flat) 1 (hierarchical)
Elapsed: 0.010s Memory: 600.00M
--- Running XOR for 81/23 ---
"input" in: xor.drc:38
Polygons (raw): 6535 (flat) 1 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"input" in: xor.drc:38
Polygons (raw): 13070 (flat) 2 (hierarchical)
Elapsed: 0.010s Memory: 600.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.130s Memory: 600.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
--- Running XOR for 81/4 ---
"input" in: xor.drc:38
Polygons (raw): 80703 (flat) 164 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"input" in: xor.drc:38
Polygons (raw): 161406 (flat) 328 (hierarchical)
Elapsed: 0.000s Memory: 600.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 1.100s Memory: 637.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 637.00M
--- Running XOR for 83/44 ---
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 637.00M
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 637.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.210s Memory: 637.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 637.00M
--- Running XOR for 93/44 ---
"input" in: xor.drc:38
Polygons (raw): 89487 (flat) 165 (hierarchical)
Elapsed: 0.000s Memory: 637.00M
"input" in: xor.drc:38
Polygons (raw): 178974 (flat) 330 (hierarchical)
Elapsed: 0.000s Memory: 637.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 1.340s Memory: 580.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 580.00M
--- Running XOR for 94/20 ---
"input" in: xor.drc:38
Polygons (raw): 89487 (flat) 165 (hierarchical)
Elapsed: 0.010s Memory: 580.00M
"input" in: xor.drc:38
Polygons (raw): 178974 (flat) 330 (hierarchical)
Elapsed: 0.010s Memory: 580.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 1.520s Memory: 608.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
--- Running XOR for 95/20 ---
"input" in: xor.drc:38
Polygons (raw): 55825 (flat) 176 (hierarchical)
Elapsed: 0.010s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 111650 (flat) 352 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.910s Memory: 608.00M
XOR differences: 0
"output" in: xor.drc:41
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 608.00M
Writing layout file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/reports/finishing/user_project_wrapper.xor.gds ..
Total elapsed: 48.530s Memory: 608.00M
First Layout: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/finishing/user_project_wrapper.gds
Second Layout: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/finishing/user_project_wrapper.klayout.gds
Design Name: user_project_wrapper
Output GDS will be: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/reports/finishing/user_project_wrapper.xor.xml
Reading /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/finishing/user_project_wrapper.gds ..
Reading /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/finishing/user_project_wrapper.klayout.gds ..
--- Running XOR for 122/16 ---
"input" in: xor.drc:38
Polygons (raw): 72528 (flat) 169 (hierarchical)
Elapsed: 0.000s Memory: 495.00M
"input" in: xor.drc:38
Polygons (raw): 145056 (flat) 338 (hierarchical)
Elapsed: 0.000s Memory: 495.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.920s Memory: 497.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 497.00M
--- Running XOR for 235/4 ---
"input" in: xor.drc:38
Polygons (raw): 2 (flat) 2 (hierarchical)
Elapsed: 0.000s Memory: 497.00M
"input" in: xor.drc:38
Polygons (raw): 2 (flat) 2 (hierarchical)
Elapsed: 0.000s Memory: 497.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.060s Memory: 497.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 497.00M
--- Running XOR for 236/0 ---
"input" in: xor.drc:38
Polygons (raw): 61705 (flat) 161 (hierarchical)
Elapsed: 0.010s Memory: 497.00M
"input" in: xor.drc:38
Polygons (raw): 123410 (flat) 322 (hierarchical)
Elapsed: 0.000s Memory: 497.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.850s Memory: 544.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 544.00M
--- Running XOR for 64/16 ---
"input" in: xor.drc:38
Polygons (raw): 72509 (flat) 167 (hierarchical)
Elapsed: 0.010s Memory: 544.00M
"input" in: xor.drc:38
Polygons (raw): 145018 (flat) 334 (hierarchical)
Elapsed: 0.000s Memory: 544.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.940s Memory: 544.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 544.00M
--- Running XOR for 64/20 ---
"input" in: xor.drc:38
Polygons (raw): 80703 (flat) 164 (hierarchical)
Elapsed: 0.010s Memory: 544.00M
"input" in: xor.drc:38
Polygons (raw): 161406 (flat) 328 (hierarchical)
Elapsed: 0.000s Memory: 544.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 1.410s Memory: 493.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 493.00M
--- Running XOR for 64/5 ---
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 493.00M
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 493.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.200s Memory: 493.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 493.00M
--- Running XOR for 64/59 ---
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 493.00M
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 493.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.200s Memory: 493.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 493.00M
--- Running XOR for 65/20 ---
"input" in: xor.drc:38
Polygons (raw): 125953 (flat) 462 (hierarchical)
Elapsed: 0.000s Memory: 493.00M
"input" in: xor.drc:38
Polygons (raw): 251906 (flat) 924 (hierarchical)
Elapsed: 0.000s Memory: 493.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 1.380s Memory: 498.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 498.00M
--- Running XOR for 65/44 ---
"input" in: xor.drc:38
Polygons (raw): 17568 (flat) 2 (hierarchical)
Elapsed: 0.000s Memory: 498.00M
"input" in: xor.drc:38
Polygons (raw): 35136 (flat) 4 (hierarchical)
Elapsed: 0.000s Memory: 498.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.210s Memory: 500.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 500.00M
--- Running XOR for 66/20 ---
"input" in: xor.drc:38
Polygons (raw): 131783 (flat) 788 (hierarchical)
Elapsed: 0.000s Memory: 500.00M
"input" in: xor.drc:38
Polygons (raw): 263566 (flat) 1576 (hierarchical)
Elapsed: 0.000s Memory: 500.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 2.560s Memory: 502.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 502.00M
--- Running XOR for 66/44 ---
"input" in: xor.drc:38
Polygons (raw): 802031 (flat) 4917 (hierarchical)
Elapsed: 0.000s Memory: 502.00M
"input" in: xor.drc:38
Polygons (raw): 1604062 (flat) 9834 (hierarchical)
Elapsed: 0.000s Memory: 502.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 7.760s Memory: 530.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 530.00M
--- Running XOR for 67/16 ---
"input" in: xor.drc:38
Polygons (raw): 141975 (flat) 1326 (hierarchical)
Elapsed: 0.000s Memory: 530.00M
"input" in: xor.drc:38
Polygons (raw): 283950 (flat) 2652 (hierarchical)
Elapsed: 0.000s Memory: 530.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 1.170s Memory: 530.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.020s Memory: 530.00M
--- Running XOR for 67/20 ---
"input" in: xor.drc:38
Polygons (raw): 273122 (flat) 37526 (hierarchical)
Elapsed: 0.000s Memory: 530.00M
"input" in: xor.drc:38
Polygons (raw): 510071 (flat) 38879 (hierarchical)
Elapsed: 0.010s Memory: 530.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 6.410s Memory: 594.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
--- Running XOR for 67/44 ---
"input" in: xor.drc:38
Polygons (raw): 1020665 (flat) 39093 (hierarchical)
Elapsed: 0.030s Memory: 594.00M
"input" in: xor.drc:38
Polygons (raw): 2005157 (flat) 42013 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 8.430s Memory: 594.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 594.00M
--- Running XOR for 67/5 ---
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.130s Memory: 594.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
--- Running XOR for 68/16 ---
"input" in: xor.drc:38
Polygons (raw): 163024 (flat) 332 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
"input" in: xor.drc:38
Polygons (raw): 326048 (flat) 664 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 1.780s Memory: 594.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 594.00M
--- Running XOR for 68/20 ---
"input" in: xor.drc:38
Polygons (raw): 345763 (flat) 183435 (hierarchical)
Elapsed: 0.000s Memory: 594.00M
"input" in: xor.drc:38
Polygons (raw): 508177 (flat) 183262 (hierarchical)
Elapsed: 0.010s Memory: 594.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 3.610s Memory: 608.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
--- Running XOR for 68/44 ---
"input" in: xor.drc:38
Polygons (raw): 50484 (flat) 50484 (hierarchical)
Elapsed: 0.010s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 50484 (flat) 50225 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.300s Memory: 608.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
--- Running XOR for 68/5 ---
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.250s Memory: 608.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
--- Running XOR for 69/16 ---
"input" in: xor.drc:38
Polygons (raw): 586 (flat) 586 (hierarchical)
Elapsed: 0.010s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 586 (flat) 586 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.070s Memory: 608.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
--- Running XOR for 69/20 ---
"input" in: xor.drc:38
Polygons (raw): 83502 (flat) 83502 (hierarchical)
Elapsed: 0.010s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 82946 (flat) 82630 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 530 (flat) 530 (hierarchical)
Elapsed: 0.870s Memory: 608.00M
XOR differences: 530
"output" in: xor.drc:40
Polygons (raw): 530 (flat) 530 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
--- Running XOR for 69/44 ---
"input" in: xor.drc:38
Polygons (raw): 11419 (flat) 11419 (hierarchical)
Elapsed: 0.010s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 11419 (flat) 11362 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.120s Memory: 608.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
--- Running XOR for 69/5 ---
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 608.00M
--- Running XOR for 70/16 ---
"input" in: xor.drc:38
Polygons (raw): 157 (flat) 157 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 157 (flat) 157 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.060s Memory: 608.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
--- Running XOR for 70/20 ---
"input" in: xor.drc:38
Polygons (raw): 19220 (flat) 19220 (hierarchical)
Elapsed: 0.010s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 19057 (flat) 18995 (hierarchical)
Elapsed: 0.010s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 107 (flat) 107 (hierarchical)
Elapsed: 0.170s Memory: 608.00M
XOR differences: 107
"output" in: xor.drc:40
Polygons (raw): 107 (flat) 107 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
--- Running XOR for 70/44 ---
"input" in: xor.drc:38
Polygons (raw): 7838 (flat) 7838 (hierarchical)
Elapsed: 0.010s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 7838 (flat) 7833 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.100s Memory: 608.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 608.00M
--- Running XOR for 70/5 ---
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
--- Running XOR for 71/16 ---
"input" in: xor.drc:38
Polygons (raw): 193 (flat) 193 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 193 (flat) 193 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.060s Memory: 608.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
--- Running XOR for 71/20 ---
"input" in: xor.drc:38
Polygons (raw): 2681 (flat) 2681 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 5658 (flat) 2629 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.120s Memory: 608.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
--- Running XOR for 71/44 ---
"input" in: xor.drc:38
Polygons (raw): 12006 (flat) 12006 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 12006 (flat) 6 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.060s Memory: 608.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
--- Running XOR for 71/5 ---
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 608.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
--- Running XOR for 72/16 ---
"input" in: xor.drc:38
Polygons (raw): 172 (flat) 172 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 172 (flat) 172 (hierarchical)
Elapsed: 0.010s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 608.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
--- Running XOR for 72/20 ---
"input" in: xor.drc:38
Polygons (raw): 172 (flat) 172 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 3198 (flat) 174 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 608.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 608.00M
--- Running XOR for 72/5 ---
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
--- Running XOR for 78/44 ---
"input" in: xor.drc:38
Polygons (raw): 82277 (flat) 166 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 164554 (flat) 332 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 1.030s Memory: 608.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 608.00M
--- Running XOR for 81/14 ---
"input" in: xor.drc:38
Polygons (raw): 2 (flat) 2 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 1 (flat) 1 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 1 (flat) 1 (hierarchical)
Elapsed: 0.060s Memory: 608.00M
XOR differences: 1
"output" in: xor.drc:40
Polygons (raw): 1 (flat) 1 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
--- Running XOR for 81/23 ---
"input" in: xor.drc:38
Polygons (raw): 6535 (flat) 1 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 13070 (flat) 2 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.130s Memory: 608.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
--- Running XOR for 81/4 ---
"input" in: xor.drc:38
Polygons (raw): 80703 (flat) 164 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 161406 (flat) 328 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 1.090s Memory: 637.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 637.00M
--- Running XOR for 83/44 ---
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 637.00M
"input" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 637.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.210s Memory: 637.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 637.00M
--- Running XOR for 93/44 ---
"input" in: xor.drc:38
Polygons (raw): 89487 (flat) 165 (hierarchical)
Elapsed: 0.000s Memory: 637.00M
"input" in: xor.drc:38
Polygons (raw): 178974 (flat) 330 (hierarchical)
Elapsed: 0.000s Memory: 637.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 1.320s Memory: 580.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 580.00M
--- Running XOR for 94/20 ---
"input" in: xor.drc:38
Polygons (raw): 89487 (flat) 165 (hierarchical)
Elapsed: 0.000s Memory: 580.00M
"input" in: xor.drc:38
Polygons (raw): 178974 (flat) 330 (hierarchical)
Elapsed: 0.000s Memory: 580.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 1.520s Memory: 608.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
--- Running XOR for 95/20 ---
"input" in: xor.drc:38
Polygons (raw): 55825 (flat) 176 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"input" in: xor.drc:38
Polygons (raw): 111650 (flat) 352 (hierarchical)
Elapsed: 0.000s Memory: 608.00M
"^" in: xor.drc:38
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.900s Memory: 608.00M
XOR differences: 0
"output" in: xor.drc:40
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 608.00M
Writing report database: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/reports/finishing/user_project_wrapper.xor.xml ..
Total elapsed: 48.250s Memory: 608.00M
[INFO]: Klayout XOR Complete
[INFO]: Incremented step index to 26.
[INFO]: Running Magic Spice Export from LEF...
Magic 8.3 revision 269 - Compiled on Fri Feb 18 11:40:47 UTC 2022.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
Processing system .magicrc file
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
The following types are not handled by extraction and will be treated as non-electrical types:
mvobsactive ubm
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/finishing/spice.tcl" from command line.
Reading LEF data from file /home/askartos/sandbox/caravel_tutorial/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef.
This action cannot be undone.
LEF read, Line 78 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 79 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 111 (Message): Unknown keyword "MINENCLOSEDAREA" in LEF file; ignoring.
LEF read, Line 113 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 114 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 120 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
LEF read, Line 121 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
LEF read, Line 122 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
LEF read, Line 154 (Message): Unknown keyword "MINENCLOSEDAREA" in LEF file; ignoring.
LEF read, Line 162 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 163 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 165 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
LEF read, Line 166 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
LEF read, Line 167 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
LEF read, Line 203 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 204 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 206 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
LEF read, Line 207 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
LEF read, Line 208 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
LEF read, Line 244 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 245 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 247 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
LEF read, Line 248 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
LEF read, Line 249 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
LEF read, Line 285 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 286 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read: Processed 792 lines.
Reading LEF data from file /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/../../lef/aes.lef.
This action cannot be undone.
LEF read: Processed 1085 lines.
Reading DEF data from file /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def.
This action cannot be undone.
Processed 2 vias total.
Processed 1 subcell instances total.
Processed 645 pins total.
Processed 8 special nets total.
Processed 637 nets total.
DEF read: Processed 9302 lines.
Processing user_project_wrapper
Extracting aes into aes.ext:
Extracting user_project_wrapper into user_project_wrapper.ext:
exttospice finished.
Using technology "sky130A", version 1.0.283-0-g7519dfb
[INFO]: No illegal overlaps detected during extraction.
[INFO]: Incremented step index to 27.
[INFO]: Writing Powered Verilog...
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef
[INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0130] Created 645 pins.
[INFO ODB-0131] Created 1 components and 108 component-terminals.
[INFO ODB-0132] Created 8 special nets and 0 connections.
[INFO ODB-0133] Created 637 nets and 106 connections.
[INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef
[INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/pg_define.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0130] Created 645 pins.
[INFO ODB-0131] Created 1 components and 108 component-terminals.
[INFO ODB-0133] Created 645 nets and 106 connections.
[INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/pg_define.def
Top-level design name: user_project_wrapper
Default power net: vccd1
Default ground net: vssd1
Found a total of 4 power ports.
Found a total of 4 ground ports.
Modified power connections of 1 cells (Remaining: 0 ).
STDOUT:
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
warning: `//.tclsh-history' is not writable.
openroad> read_lef /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/
<kartos/sandbox/caravel_tutorial/fossiAES/openlane/u ser_project_wrapper/runs/
<orial/fossiAES/openlane/user_project_wrapper/runs/u ser_project_wrapper/tmp/m
<er_project_wrapper/runs/user_project_wrapper/tmp/me rged.lef
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef
openroad> read_verilog /home/askartos/sandbox/caravel_tutorial/fossiAES/openl
<e/askartos/sandbox/caravel_tutorial/fossiAES/openla ne/user_project_wrapper/r
<_tutorial/fossiAES/openlane/user_project_wrapper/ru ns/user_project_wrapper/t
<e/user_project_wrapper/runs/user_project_wrapper/tm p/synthesis/pg_define.v
openroad> link_design user_project_wrapper
[WARNING ORD-1011] LEF master aes has no liberty cell.
openroad> write_def /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane
<skartos/sandbox/caravel_tutorial/fossiAES/openlane/ user_project_wrapper/runs
<torial/fossiAES/openlane/user_project_wrapper/runs/ user_project_wrapper/tmp/
<ser_project_wrapper/runs/user_project_wrapper/tmp/s ynthesis/pg_define.def
openroad> exit
unable to write history to `//.tclsh-history'
STDERR:
openroad exit code: 0
Successfully created a new database
[INFO]: Incremented step index to 28.
[INFO]: Writing Verilog...
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/finishing/27-powered_def.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0130] Created 645 pins.
[INFO ODB-0131] Created 1 components and 108 component-terminals.
[INFO ODB-0132] Created 8 special nets and 0 connections.
[INFO ODB-0133] Created 639 nets and 108 connections.
[INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/finishing/27-powered_def.def
[INFO]: Yosys won't attempt to rewrite verilog, and the OpenROAD output will be used as is.
[INFO]: Changing netlist from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/17-detailed.v to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/finishing/27-powered_netlist.v
[INFO]: Incremented step index to 29.
[INFO]: Running LEF LVS...
[INFO]: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/finishing/user_project_wrapper.spice against /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/finishing/27-powered_netlist.v
Netgen 1.5.219 compiled on Wed Feb 9 05:50:10 UTC 2022
Warning: netgen command 'format' use fully-qualified name '::netgen::format'
Warning: netgen command 'global' use fully-qualified name '::netgen::global'
Generating JSON file result
Reading netlist file /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/finishing/user_project_wrapper.spice
Reading netlist file /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/finishing/27-powered_netlist.v
Warning: A case-insensitive file has been read and so the verilog file must be treated case-insensitive to match.
Creating placeholder cell definition for module aes.
Reading setup file /home/askartos/sandbox/caravel_tutorial/pdks/sky130A/libs.tech/netgen/sky130A_setup.tcl
Comparison output logged to file /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/logs/finishing/30-user_project_wrapper.lef.log
Logging to file "/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/logs/finishing/30-user_project_wrapper.lef.log" enabled
Circuit aes contains no devices.
Contents of circuit 1: Circuit: 'user_project_wrapper'
Circuit user_project_wrapper contains 1 device instances.
Class: aes instances: 1
Circuit contains 108 nets, and 537 disconnected pins.
Contents of circuit 2: Circuit: 'user_project_wrapper'
Circuit user_project_wrapper contains 1 device instances.
Class: aes instances: 1
Circuit contains 108 nets, and 537 disconnected pins.
Circuit 1 contains 1 devices, Circuit 2 contains 1 devices.
Circuit 1 contains 108 nets, Circuit 2 contains 108 nets.
Netlists match uniquely.
Result: Circuits match uniquely.
Logging to file "/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/logs/finishing/30-user_project_wrapper.lef.log" disabled
LVS Done.
LVS reports no net, device, pin, or property mismatches.
Total errors = 0
[INFO]: No LVS mismatches.
[INFO]: Incremented step index to 30.
[INFO]: Running Magic DRC...
Magic 8.3 revision 269 - Compiled on Fri Feb 18 11:40:47 UTC 2022.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
Processing system .magicrc file
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
The following types are not handled by extraction and will be treated as non-electrical types:
mvobsactive ubm
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/openlane/scripts/magic/drc.tcl" from command line.
Warning: Calma reading is not undoable! I hope that's OK.
Library written using GDS-II Release 3.0
Library name: user_project_wrapper
Reading "sky130_fd_sc_hd__decap_12".
Reading "sky130_fd_sc_hd__fill_1".
Reading "sky130_fd_sc_hd__decap_3".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1".
Reading "sky130_fd_sc_hd__decap_6".
Reading "sky130_fd_sc_hd__fill_2".
Reading "sky130_fd_sc_hd__diode_2".
Reading "sky130_fd_sc_hd__decap_4".
Reading "sky130_fd_sc_hd__buf_2".
Reading "sky130_fd_sc_hd__decap_8".
Reading "sky130_fd_sc_hd__buf_12".
Reading "sky130_fd_sc_hd__clkbuf_2".
Reading "sky130_fd_sc_hd__clkbuf_8".
Reading "sky130_fd_sc_hd__dfxtp_2".
Reading "sky130_fd_sc_hd__buf_6".
Reading "sky130_fd_sc_hd__dfxtp_1".
Reading "sky130_fd_sc_hd__nand2_8".
Reading "sky130_fd_sc_hd__dfxtp_4".
Reading "sky130_fd_sc_hd__buf_8".
Reading "sky130_fd_sc_hd__buf_4".
Reading "sky130_fd_sc_hd__clkbuf_16".
Reading "sky130_fd_sc_hd__clkbuf_4".
Reading "sky130_fd_sc_hd__and3_4".
Reading "sky130_fd_sc_hd__nor4_1".
Reading "sky130_fd_sc_hd__nor2_1".
Reading "sky130_fd_sc_hd__o21ai_1".
Reading "sky130_fd_sc_hd__a31o_1".
Reading "sky130_fd_sc_hd__or4bb_1".
Reading "sky130_fd_sc_hd__dlymetal6s2s_1".
Reading "sky130_fd_sc_hd__a21oi_1".
Reading "sky130_fd_sc_hd__a21oi_4".
Reading "sky130_fd_sc_hd__and4b_1".
Reading "sky130_fd_sc_hd__and3b_1".
Reading "sky130_fd_sc_hd__or2_1".
Reading "sky130_fd_sc_hd__or4b_1".
Reading "sky130_fd_sc_hd__or4_1".
Reading "sky130_fd_sc_hd__o41a_1".
Reading "sky130_fd_sc_hd__a21oi_2".
Reading "sky130_fd_sc_hd__a2111o_1".
Reading "sky130_fd_sc_hd__o221a_1".
Reading "sky130_fd_sc_hd__or3_1".
Reading "sky130_fd_sc_hd__nor2_2".
Reading "sky130_fd_sc_hd__a21o_1".
Reading "sky130_fd_sc_hd__and4_1".
Reading "sky130_fd_sc_hd__o2111a_1".
Reading "sky130_fd_sc_hd__or2_2".
Reading "sky130_fd_sc_hd__o22a_1".
Reading "sky130_fd_sc_hd__or4_2".
Reading "sky130_fd_sc_hd__o311a_1".
Reading "sky130_fd_sc_hd__a41o_1".
Reading "sky130_fd_sc_hd__and2_1".
Reading "sky130_fd_sc_hd__o21ba_1".
Reading "sky130_fd_sc_hd__and2_4".
Reading "sky130_fd_sc_hd__nand2_1".
Reading "sky130_fd_sc_hd__o31a_1".
Reading "sky130_fd_sc_hd__and2_2".
Reading "sky130_fd_sc_hd__o21bai_1".
Reading "sky130_fd_sc_hd__o211ai_1".
Reading "sky130_fd_sc_hd__inv_2".
Reading "sky130_fd_sc_hd__a311o_1".
Reading "sky130_fd_sc_hd__a211o_1".
Reading "sky130_fd_sc_hd__nand2_2".
Reading "sky130_fd_sc_hd__nand2_4".
Reading "sky130_fd_sc_hd__and3_1".
Reading "sky130_fd_sc_hd__o22ai_2".
Reading "sky130_fd_sc_hd__o211a_1".
Reading "sky130_fd_sc_hd__nand3b_1".
Reading "sky130_fd_sc_hd__o32a_1".
Reading "sky130_fd_sc_hd__o21a_1".
Reading "sky130_fd_sc_hd__a22o_1".
Reading "sky130_fd_sc_hd__and4bb_1".
Reading "sky130_fd_sc_hd__a221o_1".
Reading "sky130_fd_sc_hd__or3b_1".
Reading "sky130_fd_sc_hd__o21ai_2".
Reading "sky130_fd_sc_hd__nor3_2".
Reading "sky130_fd_sc_hd__nand4_1".
Reading "sky130_fd_sc_hd__nor3_1".
Reading "sky130_fd_sc_hd__a31oi_2".
Reading "sky130_fd_sc_hd__or3_2".
Reading "sky130_fd_sc_hd__or4b_2".
Reading "sky130_fd_sc_hd__o2111ai_4".
Reading "sky130_fd_sc_hd__o22ai_1".
Reading "sky130_fd_sc_hd__o221ai_1".
Reading "sky130_fd_sc_hd__nor2_4".
Reading "sky130_fd_sc_hd__or2_4".
Reading "sky130_fd_sc_hd__a2bb2o_1".
Reading "sky130_fd_sc_hd__o22a_2".
Reading "sky130_fd_sc_hd__and2b_1".
Reading "sky130_fd_sc_hd__o211ai_2".
Reading "sky130_fd_sc_hd__o2bb2a_1".
Reading "sky130_fd_sc_hd__or3b_2".
Reading "sky130_fd_sc_hd__or3_4".
Reading "sky130_fd_sc_hd__o21bai_4".
Reading "sky130_fd_sc_hd__clkbuf_1".
Reading "sky130_fd_sc_hd__or3b_4".
Reading "sky130_fd_sc_hd__and2b_4".
Reading "sky130_fd_sc_hd__o41a_2".
Reading "sky130_fd_sc_hd__nand3_1".
Reading "sky130_fd_sc_hd__a31o_2".
Reading "sky130_fd_sc_hd__and3b_2".
Reading "sky130_fd_sc_hd__or2b_1".
Reading "sky130_fd_sc_hd__or4_4".
Reading "sky130_fd_sc_hd__xnor2_1".
Reading "sky130_fd_sc_hd__xnor2_4".
Reading "sky130_fd_sc_hd__xnor2_2".
Reading "sky130_fd_sc_hd__xor2_1".
Reading "sky130_fd_sc_hd__xor2_4".
Reading "sky130_fd_sc_hd__xor2_2".
Reading "sky130_fd_sc_hd__a21bo_1".
Reading "sky130_fd_sc_hd__dlygate4sd3_1".
Reading "sky130_fd_sc_hd__o31ai_2".
Reading "sky130_fd_sc_hd__clkdlybuf4s25_1".
Reading "sky130_fd_sc_hd__a2bb2o_2".
Reading "sky130_fd_sc_hd__a2111oi_1".
CIF file read warning: CIF style sky130(vendor): units rescaled by factor of 5 / 1
CIF file read warning: Input off lambda grid by 2/5; snapped to grid.
Reading "sky130_fd_sc_hd__a2111oi_2".
Reading "sky130_fd_sc_hd__o2bb2a_2".
Reading "sky130_fd_sc_hd__mux2_8".
Reading "sky130_fd_sc_hd__mux2_4".
Reading "sky130_fd_sc_hd__mux2_1".
Reading "sky130_fd_sc_hd__clkinv_2".
Reading "sky130_fd_sc_hd__clkdlybuf4s50_1".
Reading "sky130_fd_sc_hd__a22o_4".
Reading "sky130_fd_sc_hd__a31oi_4".
Reading "sky130_fd_sc_hd__o21ai_4".
Reading "sky130_fd_sc_hd__a22o_2".
Reading "sky130_fd_sc_hd__a211oi_1".
Reading "sky130_fd_sc_hd__o221a_2".
Reading "sky130_fd_sc_hd__o21a_2".
Reading "sky130_fd_sc_hd__a311o_2".
Reading "sky130_fd_sc_hd__o311a_2".
Reading "sky130_fd_sc_hd__o21bai_2".
Reading "sky130_fd_sc_hd__and4b_4".
Reading "sky130_fd_sc_hd__a32o_1".
Reading "sky130_fd_sc_hd__a211oi_2".
Reading "sky130_fd_sc_hd__mux2_2".
Reading "sky130_fd_sc_hd__o31ai_1".
Reading "sky130_fd_sc_hd__clkinv_4".
Reading "sky130_fd_sc_hd__a32o_2".
Reading "sky130_fd_sc_hd__o22ai_4".
Reading "sky130_fd_sc_hd__a221o_2".
Reading "sky130_fd_sc_hd__o211a_2".
Reading "sky130_fd_sc_hd__a221o_4".
Reading "sky130_fd_sc_hd__a31o_4".
Reading "sky130_fd_sc_hd__a211o_2".
Reading "sky130_fd_sc_hd__a21o_2".
Reading "sky130_fd_sc_hd__a2bb2o_4".
Reading "sky130_fd_sc_hd__o22a_4".
Reading "sky130_fd_sc_hd__o32a_2".
Reading "sky130_fd_sc_hd__nor2_8".
Reading "sky130_fd_sc_hd__and4bb_2".
Reading "sky130_fd_sc_hd__nand3b_2".
Reading "sky130_fd_sc_hd__nand3b_4".
Reading "sky130_fd_sc_hd__and4_4".
Reading "sky130_fd_sc_hd__nor3_4".
Reading "sky130_fd_sc_hd__nand3_2".
Reading "sky130_fd_sc_hd__nand3_4".
Reading "sky130_fd_sc_hd__or2b_2".
Reading "sky130_fd_sc_hd__a22oi_1".
Reading "sky130_fd_sc_hd__a21boi_1".
Reading "sky130_fd_sc_hd__or4b_4".
Reading "sky130_fd_sc_hd__and4bb_4".
Reading "sky130_fd_sc_hd__and3b_4".
Reading "sky130_fd_sc_hd__a31oi_1".
Reading "sky130_fd_sc_hd__o221a_4".
Reading "aes".
5000 uses
10000 uses
15000 uses
20000 uses
25000 uses
30000 uses
35000 uses
40000 uses
45000 uses
50000 uses
55000 uses
60000 uses
65000 uses
70000 uses
75000 uses
80000 uses
Reading "user_project_wrapper".
[INFO]: Loading user_project_wrapper
DRC style is now "drc(full)"
Loading DRC CIF style.
No errors found.
[INFO]: COUNT: 0
[INFO]: Should be divided by 3 or 4
[INFO]: DRC Checking DONE (/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/reports/finishing/drc.rpt)
[INFO]: Saving mag view with DRC errors (/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/finishing/user_project_wrapper.drc.mag)
[INFO]: Saved
[INFO]: Converting Magic DRC Violations to Magic Readable Format...
[INFO]: Converting Magic DRC Violations to Klayout XML Database...
[INFO]: Converting DRC Violations to RDB Format...
[INFO]: Converted DRC Violations to RDB Format
[INFO]: No DRC violations after GDS streaming out.
[INFO]: Running Antenna Checks...
[INFO]: Incremented step index to 31.
[INFO]: Running OpenROAD Antenna Rule Checker...
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef
[WARNING ORD-0033] -order_wires is deprecated.
[INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0130] Created 645 pins.
[INFO ODB-0131] Created 1 components and 108 component-terminals.
[INFO ODB-0132] Created 8 special nets and 0 connections.
[INFO ODB-0133] Created 637 nets and 106 connections.
[INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
[INFO ANT-0001] Found 0 pin violations.
[INFO ANT-0002] Found 0 net violations in 637 nets.
[INFO]: Skipping CVC...
[INFO]: Saving final set of views in '/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/results/final'...
[INFO]: Saving final set of views in '/home/askartos/sandbox/caravel_tutorial/fossiAES'...
[INFO]: Calculating Runtime From the Start...
[INFO]: Saving runtime environment...
[INFO]: Generating Final Summary Report...
[INFO]: Design Name: user_project_wrapper
Run Directory: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper
----------------------------------------
Magic DRC Summary:
Source: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/reports/finishing/drc.rpt
Total Magic DRC violations is 0
----------------------------------------
LVS Summary:
Source: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/logs/finishing/30-user_project_wrapper.lvs.lef.log
LVS reports no net, device, pin, or property mismatches.
Total errors = 0
----------------------------------------
Antenna Summary:
Source: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/reports/finishing/antenna.rpt
Number of pins violated: 0
Number of nets violated: 0
[INFO]: check full report here: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/reports/final_summary_report.csv
[WARNING]: There are max slew violations in the design at the typical corner. Please refer to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/reports/routing/22-parasitics_sta.slew.rpt
[INFO]: There are no hold violations in the design at the typical corner.
[INFO]: There are no setup violations in the design at the typical corner.
[SUCCESS]: Flow complete.
[INFO]: Note that the following warnings have been generated:
[WARNING]: Skipping Tap/Decap Insertion.
[WARNING]: All internal macros will not be connected to power.
[WARNING]: All internal macros will not be connected to power.
[WARNING]: All internal macros will not be connected to power.
[WARNING]: There are max slew violations in the design at the typical corner. Please refer to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/user_project_wrapper/runs/user_project_wrapper/reports/routing/22-parasitics_sta.slew.rpt
mkdir -p ../signoff/user_project_wrapper/
cp user_project_wrapper/runs/user_project_wrapper/OPENLANE_VERSION ../signoff/user_project_wrapper/
cp user_project_wrapper/runs/user_project_wrapper/PDK_SOURCES ../signoff/user_project_wrapper/
cp user_project_wrapper/runs/user_project_wrapper/reports/final_summary_report.csv ../signoff/user_project_wrapper/
make[1]: Leaving directory '/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane'