blob: ff0be782e3ef4c052a8048bc4f78d3c3ac01f7aa [file] [log] [blame]
`timescale 1ns / 1ps
module el_ed
(
//---------CTRL-----------------------
input rst,
//---------IN-------------------------
input en,
input fb,
input in,
//---------OUT------------------------
output out
//------------------------------------
);
reg state_r = 0;
assign out = state_r ^ in;
always@(*)
begin
if(rst)
begin
state_r = 0;
end
else
begin
if(en)
begin
state_r = in ^ fb;
end
end
end
endmodule