shrinking trng
diff --git a/gds/trng2_wb_wrapper.gds b/gds/trng2_wb_wrapper.gds
deleted file mode 100644
index 9e1bf13..0000000
--- a/gds/trng2_wb_wrapper.gds
+++ /dev/null
Binary files differ
diff --git a/gds/trng3_wb_wrapper.gds b/gds/trng3_wb_wrapper.gds
deleted file mode 100644
index dd460a7..0000000
--- a/gds/trng3_wb_wrapper.gds
+++ /dev/null
Binary files differ
diff --git a/gds/trng_wb_wrapper.gds b/gds/trng_wb_wrapper.gds
new file mode 100644
index 0000000..4bc5c1d
--- /dev/null
+++ b/gds/trng_wb_wrapper.gds
Binary files differ
diff --git a/lef/trng2_wb_wrapper.lef b/lef/trng2_wb_wrapper.lef
deleted file mode 100644
index 33b37a0..0000000
--- a/lef/trng2_wb_wrapper.lef
+++ /dev/null
@@ -1,1143 +0,0 @@
-VERSION 5.7 ;
-  NOWIREEXTENSIONATPIN ON ;
-  DIVIDERCHAR "/" ;
-  BUSBITCHARS "[]" ;
-MACRO trng_wb_wrapper
-  CLASS BLOCK ;
-  FOREIGN trng_wb_wrapper ;
-  ORIGIN 0.000 0.000 ;
-  SIZE 750.000 BY 1000.000 ;
-  PIN rst_i
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 455.640 750.000 456.240 ;
-    END
-  END rst_i
-  PIN trng_buffer_o[0]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 202.950 996.000 203.230 1000.000 ;
-    END
-  END trng_buffer_o[0]
-  PIN trng_buffer_o[10]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 285.640 4.000 286.240 ;
-    END
-  END trng_buffer_o[10]
-  PIN trng_buffer_o[11]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 415.470 996.000 415.750 1000.000 ;
-    END
-  END trng_buffer_o[11]
-  PIN trng_buffer_o[12]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 734.440 4.000 735.040 ;
-    END
-  END trng_buffer_o[12]
-  PIN trng_buffer_o[13]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 95.240 4.000 95.840 ;
-    END
-  END trng_buffer_o[13]
-  PIN trng_buffer_o[14]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 574.640 4.000 575.240 ;
-    END
-  END trng_buffer_o[14]
-  PIN trng_buffer_o[15]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 380.840 4.000 381.440 ;
-    END
-  END trng_buffer_o[15]
-  PIN trng_buffer_o[16]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 173.970 996.000 174.250 1000.000 ;
-    END
-  END trng_buffer_o[16]
-  PIN trng_buffer_o[17]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 209.390 0.000 209.670 4.000 ;
-    END
-  END trng_buffer_o[17]
-  PIN trng_buffer_o[18]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 512.070 0.000 512.350 4.000 ;
-    END
-  END trng_buffer_o[18]
-  PIN trng_buffer_o[19]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 656.970 996.000 657.250 1000.000 ;
-    END
-  END trng_buffer_o[19]
-  PIN trng_buffer_o[1]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 71.440 750.000 72.040 ;
-    END
-  END trng_buffer_o[1]
-  PIN trng_buffer_o[20]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 901.040 750.000 901.640 ;
-    END
-  END trng_buffer_o[20]
-  PIN trng_buffer_o[21]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 605.450 0.000 605.730 4.000 ;
-    END
-  END trng_buffer_o[21]
-  PIN trng_buffer_o[22]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 166.640 750.000 167.240 ;
-    END
-  END trng_buffer_o[22]
-  PIN trng_buffer_o[23]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 836.440 750.000 837.040 ;
-    END
-  END trng_buffer_o[23]
-  PIN trng_buffer_o[24]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 325.310 996.000 325.590 1000.000 ;
-    END
-  END trng_buffer_o[24]
-  PIN trng_buffer_o[25]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 22.630 996.000 22.910 1000.000 ;
-    END
-  END trng_buffer_o[25]
-  PIN trng_buffer_o[26]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 935.040 750.000 935.640 ;
-    END
-  END trng_buffer_o[26]
-  PIN trng_buffer_o[27]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 112.790 996.000 113.070 1000.000 ;
-    END
-  END trng_buffer_o[27]
-  PIN trng_buffer_o[28]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 540.640 4.000 541.240 ;
-    END
-  END trng_buffer_o[28]
-  PIN trng_buffer_o[29]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 37.440 750.000 38.040 ;
-    END
-  END trng_buffer_o[29]
-  PIN trng_buffer_o[2]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 180.410 0.000 180.690 4.000 ;
-    END
-  END trng_buffer_o[2]
-  PIN trng_buffer_o[30]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 354.290 996.000 354.570 1000.000 ;
-    END
-  END trng_buffer_o[30]
-  PIN trng_buffer_o[31]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 695.610 0.000 695.890 4.000 ;
-    END
-  END trng_buffer_o[31]
-  PIN trng_buffer_o[3]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 119.230 0.000 119.510 4.000 ;
-    END
-  END trng_buffer_o[3]
-  PIN trng_buffer_o[4]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 264.130 996.000 264.410 1000.000 ;
-    END
-  END trng_buffer_o[4]
-  PIN trng_buffer_o[5]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 326.440 750.000 327.040 ;
-    END
-  END trng_buffer_o[5]
-  PIN trng_buffer_o[6]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 30.640 4.000 31.240 ;
-    END
-  END trng_buffer_o[6]
-  PIN trng_buffer_o[7]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 863.640 4.000 864.240 ;
-    END
-  END trng_buffer_o[7]
-  PIN trng_buffer_o[8]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 639.240 4.000 639.840 ;
-    END
-  END trng_buffer_o[8]
-  PIN trng_buffer_o[9]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 595.790 996.000 596.070 1000.000 ;
-    END
-  END trng_buffer_o[9]
-  PIN trng_valid_o
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 421.910 0.000 422.190 4.000 ;
-    END
-  END trng_valid_o
-  PIN vccd1
-    DIRECTION INPUT ;
-    USE POWER ;
-    PORT
-      LAYER met4 ;
-        RECT 21.040 10.640 22.640 987.600 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 174.640 10.640 176.240 987.600 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 328.240 10.640 329.840 987.600 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 481.840 10.640 483.440 987.600 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 635.440 10.640 637.040 987.600 ;
-    END
-  END vccd1
-  PIN vssd1
-    DIRECTION INPUT ;
-    USE GROUND ;
-    PORT
-      LAYER met4 ;
-        RECT 97.840 10.640 99.440 987.600 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 251.440 10.640 253.040 987.600 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 405.040 10.640 406.640 987.600 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 558.640 10.640 560.240 987.600 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 712.240 10.640 713.840 987.600 ;
-    END
-  END vssd1
-  PIN wb_ack_o
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 357.040 750.000 357.640 ;
-    END
-  END wb_ack_o
-  PIN wb_adr_i[0]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 870.440 750.000 871.040 ;
-    END
-  END wb_adr_i[0]
-  PIN wb_adr_i[1]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 775.240 750.000 775.840 ;
-    END
-  END wb_adr_i[1]
-  PIN wb_adr_i[2]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 710.640 750.000 711.240 ;
-    END
-  END wb_adr_i[2]
-  PIN wb_adr_i[3]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 676.640 750.000 677.240 ;
-    END
-  END wb_adr_i[3]
-  PIN wb_adr_i[4]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 360.730 0.000 361.010 4.000 ;
-    END
-  END wb_adr_i[4]
-  PIN wb_adr_i[5]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 61.240 4.000 61.840 ;
-    END
-  END wb_adr_i[5]
-  PIN wb_adr_i[6]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 965.640 750.000 966.240 ;
-    END
-  END wb_adr_i[6]
-  PIN wb_adr_i[7]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 605.240 4.000 605.840 ;
-    END
-  END wb_adr_i[7]
-  PIN wb_adr_i[8]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 293.110 996.000 293.390 1000.000 ;
-    END
-  END wb_adr_i[8]
-  PIN wb_clk_i
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 550.840 750.000 551.440 ;
-    END
-  END wb_clk_i
-  PIN wb_cyc_i
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 581.440 750.000 582.040 ;
-    END
-  END wb_cyc_i
-  PIN wb_dat_i[0]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 141.770 996.000 142.050 1000.000 ;
-    END
-  END wb_dat_i[0]
-  PIN wb_dat_i[10]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 386.490 996.000 386.770 1000.000 ;
-    END
-  END wb_dat_i[10]
-  PIN wb_dat_i[11]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 689.170 996.000 689.450 1000.000 ;
-    END
-  END wb_dat_i[11]
-  PIN wb_dat_i[12]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 241.590 0.000 241.870 4.000 ;
-    END
-  END wb_dat_i[12]
-  PIN wb_dat_i[13]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 292.440 750.000 293.040 ;
-    END
-  END wb_dat_i[13]
-  PIN wb_dat_i[14]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 197.240 750.000 197.840 ;
-    END
-  END wb_dat_i[14]
-  PIN wb_dat_i[15]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 445.440 4.000 446.040 ;
-    END
-  END wb_dat_i[15]
-  PIN wb_dat_i[16]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 58.050 0.000 58.330 4.000 ;
-    END
-  END wb_dat_i[16]
-  PIN wb_dat_i[17]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 6.840 750.000 7.440 ;
-    END
-  END wb_dat_i[17]
-  PIN wb_dat_i[18]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 261.840 750.000 262.440 ;
-    END
-  END wb_dat_i[18]
-  PIN wb_dat_i[19]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 747.130 996.000 747.410 1000.000 ;
-    END
-  END wb_dat_i[19]
-  PIN wb_dat_i[1]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 221.040 4.000 221.640 ;
-    END
-  END wb_dat_i[1]
-  PIN wb_dat_i[20]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 666.630 0.000 666.910 4.000 ;
-    END
-  END wb_dat_i[20]
-  PIN wb_dat_i[21]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 331.750 0.000 332.030 4.000 ;
-    END
-  END wb_dat_i[21]
-  PIN wb_dat_i[22]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 391.040 750.000 391.640 ;
-    END
-  END wb_dat_i[22]
-  PIN wb_dat_i[23]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 80.590 996.000 80.870 1000.000 ;
-    END
-  END wb_dat_i[23]
-  PIN wb_dat_i[24]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 703.840 4.000 704.440 ;
-    END
-  END wb_dat_i[24]
-  PIN wb_dat_i[25]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 132.640 750.000 133.240 ;
-    END
-  END wb_dat_i[25]
-  PIN wb_dat_i[26]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 829.640 4.000 830.240 ;
-    END
-  END wb_dat_i[26]
-  PIN wb_dat_i[27]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 159.840 4.000 160.440 ;
-    END
-  END wb_dat_i[27]
-  PIN wb_dat_i[28]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 476.650 996.000 476.930 1000.000 ;
-    END
-  END wb_dat_i[28]
-  PIN wb_dat_i[29]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 125.840 4.000 126.440 ;
-    END
-  END wb_dat_i[29]
-  PIN wb_dat_i[2]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 90.250 0.000 90.530 4.000 ;
-    END
-  END wb_dat_i[2]
-  PIN wb_dat_i[30]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 270.570 0.000 270.850 4.000 ;
-    END
-  END wb_dat_i[30]
-  PIN wb_dat_i[31]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 102.040 750.000 102.640 ;
-    END
-  END wb_dat_i[31]
-  PIN wb_dat_i[3]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 615.440 750.000 616.040 ;
-    END
-  END wb_dat_i[3]
-  PIN wb_dat_i[4]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 421.640 750.000 422.240 ;
-    END
-  END wb_dat_i[4]
-  PIN wb_dat_i[5]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 634.430 0.000 634.710 4.000 ;
-    END
-  END wb_dat_i[5]
-  PIN wb_dat_i[6]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 669.840 4.000 670.440 ;
-    END
-  END wb_dat_i[6]
-  PIN wb_dat_i[7]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 510.040 4.000 510.640 ;
-    END
-  END wb_dat_i[7]
-  PIN wb_dat_i[8]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 479.440 4.000 480.040 ;
-    END
-  END wb_dat_i[8]
-  PIN wb_dat_i[9]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 486.240 750.000 486.840 ;
-    END
-  END wb_dat_i[9]
-  PIN wb_dat_o[0]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 741.240 750.000 741.840 ;
-    END
-  END wb_dat_o[0]
-  PIN wb_dat_o[10]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 724.590 0.000 724.870 4.000 ;
-    END
-  END wb_dat_o[10]
-  PIN wb_dat_o[11]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 805.840 750.000 806.440 ;
-    END
-  END wb_dat_o[11]
-  PIN wb_dat_o[12]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 190.440 4.000 191.040 ;
-    END
-  END wb_dat_o[12]
-  PIN wb_dat_o[13]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 958.840 4.000 959.440 ;
-    END
-  END wb_dat_o[13]
-  PIN wb_dat_o[14]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 350.240 4.000 350.840 ;
-    END
-  END wb_dat_o[14]
-  PIN wb_dat_o[15]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 0.090 0.000 0.370 4.000 ;
-    END
-  END wb_dat_o[15]
-  PIN wb_dat_o[16]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 544.270 0.000 544.550 4.000 ;
-    END
-  END wb_dat_o[16]
-  PIN wb_dat_o[17]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 989.440 4.000 990.040 ;
-    END
-  END wb_dat_o[17]
-  PIN wb_dat_o[18]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 235.150 996.000 235.430 1000.000 ;
-    END
-  END wb_dat_o[18]
-  PIN wb_dat_o[19]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 505.630 996.000 505.910 1000.000 ;
-    END
-  END wb_dat_o[19]
-  PIN wb_dat_o[1]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 799.040 4.000 799.640 ;
-    END
-  END wb_dat_o[1]
-  PIN wb_dat_o[20]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 414.840 4.000 415.440 ;
-    END
-  END wb_dat_o[20]
-  PIN wb_dat_o[21]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 29.070 0.000 29.350 4.000 ;
-    END
-  END wb_dat_o[21]
-  PIN wb_dat_o[22]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 627.990 996.000 628.270 1000.000 ;
-    END
-  END wb_dat_o[22]
-  PIN wb_dat_o[23]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 444.450 996.000 444.730 1000.000 ;
-    END
-  END wb_dat_o[23]
-  PIN wb_dat_o[24]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 392.930 0.000 393.210 4.000 ;
-    END
-  END wb_dat_o[24]
-  PIN wb_dat_o[25]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 718.150 996.000 718.430 1000.000 ;
-    END
-  END wb_dat_o[25]
-  PIN wb_dat_o[26]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 231.240 750.000 231.840 ;
-    END
-  END wb_dat_o[26]
-  PIN wb_dat_o[27]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 894.240 4.000 894.840 ;
-    END
-  END wb_dat_o[27]
-  PIN wb_dat_o[28]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 319.640 4.000 320.240 ;
-    END
-  END wb_dat_o[28]
-  PIN wb_dat_o[29]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 151.430 0.000 151.710 4.000 ;
-    END
-  END wb_dat_o[29]
-  PIN wb_dat_o[2]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 302.770 0.000 303.050 4.000 ;
-    END
-  END wb_dat_o[2]
-  PIN wb_dat_o[30]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 454.110 0.000 454.390 4.000 ;
-    END
-  END wb_dat_o[30]
-  PIN wb_dat_o[31]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 646.040 750.000 646.640 ;
-    END
-  END wb_dat_o[31]
-  PIN wb_dat_o[3]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 483.090 0.000 483.370 4.000 ;
-    END
-  END wb_dat_o[3]
-  PIN wb_dat_o[4]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 566.810 996.000 567.090 1000.000 ;
-    END
-  END wb_dat_o[4]
-  PIN wb_dat_o[5]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 537.830 996.000 538.110 1000.000 ;
-    END
-  END wb_dat_o[5]
-  PIN wb_dat_o[6]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 924.840 4.000 925.440 ;
-    END
-  END wb_dat_o[6]
-  PIN wb_dat_o[7]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 51.610 996.000 51.890 1000.000 ;
-    END
-  END wb_dat_o[7]
-  PIN wb_dat_o[8]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 573.250 0.000 573.530 4.000 ;
-    END
-  END wb_dat_o[8]
-  PIN wb_dat_o[9]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 255.040 4.000 255.640 ;
-    END
-  END wb_dat_o[9]
-  PIN wb_stb_i
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 765.040 4.000 765.640 ;
-    END
-  END wb_stb_i
-  PIN wb_we_i
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 746.000 516.840 750.000 517.440 ;
-    END
-  END wb_we_i
-  OBS
-      LAYER li1 ;
-        RECT 5.520 10.795 744.280 987.445 ;
-      LAYER met1 ;
-        RECT 0.070 10.640 749.730 987.600 ;
-      LAYER met2 ;
-        RECT 0.100 995.720 22.350 996.610 ;
-        RECT 23.190 995.720 51.330 996.610 ;
-        RECT 52.170 995.720 80.310 996.610 ;
-        RECT 81.150 995.720 112.510 996.610 ;
-        RECT 113.350 995.720 141.490 996.610 ;
-        RECT 142.330 995.720 173.690 996.610 ;
-        RECT 174.530 995.720 202.670 996.610 ;
-        RECT 203.510 995.720 234.870 996.610 ;
-        RECT 235.710 995.720 263.850 996.610 ;
-        RECT 264.690 995.720 292.830 996.610 ;
-        RECT 293.670 995.720 325.030 996.610 ;
-        RECT 325.870 995.720 354.010 996.610 ;
-        RECT 354.850 995.720 386.210 996.610 ;
-        RECT 387.050 995.720 415.190 996.610 ;
-        RECT 416.030 995.720 444.170 996.610 ;
-        RECT 445.010 995.720 476.370 996.610 ;
-        RECT 477.210 995.720 505.350 996.610 ;
-        RECT 506.190 995.720 537.550 996.610 ;
-        RECT 538.390 995.720 566.530 996.610 ;
-        RECT 567.370 995.720 595.510 996.610 ;
-        RECT 596.350 995.720 627.710 996.610 ;
-        RECT 628.550 995.720 656.690 996.610 ;
-        RECT 657.530 995.720 688.890 996.610 ;
-        RECT 689.730 995.720 717.870 996.610 ;
-        RECT 718.710 995.720 746.850 996.610 ;
-        RECT 747.690 995.720 749.700 996.610 ;
-        RECT 0.100 4.280 749.700 995.720 ;
-        RECT 0.650 4.000 28.790 4.280 ;
-        RECT 29.630 4.000 57.770 4.280 ;
-        RECT 58.610 4.000 89.970 4.280 ;
-        RECT 90.810 4.000 118.950 4.280 ;
-        RECT 119.790 4.000 151.150 4.280 ;
-        RECT 151.990 4.000 180.130 4.280 ;
-        RECT 180.970 4.000 209.110 4.280 ;
-        RECT 209.950 4.000 241.310 4.280 ;
-        RECT 242.150 4.000 270.290 4.280 ;
-        RECT 271.130 4.000 302.490 4.280 ;
-        RECT 303.330 4.000 331.470 4.280 ;
-        RECT 332.310 4.000 360.450 4.280 ;
-        RECT 361.290 4.000 392.650 4.280 ;
-        RECT 393.490 4.000 421.630 4.280 ;
-        RECT 422.470 4.000 453.830 4.280 ;
-        RECT 454.670 4.000 482.810 4.280 ;
-        RECT 483.650 4.000 511.790 4.280 ;
-        RECT 512.630 4.000 543.990 4.280 ;
-        RECT 544.830 4.000 572.970 4.280 ;
-        RECT 573.810 4.000 605.170 4.280 ;
-        RECT 606.010 4.000 634.150 4.280 ;
-        RECT 634.990 4.000 666.350 4.280 ;
-        RECT 667.190 4.000 695.330 4.280 ;
-        RECT 696.170 4.000 724.310 4.280 ;
-        RECT 725.150 4.000 749.700 4.280 ;
-      LAYER met3 ;
-        RECT 4.400 989.040 748.815 989.905 ;
-        RECT 4.000 966.640 748.815 989.040 ;
-        RECT 4.000 965.240 745.600 966.640 ;
-        RECT 4.000 959.840 748.815 965.240 ;
-        RECT 4.400 958.440 748.815 959.840 ;
-        RECT 4.000 936.040 748.815 958.440 ;
-        RECT 4.000 934.640 745.600 936.040 ;
-        RECT 4.000 925.840 748.815 934.640 ;
-        RECT 4.400 924.440 748.815 925.840 ;
-        RECT 4.000 902.040 748.815 924.440 ;
-        RECT 4.000 900.640 745.600 902.040 ;
-        RECT 4.000 895.240 748.815 900.640 ;
-        RECT 4.400 893.840 748.815 895.240 ;
-        RECT 4.000 871.440 748.815 893.840 ;
-        RECT 4.000 870.040 745.600 871.440 ;
-        RECT 4.000 864.640 748.815 870.040 ;
-        RECT 4.400 863.240 748.815 864.640 ;
-        RECT 4.000 837.440 748.815 863.240 ;
-        RECT 4.000 836.040 745.600 837.440 ;
-        RECT 4.000 830.640 748.815 836.040 ;
-        RECT 4.400 829.240 748.815 830.640 ;
-        RECT 4.000 806.840 748.815 829.240 ;
-        RECT 4.000 805.440 745.600 806.840 ;
-        RECT 4.000 800.040 748.815 805.440 ;
-        RECT 4.400 798.640 748.815 800.040 ;
-        RECT 4.000 776.240 748.815 798.640 ;
-        RECT 4.000 774.840 745.600 776.240 ;
-        RECT 4.000 766.040 748.815 774.840 ;
-        RECT 4.400 764.640 748.815 766.040 ;
-        RECT 4.000 742.240 748.815 764.640 ;
-        RECT 4.000 740.840 745.600 742.240 ;
-        RECT 4.000 735.440 748.815 740.840 ;
-        RECT 4.400 734.040 748.815 735.440 ;
-        RECT 4.000 711.640 748.815 734.040 ;
-        RECT 4.000 710.240 745.600 711.640 ;
-        RECT 4.000 704.840 748.815 710.240 ;
-        RECT 4.400 703.440 748.815 704.840 ;
-        RECT 4.000 677.640 748.815 703.440 ;
-        RECT 4.000 676.240 745.600 677.640 ;
-        RECT 4.000 670.840 748.815 676.240 ;
-        RECT 4.400 669.440 748.815 670.840 ;
-        RECT 4.000 647.040 748.815 669.440 ;
-        RECT 4.000 645.640 745.600 647.040 ;
-        RECT 4.000 640.240 748.815 645.640 ;
-        RECT 4.400 638.840 748.815 640.240 ;
-        RECT 4.000 616.440 748.815 638.840 ;
-        RECT 4.000 615.040 745.600 616.440 ;
-        RECT 4.000 606.240 748.815 615.040 ;
-        RECT 4.400 604.840 748.815 606.240 ;
-        RECT 4.000 582.440 748.815 604.840 ;
-        RECT 4.000 581.040 745.600 582.440 ;
-        RECT 4.000 575.640 748.815 581.040 ;
-        RECT 4.400 574.240 748.815 575.640 ;
-        RECT 4.000 551.840 748.815 574.240 ;
-        RECT 4.000 550.440 745.600 551.840 ;
-        RECT 4.000 541.640 748.815 550.440 ;
-        RECT 4.400 540.240 748.815 541.640 ;
-        RECT 4.000 517.840 748.815 540.240 ;
-        RECT 4.000 516.440 745.600 517.840 ;
-        RECT 4.000 511.040 748.815 516.440 ;
-        RECT 4.400 509.640 748.815 511.040 ;
-        RECT 4.000 487.240 748.815 509.640 ;
-        RECT 4.000 485.840 745.600 487.240 ;
-        RECT 4.000 480.440 748.815 485.840 ;
-        RECT 4.400 479.040 748.815 480.440 ;
-        RECT 4.000 456.640 748.815 479.040 ;
-        RECT 4.000 455.240 745.600 456.640 ;
-        RECT 4.000 446.440 748.815 455.240 ;
-        RECT 4.400 445.040 748.815 446.440 ;
-        RECT 4.000 422.640 748.815 445.040 ;
-        RECT 4.000 421.240 745.600 422.640 ;
-        RECT 4.000 415.840 748.815 421.240 ;
-        RECT 4.400 414.440 748.815 415.840 ;
-        RECT 4.000 392.040 748.815 414.440 ;
-        RECT 4.000 390.640 745.600 392.040 ;
-        RECT 4.000 381.840 748.815 390.640 ;
-        RECT 4.400 380.440 748.815 381.840 ;
-        RECT 4.000 358.040 748.815 380.440 ;
-        RECT 4.000 356.640 745.600 358.040 ;
-        RECT 4.000 351.240 748.815 356.640 ;
-        RECT 4.400 349.840 748.815 351.240 ;
-        RECT 4.000 327.440 748.815 349.840 ;
-        RECT 4.000 326.040 745.600 327.440 ;
-        RECT 4.000 320.640 748.815 326.040 ;
-        RECT 4.400 319.240 748.815 320.640 ;
-        RECT 4.000 293.440 748.815 319.240 ;
-        RECT 4.000 292.040 745.600 293.440 ;
-        RECT 4.000 286.640 748.815 292.040 ;
-        RECT 4.400 285.240 748.815 286.640 ;
-        RECT 4.000 262.840 748.815 285.240 ;
-        RECT 4.000 261.440 745.600 262.840 ;
-        RECT 4.000 256.040 748.815 261.440 ;
-        RECT 4.400 254.640 748.815 256.040 ;
-        RECT 4.000 232.240 748.815 254.640 ;
-        RECT 4.000 230.840 745.600 232.240 ;
-        RECT 4.000 222.040 748.815 230.840 ;
-        RECT 4.400 220.640 748.815 222.040 ;
-        RECT 4.000 198.240 748.815 220.640 ;
-        RECT 4.000 196.840 745.600 198.240 ;
-        RECT 4.000 191.440 748.815 196.840 ;
-        RECT 4.400 190.040 748.815 191.440 ;
-        RECT 4.000 167.640 748.815 190.040 ;
-        RECT 4.000 166.240 745.600 167.640 ;
-        RECT 4.000 160.840 748.815 166.240 ;
-        RECT 4.400 159.440 748.815 160.840 ;
-        RECT 4.000 133.640 748.815 159.440 ;
-        RECT 4.000 132.240 745.600 133.640 ;
-        RECT 4.000 126.840 748.815 132.240 ;
-        RECT 4.400 125.440 748.815 126.840 ;
-        RECT 4.000 103.040 748.815 125.440 ;
-        RECT 4.000 101.640 745.600 103.040 ;
-        RECT 4.000 96.240 748.815 101.640 ;
-        RECT 4.400 94.840 748.815 96.240 ;
-        RECT 4.000 72.440 748.815 94.840 ;
-        RECT 4.000 71.040 745.600 72.440 ;
-        RECT 4.000 62.240 748.815 71.040 ;
-        RECT 4.400 60.840 748.815 62.240 ;
-        RECT 4.000 38.440 748.815 60.840 ;
-        RECT 4.000 37.040 745.600 38.440 ;
-        RECT 4.000 31.640 748.815 37.040 ;
-        RECT 4.400 30.240 748.815 31.640 ;
-        RECT 4.000 10.715 748.815 30.240 ;
-      LAYER met4 ;
-        RECT 495.255 351.735 558.240 760.065 ;
-        RECT 560.640 351.735 635.040 760.065 ;
-        RECT 637.440 351.735 711.840 760.065 ;
-        RECT 714.240 351.735 748.585 760.065 ;
-  END
-END trng_wb_wrapper
-END LIBRARY
-
diff --git a/lef/trng3_wb_wrapper.lef b/lef/trng3_wb_wrapper.lef
deleted file mode 100644
index abfcbca..0000000
--- a/lef/trng3_wb_wrapper.lef
+++ /dev/null
@@ -1,1151 +0,0 @@
-VERSION 5.7 ;
-  NOWIREEXTENSIONATPIN ON ;
-  DIVIDERCHAR "/" ;
-  BUSBITCHARS "[]" ;
-MACRO trng_wb_wrapper
-  CLASS BLOCK ;
-  FOREIGN trng_wb_wrapper ;
-  ORIGIN 0.000 0.000 ;
-  SIZE 900.000 BY 1200.000 ;
-  PIN rst_i
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 544.040 900.000 544.640 ;
-    END
-  END rst_i
-  PIN trng_buffer_o[0]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 244.810 1196.000 245.090 1200.000 ;
-    END
-  END trng_buffer_o[0]
-  PIN trng_buffer_o[10]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 343.440 4.000 344.040 ;
-    END
-  END trng_buffer_o[10]
-  PIN trng_buffer_o[11]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 499.190 1196.000 499.470 1200.000 ;
-    END
-  END trng_buffer_o[11]
-  PIN trng_buffer_o[12]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 880.640 4.000 881.240 ;
-    END
-  END trng_buffer_o[12]
-  PIN trng_buffer_o[13]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 112.240 4.000 112.840 ;
-    END
-  END trng_buffer_o[13]
-  PIN trng_buffer_o[14]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 690.240 4.000 690.840 ;
-    END
-  END trng_buffer_o[14]
-  PIN trng_buffer_o[15]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 459.040 4.000 459.640 ;
-    END
-  END trng_buffer_o[15]
-  PIN trng_buffer_o[16]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 209.390 1196.000 209.670 1200.000 ;
-    END
-  END trng_buffer_o[16]
-  PIN trng_buffer_o[17]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 254.470 0.000 254.750 4.000 ;
-    END
-  END trng_buffer_o[17]
-  PIN trng_buffer_o[18]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 618.330 0.000 618.610 4.000 ;
-    END
-  END trng_buffer_o[18]
-  PIN trng_buffer_o[19]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 792.210 1196.000 792.490 1200.000 ;
-    END
-  END trng_buffer_o[19]
-  PIN trng_buffer_o[1]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 85.040 900.000 85.640 ;
-    END
-  END trng_buffer_o[1]
-  PIN trng_buffer_o[20]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 1084.640 900.000 1085.240 ;
-    END
-  END trng_buffer_o[20]
-  PIN trng_buffer_o[21]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 727.810 0.000 728.090 4.000 ;
-    END
-  END trng_buffer_o[21]
-  PIN trng_buffer_o[22]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 200.640 900.000 201.240 ;
-    END
-  END trng_buffer_o[22]
-  PIN trng_buffer_o[23]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 1006.440 900.000 1007.040 ;
-    END
-  END trng_buffer_o[23]
-  PIN trng_buffer_o[24]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 389.710 1196.000 389.990 1200.000 ;
-    END
-  END trng_buffer_o[24]
-  PIN trng_buffer_o[25]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 25.850 1196.000 26.130 1200.000 ;
-    END
-  END trng_buffer_o[25]
-  PIN trng_buffer_o[26]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 1122.040 900.000 1122.640 ;
-    END
-  END trng_buffer_o[26]
-  PIN trng_buffer_o[27]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 135.330 1196.000 135.610 1200.000 ;
-    END
-  END trng_buffer_o[27]
-  PIN trng_buffer_o[28]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 652.840 4.000 653.440 ;
-    END
-  END trng_buffer_o[28]
-  PIN trng_buffer_o[29]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 44.240 900.000 44.840 ;
-    END
-  END trng_buffer_o[29]
-  PIN trng_buffer_o[2]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 215.830 0.000 216.110 4.000 ;
-    END
-  END trng_buffer_o[2]
-  PIN trng_buffer_o[30]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 428.350 1196.000 428.630 1200.000 ;
-    END
-  END trng_buffer_o[30]
-  PIN trng_buffer_o[31]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 834.070 0.000 834.350 4.000 ;
-    END
-  END trng_buffer_o[31]
-  PIN trng_buffer_o[3]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 144.990 0.000 145.270 4.000 ;
-    END
-  END trng_buffer_o[3]
-  PIN trng_buffer_o[4]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 318.870 1196.000 319.150 1200.000 ;
-    END
-  END trng_buffer_o[4]
-  PIN trng_buffer_o[5]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 391.040 900.000 391.640 ;
-    END
-  END trng_buffer_o[5]
-  PIN trng_buffer_o[6]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 37.440 4.000 38.040 ;
-    END
-  END trng_buffer_o[6]
-  PIN trng_buffer_o[7]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 1037.040 4.000 1037.640 ;
-    END
-  END trng_buffer_o[7]
-  PIN trng_buffer_o[8]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 768.440 4.000 769.040 ;
-    END
-  END trng_buffer_o[8]
-  PIN trng_buffer_o[9]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 718.150 1196.000 718.430 1200.000 ;
-    END
-  END trng_buffer_o[9]
-  PIN trng_valid_o
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 508.850 0.000 509.130 4.000 ;
-    END
-  END trng_valid_o
-  PIN vccd1
-    DIRECTION INPUT ;
-    USE POWER ;
-    PORT
-      LAYER met4 ;
-        RECT 21.040 10.640 22.640 1188.880 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 174.640 10.640 176.240 1188.880 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 328.240 10.640 329.840 1188.880 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 481.840 10.640 483.440 1188.880 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 635.440 10.640 637.040 1188.880 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 789.040 10.640 790.640 1188.880 ;
-    END
-  END vccd1
-  PIN vssd1
-    DIRECTION INPUT ;
-    USE GROUND ;
-    PORT
-      LAYER met4 ;
-        RECT 97.840 10.640 99.440 1188.880 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 251.440 10.640 253.040 1188.880 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 405.040 10.640 406.640 1188.880 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 558.640 10.640 560.240 1188.880 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 712.240 10.640 713.840 1188.880 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 865.840 10.640 867.440 1188.880 ;
-    END
-  END vssd1
-  PIN wb_ack_o
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 428.440 900.000 429.040 ;
-    END
-  END wb_ack_o
-  PIN wb_adr_i[0]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 1043.840 900.000 1044.440 ;
-    END
-  END wb_adr_i[0]
-  PIN wb_adr_i[1]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 928.240 900.000 928.840 ;
-    END
-  END wb_adr_i[1]
-  PIN wb_adr_i[2]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 853.440 900.000 854.040 ;
-    END
-  END wb_adr_i[2]
-  PIN wb_adr_i[3]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 812.640 900.000 813.240 ;
-    END
-  END wb_adr_i[3]
-  PIN wb_adr_i[4]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 434.790 0.000 435.070 4.000 ;
-    END
-  END wb_adr_i[4]
-  PIN wb_adr_i[5]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 74.840 4.000 75.440 ;
-    END
-  END wb_adr_i[5]
-  PIN wb_adr_i[6]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 1159.440 900.000 1160.040 ;
-    END
-  END wb_adr_i[6]
-  PIN wb_adr_i[7]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 727.640 4.000 728.240 ;
-    END
-  END wb_adr_i[7]
-  PIN wb_adr_i[8]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 354.290 1196.000 354.570 1200.000 ;
-    END
-  END wb_adr_i[8]
-  PIN wb_clk_i
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 659.640 900.000 660.240 ;
-    END
-  END wb_clk_i
-  PIN wb_cyc_i
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 700.440 900.000 701.040 ;
-    END
-  END wb_cyc_i
-  PIN wb_dat_i[0]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 170.750 1196.000 171.030 1200.000 ;
-    END
-  END wb_dat_i[0]
-  PIN wb_dat_i[10]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 463.770 1196.000 464.050 1200.000 ;
-    END
-  END wb_dat_i[10]
-  PIN wb_dat_i[11]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 827.630 1196.000 827.910 1200.000 ;
-    END
-  END wb_dat_i[11]
-  PIN wb_dat_i[12]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 289.890 0.000 290.170 4.000 ;
-    END
-  END wb_dat_i[12]
-  PIN wb_dat_i[13]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 353.640 900.000 354.240 ;
-    END
-  END wb_dat_i[13]
-  PIN wb_dat_i[14]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 238.040 900.000 238.640 ;
-    END
-  END wb_dat_i[14]
-  PIN wb_dat_i[15]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 537.240 4.000 537.840 ;
-    END
-  END wb_dat_i[15]
-  PIN wb_dat_i[16]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 70.930 0.000 71.210 4.000 ;
-    END
-  END wb_dat_i[16]
-  PIN wb_dat_i[17]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 6.840 900.000 7.440 ;
-    END
-  END wb_dat_i[17]
-  PIN wb_dat_i[18]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 316.240 900.000 316.840 ;
-    END
-  END wb_dat_i[18]
-  PIN wb_dat_i[19]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 898.470 1196.000 898.750 1200.000 ;
-    END
-  END wb_dat_i[19]
-  PIN wb_dat_i[1]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 268.640 4.000 269.240 ;
-    END
-  END wb_dat_i[1]
-  PIN wb_dat_i[20]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 798.650 0.000 798.930 4.000 ;
-    END
-  END wb_dat_i[20]
-  PIN wb_dat_i[21]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 399.370 0.000 399.650 4.000 ;
-    END
-  END wb_dat_i[21]
-  PIN wb_dat_i[22]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 469.240 900.000 469.840 ;
-    END
-  END wb_dat_i[22]
-  PIN wb_dat_i[23]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 99.910 1196.000 100.190 1200.000 ;
-    END
-  END wb_dat_i[23]
-  PIN wb_dat_i[24]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 843.240 4.000 843.840 ;
-    END
-  END wb_dat_i[24]
-  PIN wb_dat_i[25]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 159.840 900.000 160.440 ;
-    END
-  END wb_dat_i[25]
-  PIN wb_dat_i[26]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 996.240 4.000 996.840 ;
-    END
-  END wb_dat_i[26]
-  PIN wb_dat_i[27]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 190.440 4.000 191.040 ;
-    END
-  END wb_dat_i[27]
-  PIN wb_dat_i[28]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 573.250 1196.000 573.530 1200.000 ;
-    END
-  END wb_dat_i[28]
-  PIN wb_dat_i[29]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 153.040 4.000 153.640 ;
-    END
-  END wb_dat_i[29]
-  PIN wb_dat_i[2]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 106.350 0.000 106.630 4.000 ;
-    END
-  END wb_dat_i[2]
-  PIN wb_dat_i[30]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 325.310 0.000 325.590 4.000 ;
-    END
-  END wb_dat_i[30]
-  PIN wb_dat_i[31]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 122.440 900.000 123.040 ;
-    END
-  END wb_dat_i[31]
-  PIN wb_dat_i[3]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 737.840 900.000 738.440 ;
-    END
-  END wb_dat_i[3]
-  PIN wb_dat_i[4]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 506.640 900.000 507.240 ;
-    END
-  END wb_dat_i[4]
-  PIN wb_dat_i[5]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 763.230 0.000 763.510 4.000 ;
-    END
-  END wb_dat_i[5]
-  PIN wb_dat_i[6]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 805.840 4.000 806.440 ;
-    END
-  END wb_dat_i[6]
-  PIN wb_dat_i[7]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 612.040 4.000 612.640 ;
-    END
-  END wb_dat_i[7]
-  PIN wb_dat_i[8]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 574.640 4.000 575.240 ;
-    END
-  END wb_dat_i[8]
-  PIN wb_dat_i[9]
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 584.840 900.000 585.440 ;
-    END
-  END wb_dat_i[9]
-  PIN wb_dat_o[0]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 890.840 900.000 891.440 ;
-    END
-  END wb_dat_o[0]
-  PIN wb_dat_o[10]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 872.710 0.000 872.990 4.000 ;
-    END
-  END wb_dat_o[10]
-  PIN wb_dat_o[11]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 969.040 900.000 969.640 ;
-    END
-  END wb_dat_o[11]
-  PIN wb_dat_o[12]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 227.840 4.000 228.440 ;
-    END
-  END wb_dat_o[12]
-  PIN wb_dat_o[13]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 1152.640 4.000 1153.240 ;
-    END
-  END wb_dat_o[13]
-  PIN wb_dat_o[14]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 421.640 4.000 422.240 ;
-    END
-  END wb_dat_o[14]
-  PIN wb_dat_o[15]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 0.090 0.000 0.370 4.000 ;
-    END
-  END wb_dat_o[15]
-  PIN wb_dat_o[16]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 653.750 0.000 654.030 4.000 ;
-    END
-  END wb_dat_o[16]
-  PIN wb_dat_o[17]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 1190.040 4.000 1190.640 ;
-    END
-  END wb_dat_o[17]
-  PIN wb_dat_o[18]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 280.230 1196.000 280.510 1200.000 ;
-    END
-  END wb_dat_o[18]
-  PIN wb_dat_o[19]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 608.670 1196.000 608.950 1200.000 ;
-    END
-  END wb_dat_o[19]
-  PIN wb_dat_o[1]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 958.840 4.000 959.440 ;
-    END
-  END wb_dat_o[1]
-  PIN wb_dat_o[20]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 496.440 4.000 497.040 ;
-    END
-  END wb_dat_o[20]
-  PIN wb_dat_o[21]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 35.510 0.000 35.790 4.000 ;
-    END
-  END wb_dat_o[21]
-  PIN wb_dat_o[22]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 753.570 1196.000 753.850 1200.000 ;
-    END
-  END wb_dat_o[22]
-  PIN wb_dat_o[23]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 534.610 1196.000 534.890 1200.000 ;
-    END
-  END wb_dat_o[23]
-  PIN wb_dat_o[24]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 470.210 0.000 470.490 4.000 ;
-    END
-  END wb_dat_o[24]
-  PIN wb_dat_o[25]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 863.050 1196.000 863.330 1200.000 ;
-    END
-  END wb_dat_o[25]
-  PIN wb_dat_o[26]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 275.440 900.000 276.040 ;
-    END
-  END wb_dat_o[26]
-  PIN wb_dat_o[27]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 1074.440 4.000 1075.040 ;
-    END
-  END wb_dat_o[27]
-  PIN wb_dat_o[28]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 384.240 4.000 384.840 ;
-    END
-  END wb_dat_o[28]
-  PIN wb_dat_o[29]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 180.410 0.000 180.690 4.000 ;
-    END
-  END wb_dat_o[29]
-  PIN wb_dat_o[2]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 363.950 0.000 364.230 4.000 ;
-    END
-  END wb_dat_o[2]
-  PIN wb_dat_o[30]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 544.270 0.000 544.550 4.000 ;
-    END
-  END wb_dat_o[30]
-  PIN wb_dat_o[31]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 775.240 900.000 775.840 ;
-    END
-  END wb_dat_o[31]
-  PIN wb_dat_o[3]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 579.690 0.000 579.970 4.000 ;
-    END
-  END wb_dat_o[3]
-  PIN wb_dat_o[4]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 682.730 1196.000 683.010 1200.000 ;
-    END
-  END wb_dat_o[4]
-  PIN wb_dat_o[5]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 644.090 1196.000 644.370 1200.000 ;
-    END
-  END wb_dat_o[5]
-  PIN wb_dat_o[6]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 1111.840 4.000 1112.440 ;
-    END
-  END wb_dat_o[6]
-  PIN wb_dat_o[7]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 64.490 1196.000 64.770 1200.000 ;
-    END
-  END wb_dat_o[7]
-  PIN wb_dat_o[8]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met2 ;
-        RECT 689.170 0.000 689.450 4.000 ;
-    END
-  END wb_dat_o[8]
-  PIN wb_dat_o[9]
-    DIRECTION OUTPUT TRISTATE ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 306.040 4.000 306.640 ;
-    END
-  END wb_dat_o[9]
-  PIN wb_stb_i
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 0.000 921.440 4.000 922.040 ;
-    END
-  END wb_stb_i
-  PIN wb_we_i
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met3 ;
-        RECT 896.000 622.240 900.000 622.840 ;
-    END
-  END wb_we_i
-  OBS
-      LAYER li1 ;
-        RECT 5.520 10.795 894.240 1188.725 ;
-      LAYER met1 ;
-        RECT 0.070 10.640 899.690 1188.880 ;
-      LAYER met2 ;
-        RECT 0.100 1195.720 25.570 1196.530 ;
-        RECT 26.410 1195.720 64.210 1196.530 ;
-        RECT 65.050 1195.720 99.630 1196.530 ;
-        RECT 100.470 1195.720 135.050 1196.530 ;
-        RECT 135.890 1195.720 170.470 1196.530 ;
-        RECT 171.310 1195.720 209.110 1196.530 ;
-        RECT 209.950 1195.720 244.530 1196.530 ;
-        RECT 245.370 1195.720 279.950 1196.530 ;
-        RECT 280.790 1195.720 318.590 1196.530 ;
-        RECT 319.430 1195.720 354.010 1196.530 ;
-        RECT 354.850 1195.720 389.430 1196.530 ;
-        RECT 390.270 1195.720 428.070 1196.530 ;
-        RECT 428.910 1195.720 463.490 1196.530 ;
-        RECT 464.330 1195.720 498.910 1196.530 ;
-        RECT 499.750 1195.720 534.330 1196.530 ;
-        RECT 535.170 1195.720 572.970 1196.530 ;
-        RECT 573.810 1195.720 608.390 1196.530 ;
-        RECT 609.230 1195.720 643.810 1196.530 ;
-        RECT 644.650 1195.720 682.450 1196.530 ;
-        RECT 683.290 1195.720 717.870 1196.530 ;
-        RECT 718.710 1195.720 753.290 1196.530 ;
-        RECT 754.130 1195.720 791.930 1196.530 ;
-        RECT 792.770 1195.720 827.350 1196.530 ;
-        RECT 828.190 1195.720 862.770 1196.530 ;
-        RECT 863.610 1195.720 898.190 1196.530 ;
-        RECT 899.030 1195.720 899.670 1196.530 ;
-        RECT 0.100 4.280 899.670 1195.720 ;
-        RECT 0.650 3.670 35.230 4.280 ;
-        RECT 36.070 3.670 70.650 4.280 ;
-        RECT 71.490 3.670 106.070 4.280 ;
-        RECT 106.910 3.670 144.710 4.280 ;
-        RECT 145.550 3.670 180.130 4.280 ;
-        RECT 180.970 3.670 215.550 4.280 ;
-        RECT 216.390 3.670 254.190 4.280 ;
-        RECT 255.030 3.670 289.610 4.280 ;
-        RECT 290.450 3.670 325.030 4.280 ;
-        RECT 325.870 3.670 363.670 4.280 ;
-        RECT 364.510 3.670 399.090 4.280 ;
-        RECT 399.930 3.670 434.510 4.280 ;
-        RECT 435.350 3.670 469.930 4.280 ;
-        RECT 470.770 3.670 508.570 4.280 ;
-        RECT 509.410 3.670 543.990 4.280 ;
-        RECT 544.830 3.670 579.410 4.280 ;
-        RECT 580.250 3.670 618.050 4.280 ;
-        RECT 618.890 3.670 653.470 4.280 ;
-        RECT 654.310 3.670 688.890 4.280 ;
-        RECT 689.730 3.670 727.530 4.280 ;
-        RECT 728.370 3.670 762.950 4.280 ;
-        RECT 763.790 3.670 798.370 4.280 ;
-        RECT 799.210 3.670 833.790 4.280 ;
-        RECT 834.630 3.670 872.430 4.280 ;
-        RECT 873.270 3.670 899.670 4.280 ;
-      LAYER met3 ;
-        RECT 4.400 1189.640 899.695 1190.505 ;
-        RECT 4.000 1160.440 899.695 1189.640 ;
-        RECT 4.000 1159.040 895.600 1160.440 ;
-        RECT 4.000 1153.640 899.695 1159.040 ;
-        RECT 4.400 1152.240 899.695 1153.640 ;
-        RECT 4.000 1123.040 899.695 1152.240 ;
-        RECT 4.000 1121.640 895.600 1123.040 ;
-        RECT 4.000 1112.840 899.695 1121.640 ;
-        RECT 4.400 1111.440 899.695 1112.840 ;
-        RECT 4.000 1085.640 899.695 1111.440 ;
-        RECT 4.000 1084.240 895.600 1085.640 ;
-        RECT 4.000 1075.440 899.695 1084.240 ;
-        RECT 4.400 1074.040 899.695 1075.440 ;
-        RECT 4.000 1044.840 899.695 1074.040 ;
-        RECT 4.000 1043.440 895.600 1044.840 ;
-        RECT 4.000 1038.040 899.695 1043.440 ;
-        RECT 4.400 1036.640 899.695 1038.040 ;
-        RECT 4.000 1007.440 899.695 1036.640 ;
-        RECT 4.000 1006.040 895.600 1007.440 ;
-        RECT 4.000 997.240 899.695 1006.040 ;
-        RECT 4.400 995.840 899.695 997.240 ;
-        RECT 4.000 970.040 899.695 995.840 ;
-        RECT 4.000 968.640 895.600 970.040 ;
-        RECT 4.000 959.840 899.695 968.640 ;
-        RECT 4.400 958.440 899.695 959.840 ;
-        RECT 4.000 929.240 899.695 958.440 ;
-        RECT 4.000 927.840 895.600 929.240 ;
-        RECT 4.000 922.440 899.695 927.840 ;
-        RECT 4.400 921.040 899.695 922.440 ;
-        RECT 4.000 891.840 899.695 921.040 ;
-        RECT 4.000 890.440 895.600 891.840 ;
-        RECT 4.000 881.640 899.695 890.440 ;
-        RECT 4.400 880.240 899.695 881.640 ;
-        RECT 4.000 854.440 899.695 880.240 ;
-        RECT 4.000 853.040 895.600 854.440 ;
-        RECT 4.000 844.240 899.695 853.040 ;
-        RECT 4.400 842.840 899.695 844.240 ;
-        RECT 4.000 813.640 899.695 842.840 ;
-        RECT 4.000 812.240 895.600 813.640 ;
-        RECT 4.000 806.840 899.695 812.240 ;
-        RECT 4.400 805.440 899.695 806.840 ;
-        RECT 4.000 776.240 899.695 805.440 ;
-        RECT 4.000 774.840 895.600 776.240 ;
-        RECT 4.000 769.440 899.695 774.840 ;
-        RECT 4.400 768.040 899.695 769.440 ;
-        RECT 4.000 738.840 899.695 768.040 ;
-        RECT 4.000 737.440 895.600 738.840 ;
-        RECT 4.000 728.640 899.695 737.440 ;
-        RECT 4.400 727.240 899.695 728.640 ;
-        RECT 4.000 701.440 899.695 727.240 ;
-        RECT 4.000 700.040 895.600 701.440 ;
-        RECT 4.000 691.240 899.695 700.040 ;
-        RECT 4.400 689.840 899.695 691.240 ;
-        RECT 4.000 660.640 899.695 689.840 ;
-        RECT 4.000 659.240 895.600 660.640 ;
-        RECT 4.000 653.840 899.695 659.240 ;
-        RECT 4.400 652.440 899.695 653.840 ;
-        RECT 4.000 623.240 899.695 652.440 ;
-        RECT 4.000 621.840 895.600 623.240 ;
-        RECT 4.000 613.040 899.695 621.840 ;
-        RECT 4.400 611.640 899.695 613.040 ;
-        RECT 4.000 585.840 899.695 611.640 ;
-        RECT 4.000 584.440 895.600 585.840 ;
-        RECT 4.000 575.640 899.695 584.440 ;
-        RECT 4.400 574.240 899.695 575.640 ;
-        RECT 4.000 545.040 899.695 574.240 ;
-        RECT 4.000 543.640 895.600 545.040 ;
-        RECT 4.000 538.240 899.695 543.640 ;
-        RECT 4.400 536.840 899.695 538.240 ;
-        RECT 4.000 507.640 899.695 536.840 ;
-        RECT 4.000 506.240 895.600 507.640 ;
-        RECT 4.000 497.440 899.695 506.240 ;
-        RECT 4.400 496.040 899.695 497.440 ;
-        RECT 4.000 470.240 899.695 496.040 ;
-        RECT 4.000 468.840 895.600 470.240 ;
-        RECT 4.000 460.040 899.695 468.840 ;
-        RECT 4.400 458.640 899.695 460.040 ;
-        RECT 4.000 429.440 899.695 458.640 ;
-        RECT 4.000 428.040 895.600 429.440 ;
-        RECT 4.000 422.640 899.695 428.040 ;
-        RECT 4.400 421.240 899.695 422.640 ;
-        RECT 4.000 392.040 899.695 421.240 ;
-        RECT 4.000 390.640 895.600 392.040 ;
-        RECT 4.000 385.240 899.695 390.640 ;
-        RECT 4.400 383.840 899.695 385.240 ;
-        RECT 4.000 354.640 899.695 383.840 ;
-        RECT 4.000 353.240 895.600 354.640 ;
-        RECT 4.000 344.440 899.695 353.240 ;
-        RECT 4.400 343.040 899.695 344.440 ;
-        RECT 4.000 317.240 899.695 343.040 ;
-        RECT 4.000 315.840 895.600 317.240 ;
-        RECT 4.000 307.040 899.695 315.840 ;
-        RECT 4.400 305.640 899.695 307.040 ;
-        RECT 4.000 276.440 899.695 305.640 ;
-        RECT 4.000 275.040 895.600 276.440 ;
-        RECT 4.000 269.640 899.695 275.040 ;
-        RECT 4.400 268.240 899.695 269.640 ;
-        RECT 4.000 239.040 899.695 268.240 ;
-        RECT 4.000 237.640 895.600 239.040 ;
-        RECT 4.000 228.840 899.695 237.640 ;
-        RECT 4.400 227.440 899.695 228.840 ;
-        RECT 4.000 201.640 899.695 227.440 ;
-        RECT 4.000 200.240 895.600 201.640 ;
-        RECT 4.000 191.440 899.695 200.240 ;
-        RECT 4.400 190.040 899.695 191.440 ;
-        RECT 4.000 160.840 899.695 190.040 ;
-        RECT 4.000 159.440 895.600 160.840 ;
-        RECT 4.000 154.040 899.695 159.440 ;
-        RECT 4.400 152.640 899.695 154.040 ;
-        RECT 4.000 123.440 899.695 152.640 ;
-        RECT 4.000 122.040 895.600 123.440 ;
-        RECT 4.000 113.240 899.695 122.040 ;
-        RECT 4.400 111.840 899.695 113.240 ;
-        RECT 4.000 86.040 899.695 111.840 ;
-        RECT 4.000 84.640 895.600 86.040 ;
-        RECT 4.000 75.840 899.695 84.640 ;
-        RECT 4.400 74.440 899.695 75.840 ;
-        RECT 4.000 45.240 899.695 74.440 ;
-        RECT 4.000 43.840 895.600 45.240 ;
-        RECT 4.000 38.440 899.695 43.840 ;
-        RECT 4.400 37.040 899.695 38.440 ;
-        RECT 4.000 10.715 899.695 37.040 ;
-      LAYER met4 ;
-        RECT 647.055 262.655 711.840 760.745 ;
-        RECT 714.240 262.655 788.640 760.745 ;
-        RECT 791.040 262.655 865.440 760.745 ;
-        RECT 867.840 262.655 895.785 760.745 ;
-  END
-END trng_wb_wrapper
-END LIBRARY
-
diff --git a/lef/trng_wb_wrapper.lef b/lef/trng_wb_wrapper.lef
new file mode 100644
index 0000000..df07b27
--- /dev/null
+++ b/lef/trng_wb_wrapper.lef
@@ -0,0 +1,1056 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO trng_wb_wrapper
+  CLASS BLOCK ;
+  FOREIGN trng_wb_wrapper ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 200.000 BY 300.000 ;
+  PIN rst_i
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 146.240 200.000 146.840 ;
+    END
+  END rst_i
+  PIN trng_buffer_o[0]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 41.950 296.000 42.230 300.000 ;
+    END
+  END trng_buffer_o[0]
+  PIN trng_buffer_o[10]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 81.640 4.000 82.240 ;
+    END
+  END trng_buffer_o[10]
+  PIN trng_buffer_o[11]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 103.130 296.000 103.410 300.000 ;
+    END
+  END trng_buffer_o[11]
+  PIN trng_buffer_o[12]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 210.840 4.000 211.440 ;
+    END
+  END trng_buffer_o[12]
+  PIN trng_buffer_o[13]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 27.240 4.000 27.840 ;
+    END
+  END trng_buffer_o[13]
+  PIN trng_buffer_o[14]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 163.240 4.000 163.840 ;
+    END
+  END trng_buffer_o[14]
+  PIN trng_buffer_o[15]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 108.840 4.000 109.440 ;
+    END
+  END trng_buffer_o[15]
+  PIN trng_buffer_o[16]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 32.290 296.000 32.570 300.000 ;
+    END
+  END trng_buffer_o[16]
+  PIN trng_buffer_o[17]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 58.050 0.000 58.330 4.000 ;
+    END
+  END trng_buffer_o[17]
+  PIN trng_buffer_o[18]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 144.990 0.000 145.270 4.000 ;
+    END
+  END trng_buffer_o[18]
+  PIN trng_buffer_o[19]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 170.750 296.000 171.030 300.000 ;
+    END
+  END trng_buffer_o[19]
+  PIN trng_buffer_o[1]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 34.040 200.000 34.640 ;
+    END
+  END trng_buffer_o[1]
+  PIN trng_buffer_o[20]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 272.040 200.000 272.640 ;
+    END
+  END trng_buffer_o[20]
+  PIN trng_buffer_o[21]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 170.750 0.000 171.030 4.000 ;
+    END
+  END trng_buffer_o[21]
+  PIN trng_buffer_o[22]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 61.240 200.000 61.840 ;
+    END
+  END trng_buffer_o[22]
+  PIN trng_buffer_o[23]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 255.040 200.000 255.640 ;
+    END
+  END trng_buffer_o[23]
+  PIN trng_buffer_o[24]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 77.370 296.000 77.650 300.000 ;
+    END
+  END trng_buffer_o[24]
+  PIN trng_buffer_o[25]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 292.440 4.000 293.040 ;
+    END
+  END trng_buffer_o[25]
+  PIN trng_buffer_o[26]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 282.240 200.000 282.840 ;
+    END
+  END trng_buffer_o[26]
+  PIN trng_buffer_o[27]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 16.190 296.000 16.470 300.000 ;
+    END
+  END trng_buffer_o[27]
+  PIN trng_buffer_o[28]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 153.040 4.000 153.640 ;
+    END
+  END trng_buffer_o[28]
+  PIN trng_buffer_o[29]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 27.240 200.000 27.840 ;
+    END
+  END trng_buffer_o[29]
+  PIN trng_buffer_o[2]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 51.610 0.000 51.890 4.000 ;
+    END
+  END trng_buffer_o[2]
+  PIN trng_buffer_o[30]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 83.810 296.000 84.090 300.000 ;
+    END
+  END trng_buffer_o[30]
+  PIN trng_buffer_o[31]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 0.040 200.000 0.640 ;
+    END
+  END trng_buffer_o[31]
+  PIN trng_buffer_o[3]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 32.290 0.000 32.570 4.000 ;
+    END
+  END trng_buffer_o[3]
+  PIN trng_buffer_o[4]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 58.050 296.000 58.330 300.000 ;
+    END
+  END trng_buffer_o[4]
+  PIN trng_buffer_o[5]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 108.840 200.000 109.440 ;
+    END
+  END trng_buffer_o[5]
+  PIN trng_buffer_o[6]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 6.840 4.000 7.440 ;
+    END
+  END trng_buffer_o[6]
+  PIN trng_buffer_o[7]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 244.840 4.000 245.440 ;
+    END
+  END trng_buffer_o[7]
+  PIN trng_buffer_o[8]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 180.240 4.000 180.840 ;
+    END
+  END trng_buffer_o[8]
+  PIN trng_buffer_o[9]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 154.650 296.000 154.930 300.000 ;
+    END
+  END trng_buffer_o[9]
+  PIN trng_valid_o
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 119.230 0.000 119.510 4.000 ;
+    END
+  END trng_valid_o
+  PIN vccd1
+    DIRECTION INPUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 21.040 10.640 22.640 288.560 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 174.640 10.640 176.240 288.560 ;
+    END
+  END vccd1
+  PIN vssd1
+    DIRECTION INPUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 97.840 10.640 99.440 288.560 ;
+    END
+  END vssd1
+  PIN wb_ack_o
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 119.040 200.000 119.640 ;
+    END
+  END wb_ack_o
+  PIN wb_adr_i[0]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 265.240 200.000 265.840 ;
+    END
+  END wb_adr_i[0]
+  PIN wb_adr_i[1]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 238.040 200.000 238.640 ;
+    END
+  END wb_adr_i[1]
+  PIN wb_adr_i[2]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 217.640 200.000 218.240 ;
+    END
+  END wb_adr_i[2]
+  PIN wb_adr_i[3]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 210.840 200.000 211.440 ;
+    END
+  END wb_adr_i[3]
+  PIN wb_adr_i[4]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 103.130 0.000 103.410 4.000 ;
+    END
+  END wb_adr_i[4]
+  PIN wb_adr_i[5]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 17.040 4.000 17.640 ;
+    END
+  END wb_adr_i[5]
+  PIN wb_adr_i[6]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 292.440 200.000 293.040 ;
+    END
+  END wb_adr_i[6]
+  PIN wb_adr_i[7]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 173.440 4.000 174.040 ;
+    END
+  END wb_adr_i[7]
+  PIN wb_adr_i[8]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 67.710 296.000 67.990 300.000 ;
+    END
+  END wb_adr_i[8]
+  PIN wb_clk_i
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 173.440 200.000 174.040 ;
+    END
+  END wb_clk_i
+  PIN wb_cyc_i
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 180.240 200.000 180.840 ;
+    END
+  END wb_cyc_i
+  PIN wb_dat_i[0]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 25.850 296.000 26.130 300.000 ;
+    END
+  END wb_dat_i[0]
+  PIN wb_dat_i[10]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 93.470 296.000 93.750 300.000 ;
+    END
+  END wb_dat_i[10]
+  PIN wb_dat_i[11]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 180.410 296.000 180.690 300.000 ;
+    END
+  END wb_dat_i[11]
+  PIN wb_dat_i[12]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 67.710 0.000 67.990 4.000 ;
+    END
+  END wb_dat_i[12]
+  PIN wb_dat_i[13]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 98.640 200.000 99.240 ;
+    END
+  END wb_dat_i[13]
+  PIN wb_dat_i[14]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 71.440 200.000 72.040 ;
+    END
+  END wb_dat_i[14]
+  PIN wb_dat_i[15]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 125.840 4.000 126.440 ;
+    END
+  END wb_dat_i[15]
+  PIN wb_dat_i[16]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 16.190 0.000 16.470 4.000 ;
+    END
+  END wb_dat_i[16]
+  PIN wb_dat_i[17]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 17.040 200.000 17.640 ;
+    END
+  END wb_dat_i[17]
+  PIN wb_dat_i[18]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 88.440 200.000 89.040 ;
+    END
+  END wb_dat_i[18]
+  PIN wb_dat_i[19]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 196.510 296.000 196.790 300.000 ;
+    END
+  END wb_dat_i[19]
+  PIN wb_dat_i[1]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 61.240 4.000 61.840 ;
+    END
+  END wb_dat_i[1]
+  PIN wb_dat_i[20]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 190.070 0.000 190.350 4.000 ;
+    END
+  END wb_dat_i[20]
+  PIN wb_dat_i[21]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 93.470 0.000 93.750 4.000 ;
+    END
+  END wb_dat_i[21]
+  PIN wb_dat_i[22]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 125.840 200.000 126.440 ;
+    END
+  END wb_dat_i[22]
+  PIN wb_dat_i[23]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 6.530 296.000 6.810 300.000 ;
+    END
+  END wb_dat_i[23]
+  PIN wb_dat_i[24]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 200.640 4.000 201.240 ;
+    END
+  END wb_dat_i[24]
+  PIN wb_dat_i[25]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 54.440 200.000 55.040 ;
+    END
+  END wb_dat_i[25]
+  PIN wb_dat_i[26]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 238.040 4.000 238.640 ;
+    END
+  END wb_dat_i[26]
+  PIN wb_dat_i[27]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 44.240 4.000 44.840 ;
+    END
+  END wb_dat_i[27]
+  PIN wb_dat_i[28]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 119.230 296.000 119.510 300.000 ;
+    END
+  END wb_dat_i[28]
+  PIN wb_dat_i[29]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 34.040 4.000 34.640 ;
+    END
+  END wb_dat_i[29]
+  PIN wb_dat_i[2]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 25.850 0.000 26.130 4.000 ;
+    END
+  END wb_dat_i[2]
+  PIN wb_dat_i[30]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 77.370 0.000 77.650 4.000 ;
+    END
+  END wb_dat_i[30]
+  PIN wb_dat_i[31]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 44.240 200.000 44.840 ;
+    END
+  END wb_dat_i[31]
+  PIN wb_dat_i[3]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 190.440 200.000 191.040 ;
+    END
+  END wb_dat_i[3]
+  PIN wb_dat_i[4]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 136.040 200.000 136.640 ;
+    END
+  END wb_dat_i[4]
+  PIN wb_dat_i[5]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 180.410 0.000 180.690 4.000 ;
+    END
+  END wb_dat_i[5]
+  PIN wb_dat_i[6]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 190.440 4.000 191.040 ;
+    END
+  END wb_dat_i[6]
+  PIN wb_dat_i[7]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 146.240 4.000 146.840 ;
+    END
+  END wb_dat_i[7]
+  PIN wb_dat_i[8]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 136.040 4.000 136.640 ;
+    END
+  END wb_dat_i[8]
+  PIN wb_dat_i[9]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 153.040 200.000 153.640 ;
+    END
+  END wb_dat_i[9]
+  PIN wb_dat_o[0]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 227.840 200.000 228.440 ;
+    END
+  END wb_dat_o[0]
+  PIN wb_dat_o[10]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 6.840 200.000 7.440 ;
+    END
+  END wb_dat_o[10]
+  PIN wb_dat_o[11]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 244.840 200.000 245.440 ;
+    END
+  END wb_dat_o[11]
+  PIN wb_dat_o[12]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 54.440 4.000 55.040 ;
+    END
+  END wb_dat_o[12]
+  PIN wb_dat_o[13]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 272.040 4.000 272.640 ;
+    END
+  END wb_dat_o[13]
+  PIN wb_dat_o[14]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 98.640 4.000 99.240 ;
+    END
+  END wb_dat_o[14]
+  PIN wb_dat_o[15]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 0.090 0.000 0.370 4.000 ;
+    END
+  END wb_dat_o[15]
+  PIN wb_dat_o[16]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 154.650 0.000 154.930 4.000 ;
+    END
+  END wb_dat_o[16]
+  PIN wb_dat_o[17]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 282.240 4.000 282.840 ;
+    END
+  END wb_dat_o[17]
+  PIN wb_dat_o[18]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 51.610 296.000 51.890 300.000 ;
+    END
+  END wb_dat_o[18]
+  PIN wb_dat_o[19]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 128.890 296.000 129.170 300.000 ;
+    END
+  END wb_dat_o[19]
+  PIN wb_dat_o[1]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 227.840 4.000 228.440 ;
+    END
+  END wb_dat_o[1]
+  PIN wb_dat_o[20]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 119.040 4.000 119.640 ;
+    END
+  END wb_dat_o[20]
+  PIN wb_dat_o[21]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 6.530 0.000 6.810 4.000 ;
+    END
+  END wb_dat_o[21]
+  PIN wb_dat_o[22]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 164.310 296.000 164.590 300.000 ;
+    END
+  END wb_dat_o[22]
+  PIN wb_dat_o[23]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 112.790 296.000 113.070 300.000 ;
+    END
+  END wb_dat_o[23]
+  PIN wb_dat_o[24]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 112.790 0.000 113.070 4.000 ;
+    END
+  END wb_dat_o[24]
+  PIN wb_dat_o[25]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 190.070 296.000 190.350 300.000 ;
+    END
+  END wb_dat_o[25]
+  PIN wb_dat_o[26]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 81.640 200.000 82.240 ;
+    END
+  END wb_dat_o[26]
+  PIN wb_dat_o[27]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 255.040 4.000 255.640 ;
+    END
+  END wb_dat_o[27]
+  PIN wb_dat_o[28]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 88.440 4.000 89.040 ;
+    END
+  END wb_dat_o[28]
+  PIN wb_dat_o[29]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 41.950 0.000 42.230 4.000 ;
+    END
+  END wb_dat_o[29]
+  PIN wb_dat_o[2]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 83.810 0.000 84.090 4.000 ;
+    END
+  END wb_dat_o[2]
+  PIN wb_dat_o[30]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 128.890 0.000 129.170 4.000 ;
+    END
+  END wb_dat_o[30]
+  PIN wb_dat_o[31]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 200.640 200.000 201.240 ;
+    END
+  END wb_dat_o[31]
+  PIN wb_dat_o[3]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 138.550 0.000 138.830 4.000 ;
+    END
+  END wb_dat_o[3]
+  PIN wb_dat_o[4]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 144.990 296.000 145.270 300.000 ;
+    END
+  END wb_dat_o[4]
+  PIN wb_dat_o[5]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 138.550 296.000 138.830 300.000 ;
+    END
+  END wb_dat_o[5]
+  PIN wb_dat_o[6]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 265.240 4.000 265.840 ;
+    END
+  END wb_dat_o[6]
+  PIN wb_dat_o[7]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 299.240 4.000 299.840 ;
+    END
+  END wb_dat_o[7]
+  PIN wb_dat_o[8]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 164.310 0.000 164.590 4.000 ;
+    END
+  END wb_dat_o[8]
+  PIN wb_dat_o[9]
+    DIRECTION OUTPUT TRISTATE ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 71.440 4.000 72.040 ;
+    END
+  END wb_dat_o[9]
+  PIN wb_stb_i
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 217.640 4.000 218.240 ;
+    END
+  END wb_stb_i
+  PIN wb_we_i
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 196.000 163.240 200.000 163.840 ;
+    END
+  END wb_we_i
+  OBS
+      LAYER li1 ;
+        RECT 5.520 10.795 194.120 288.405 ;
+      LAYER met1 ;
+        RECT 0.070 10.640 199.940 289.980 ;
+      LAYER met2 ;
+        RECT 0.100 295.720 6.250 299.725 ;
+        RECT 7.090 295.720 15.910 299.725 ;
+        RECT 16.750 295.720 25.570 299.725 ;
+        RECT 26.410 295.720 32.010 299.725 ;
+        RECT 32.850 295.720 41.670 299.725 ;
+        RECT 42.510 295.720 51.330 299.725 ;
+        RECT 52.170 295.720 57.770 299.725 ;
+        RECT 58.610 295.720 67.430 299.725 ;
+        RECT 68.270 295.720 77.090 299.725 ;
+        RECT 77.930 295.720 83.530 299.725 ;
+        RECT 84.370 295.720 93.190 299.725 ;
+        RECT 94.030 295.720 102.850 299.725 ;
+        RECT 103.690 295.720 112.510 299.725 ;
+        RECT 113.350 295.720 118.950 299.725 ;
+        RECT 119.790 295.720 128.610 299.725 ;
+        RECT 129.450 295.720 138.270 299.725 ;
+        RECT 139.110 295.720 144.710 299.725 ;
+        RECT 145.550 295.720 154.370 299.725 ;
+        RECT 155.210 295.720 164.030 299.725 ;
+        RECT 164.870 295.720 170.470 299.725 ;
+        RECT 171.310 295.720 180.130 299.725 ;
+        RECT 180.970 295.720 189.790 299.725 ;
+        RECT 190.630 295.720 196.230 299.725 ;
+        RECT 197.070 295.720 199.940 299.725 ;
+        RECT 0.100 4.280 199.940 295.720 ;
+        RECT 0.650 0.155 6.250 4.280 ;
+        RECT 7.090 0.155 15.910 4.280 ;
+        RECT 16.750 0.155 25.570 4.280 ;
+        RECT 26.410 0.155 32.010 4.280 ;
+        RECT 32.850 0.155 41.670 4.280 ;
+        RECT 42.510 0.155 51.330 4.280 ;
+        RECT 52.170 0.155 57.770 4.280 ;
+        RECT 58.610 0.155 67.430 4.280 ;
+        RECT 68.270 0.155 77.090 4.280 ;
+        RECT 77.930 0.155 83.530 4.280 ;
+        RECT 84.370 0.155 93.190 4.280 ;
+        RECT 94.030 0.155 102.850 4.280 ;
+        RECT 103.690 0.155 112.510 4.280 ;
+        RECT 113.350 0.155 118.950 4.280 ;
+        RECT 119.790 0.155 128.610 4.280 ;
+        RECT 129.450 0.155 138.270 4.280 ;
+        RECT 139.110 0.155 144.710 4.280 ;
+        RECT 145.550 0.155 154.370 4.280 ;
+        RECT 155.210 0.155 164.030 4.280 ;
+        RECT 164.870 0.155 170.470 4.280 ;
+        RECT 171.310 0.155 180.130 4.280 ;
+        RECT 180.970 0.155 189.790 4.280 ;
+        RECT 190.630 0.155 199.940 4.280 ;
+      LAYER met3 ;
+        RECT 4.400 298.840 199.575 299.705 ;
+        RECT 4.000 293.440 199.575 298.840 ;
+        RECT 4.400 292.040 195.600 293.440 ;
+        RECT 4.000 283.240 199.575 292.040 ;
+        RECT 4.400 281.840 195.600 283.240 ;
+        RECT 4.000 273.040 199.575 281.840 ;
+        RECT 4.400 271.640 195.600 273.040 ;
+        RECT 4.000 266.240 199.575 271.640 ;
+        RECT 4.400 264.840 195.600 266.240 ;
+        RECT 4.000 256.040 199.575 264.840 ;
+        RECT 4.400 254.640 195.600 256.040 ;
+        RECT 4.000 245.840 199.575 254.640 ;
+        RECT 4.400 244.440 195.600 245.840 ;
+        RECT 4.000 239.040 199.575 244.440 ;
+        RECT 4.400 237.640 195.600 239.040 ;
+        RECT 4.000 228.840 199.575 237.640 ;
+        RECT 4.400 227.440 195.600 228.840 ;
+        RECT 4.000 218.640 199.575 227.440 ;
+        RECT 4.400 217.240 195.600 218.640 ;
+        RECT 4.000 211.840 199.575 217.240 ;
+        RECT 4.400 210.440 195.600 211.840 ;
+        RECT 4.000 201.640 199.575 210.440 ;
+        RECT 4.400 200.240 195.600 201.640 ;
+        RECT 4.000 191.440 199.575 200.240 ;
+        RECT 4.400 190.040 195.600 191.440 ;
+        RECT 4.000 181.240 199.575 190.040 ;
+        RECT 4.400 179.840 195.600 181.240 ;
+        RECT 4.000 174.440 199.575 179.840 ;
+        RECT 4.400 173.040 195.600 174.440 ;
+        RECT 4.000 164.240 199.575 173.040 ;
+        RECT 4.400 162.840 195.600 164.240 ;
+        RECT 4.000 154.040 199.575 162.840 ;
+        RECT 4.400 152.640 195.600 154.040 ;
+        RECT 4.000 147.240 199.575 152.640 ;
+        RECT 4.400 145.840 195.600 147.240 ;
+        RECT 4.000 137.040 199.575 145.840 ;
+        RECT 4.400 135.640 195.600 137.040 ;
+        RECT 4.000 126.840 199.575 135.640 ;
+        RECT 4.400 125.440 195.600 126.840 ;
+        RECT 4.000 120.040 199.575 125.440 ;
+        RECT 4.400 118.640 195.600 120.040 ;
+        RECT 4.000 109.840 199.575 118.640 ;
+        RECT 4.400 108.440 195.600 109.840 ;
+        RECT 4.000 99.640 199.575 108.440 ;
+        RECT 4.400 98.240 195.600 99.640 ;
+        RECT 4.000 89.440 199.575 98.240 ;
+        RECT 4.400 88.040 195.600 89.440 ;
+        RECT 4.000 82.640 199.575 88.040 ;
+        RECT 4.400 81.240 195.600 82.640 ;
+        RECT 4.000 72.440 199.575 81.240 ;
+        RECT 4.400 71.040 195.600 72.440 ;
+        RECT 4.000 62.240 199.575 71.040 ;
+        RECT 4.400 60.840 195.600 62.240 ;
+        RECT 4.000 55.440 199.575 60.840 ;
+        RECT 4.400 54.040 195.600 55.440 ;
+        RECT 4.000 45.240 199.575 54.040 ;
+        RECT 4.400 43.840 195.600 45.240 ;
+        RECT 4.000 35.040 199.575 43.840 ;
+        RECT 4.400 33.640 195.600 35.040 ;
+        RECT 4.000 28.240 199.575 33.640 ;
+        RECT 4.400 26.840 195.600 28.240 ;
+        RECT 4.000 18.040 199.575 26.840 ;
+        RECT 4.400 16.640 195.600 18.040 ;
+        RECT 4.000 7.840 199.575 16.640 ;
+        RECT 4.400 6.440 195.600 7.840 ;
+        RECT 4.000 1.040 199.575 6.440 ;
+        RECT 4.000 0.175 195.600 1.040 ;
+      LAYER met4 ;
+        RECT 19.615 17.175 20.640 278.625 ;
+        RECT 23.040 17.175 97.440 278.625 ;
+        RECT 99.840 17.175 174.240 278.625 ;
+        RECT 176.640 17.175 198.425 278.625 ;
+  END
+END trng_wb_wrapper
+END LIBRARY
+
diff --git a/openlane/trng_wb_wrapper/config.tcl b/openlane/trng_wb_wrapper/config.tcl
index 5632c51..6d4c124 100644
--- a/openlane/trng_wb_wrapper/config.tcl
+++ b/openlane/trng_wb_wrapper/config.tcl
@@ -51,10 +51,10 @@
 set ::env(DESIGN_IS_CORE) 0
 
 set ::env(FP_SIZING) absolute
-set ::env(DIE_AREA) "0 0 900 1200"
+set ::env(DIE_AREA) "0 0 200 300"
 
 set ::env(PL_BASIC_PLACEMENT) 1
-set ::env(PL_TARGET_DENSITY) 0.40
+set ::env(PL_TARGET_DENSITY) 0.50
 
 set ::env(VDD_PIN) [list {vccd1}]
 set ::env(GND_PIN) [list {vssd1}]
diff --git a/verilog/rtl/trng/ringosc_macro.v b/verilog/rtl/trng/ringosc_macro.v
index ddb235f..af9e2bb 100644
--- a/verilog/rtl/trng/ringosc_macro.v
+++ b/verilog/rtl/trng/ringosc_macro.v
@@ -26,15 +26,15 @@
     output trng_o
 );
 
-wire [2:0] clockp, clockn;
-wire [2:0] temp;
-wire [2:0] entropy_next;
-reg  [2:0] entropy_reg;
+wire [1:0] clockp, clockn;
+wire [1:0] temp;
+wire [1:0] entropy_next;
+reg  [1:0] entropy_reg;
 wire trng_o;
 
 genvar i;
 generate
-    for (i = 0; i < 3; i = i + 1) begin : rings
+    for (i = 0; i < 2; i = i + 1) begin : rings
         ring_osc2x13 trng_ring_fast (
             .reset(rst_i),
             .trim(trim_fast),
@@ -59,6 +59,6 @@
     end
 endgenerate
 
-assign trng_o = entropy_reg[0] ^ entropy_reg[1] ^ entropy_reg[2];
+assign trng_o = entropy_reg[0] ^ entropy_reg[1];
 
 endmodule
diff --git a/verilog/rtl/trng/trng_wb_wrapper.v b/verilog/rtl/trng/trng_wb_wrapper.v
index 64edbf7..996bf7e 100644
--- a/verilog/rtl/trng/trng_wb_wrapper.v
+++ b/verilog/rtl/trng/trng_wb_wrapper.v
@@ -71,71 +71,25 @@
 begin
     if (rst_i == 1'b1) begin
         trim_slow <= 26'b11111111111111111111111111;
-        trim_fast <= 26'b00000000000000000000000000;
+        trim_fast <= 26'b00000000000000000000000001;
     end
     else begin
         if (trim_write_en) begin
             if(trim_select)
-                case(wb_dat_i[4:0])
-                    5'b00000: trim_fast = 26'b00000000000000000000000000;
-                    5'b00001: trim_fast = 26'b00000000000000000000000001;
-                    5'b00010: trim_fast = 26'b00000000000000000000000011;
-                    5'b00011: trim_fast = 26'b00000000000000000000000111;
-                    5'b00100: trim_fast = 26'b00000000000000000000001111;
-                    5'b00101: trim_fast = 26'b00000000000000000000011111;
-                    5'b00110: trim_fast = 26'b00000000000000000000111111;
-                    5'b00111: trim_fast = 26'b00000000000000000001111111;
-                    5'b01000: trim_fast = 26'b00000000000000000011111111;
-                    5'b01001: trim_fast = 26'b00000000000000000111111111;
-                    5'b01010: trim_fast = 26'b00000000000000001111111111;
-                    5'b01011: trim_fast = 26'b00000000000000011111111111;
-                    5'b01100: trim_fast = 26'b00000000000000111111111111;
-                    5'b01101: trim_fast = 26'b00000000000001111111111111;
-                    5'b01110: trim_fast = 26'b00000000000011111111111111;
-                    5'b01111: trim_fast = 26'b00000000000111111111111111;
-                    5'b10000: trim_fast = 26'b00000000001111111111111111;
-                    5'b10001: trim_fast = 26'b00000000011111111111111111;
-                    5'b10010: trim_fast = 26'b00000000111111111111111111;
-                    5'b10011: trim_fast = 26'b00000001111111111111111111;
-                    5'b10100: trim_fast = 26'b00000011111111111111111111;
-                    5'b10101: trim_fast = 26'b00000111111111111111111111;
-                    5'b10110: trim_fast = 26'b00001111111111111111111111;
-                    5'b10111: trim_fast = 26'b00011111111111111111111111;
-                    5'b11000: trim_fast = 26'b00111111111111111111111111;
-                    5'b11001: trim_fast = 26'b01111111111111111111111111;
-                    5'b11010: trim_fast = 26'b11111111111111111111111111;
-                    default:  trim_fast = 26'b11111111111111111111111111;
+                case(wb_dat_i[1:0])
+                    2'b00: trim_fast = 26'b00000000000000000000000001;
+                    2'b01: trim_fast = 26'b00000000000000000011111111;
+                    2'b10: trim_fast = 26'b00000000111111111111111111;
+                    2'b11: trim_fast = 26'b11111111111111111111111111;
+                    default: trim_fast = 26'b00000000000000000000000001;
                 endcase
             else begin
-                case(wb_adr_i[4:0])
-                    5'b00000: trim_slow = 26'b00000000000000000000000000;
-                    5'b00001: trim_slow = 26'b00000000000000000000000001;
-                    5'b00010: trim_slow = 26'b00000000000000000000000011;
-                    5'b00011: trim_slow = 26'b00000000000000000000000111;
-                    5'b00100: trim_slow = 26'b00000000000000000000001111;
-                    5'b00101: trim_slow = 26'b00000000000000000000011111;
-                    5'b00110: trim_slow = 26'b00000000000000000000111111;
-                    5'b00111: trim_slow = 26'b00000000000000000001111111;
-                    5'b01000: trim_slow = 26'b00000000000000000011111111;
-                    5'b01001: trim_slow = 26'b00000000000000000111111111;
-                    5'b01010: trim_slow = 26'b00000000000000001111111111;
-                    5'b01011: trim_slow = 26'b00000000000000011111111111;
-                    5'b01100: trim_slow = 26'b00000000000000111111111111;
-                    5'b01101: trim_slow = 26'b00000000000001111111111111;
-                    5'b01110: trim_slow = 26'b00000000000011111111111111;
-                    5'b01111: trim_slow = 26'b00000000000111111111111111;
-                    5'b10000: trim_slow = 26'b00000000001111111111111111;
-                    5'b10001: trim_slow = 26'b00000000011111111111111111;
-                    5'b10010: trim_slow = 26'b00000000111111111111111111;
-                    5'b10011: trim_slow = 26'b00000001111111111111111111;
-                    5'b10100: trim_slow = 26'b00000011111111111111111111;
-                    5'b10101: trim_slow = 26'b00000111111111111111111111;
-                    5'b10110: trim_slow = 26'b00001111111111111111111111;
-                    5'b10111: trim_slow = 26'b00011111111111111111111111;
-                    5'b11000: trim_slow = 26'b00111111111111111111111111;
-                    5'b11001: trim_slow = 26'b01111111111111111111111111;
-                    5'b11010: trim_slow = 26'b11111111111111111111111111;
-                    default:  trim_slow = 26'b00000000000000000000000000;
+                case(wb_adr_i[1:0])
+                    2'b00: trim_fast = 26'b00000000000000000000000001;
+                    2'b01: trim_fast = 26'b00000000000000000011111111;
+                    2'b10: trim_fast = 26'b00000000111111111111111111;
+                    2'b11: trim_fast = 26'b11111111111111111111111111;
+                    default:  trim_slow = 26'b11111111111111111111111111;
                 endcase
             end
         end
@@ -148,7 +102,7 @@
         wb_ack_o     <= 1'b0;
         trng_valid_o <= 1'b0;
         trng_counter <= 'h0;
-        trng_buffer_o  <= 'h0;
+        trng_buffer_o <= 'h0;
     end
     else begin
         // TRNG signalization