blob: 3c3bb7e43e1b31810666cfaadf0e1bf527662288 [file] [log] [blame]
VERSION 5.7 ;
NOWIREEXTENSIONATPIN ON ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
MACRO user_proj_example
CLASS BLOCK ;
FOREIGN user_proj_example ;
ORIGIN 0.000 0.000 ;
SIZE 800.000 BY 1600.000 ;
PIN io_in[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1.470 1596.000 1.750 1600.000 ;
END
END io_in[0]
PIN io_in[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 97.150 1596.000 97.430 1600.000 ;
END
END io_in[10]
PIN io_in[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 106.810 1596.000 107.090 1600.000 ;
END
END io_in[11]
PIN io_in[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 116.470 1596.000 116.750 1600.000 ;
END
END io_in[12]
PIN io_in[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 126.130 1596.000 126.410 1600.000 ;
END
END io_in[13]
PIN io_in[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 135.790 1596.000 136.070 1600.000 ;
END
END io_in[14]
PIN io_in[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 145.450 1596.000 145.730 1600.000 ;
END
END io_in[15]
PIN io_in[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 154.650 1596.000 154.930 1600.000 ;
END
END io_in[16]
PIN io_in[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 164.310 1596.000 164.590 1600.000 ;
END
END io_in[17]
PIN io_in[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 173.970 1596.000 174.250 1600.000 ;
END
END io_in[18]
PIN io_in[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 183.630 1596.000 183.910 1600.000 ;
END
END io_in[19]
PIN io_in[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 10.670 1596.000 10.950 1600.000 ;
END
END io_in[1]
PIN io_in[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 193.290 1596.000 193.570 1600.000 ;
END
END io_in[20]
PIN io_in[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 202.950 1596.000 203.230 1600.000 ;
END
END io_in[21]
PIN io_in[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 212.610 1596.000 212.890 1600.000 ;
END
END io_in[22]
PIN io_in[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 221.810 1596.000 222.090 1600.000 ;
END
END io_in[23]
PIN io_in[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 231.470 1596.000 231.750 1600.000 ;
END
END io_in[24]
PIN io_in[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 241.130 1596.000 241.410 1600.000 ;
END
END io_in[25]
PIN io_in[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 250.790 1596.000 251.070 1600.000 ;
END
END io_in[26]
PIN io_in[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 260.450 1596.000 260.730 1600.000 ;
END
END io_in[27]
PIN io_in[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 270.110 1596.000 270.390 1600.000 ;
END
END io_in[28]
PIN io_in[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 279.770 1596.000 280.050 1600.000 ;
END
END io_in[29]
PIN io_in[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 20.330 1596.000 20.610 1600.000 ;
END
END io_in[2]
PIN io_in[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 289.430 1596.000 289.710 1600.000 ;
END
END io_in[30]
PIN io_in[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 298.630 1596.000 298.910 1600.000 ;
END
END io_in[31]
PIN io_in[32]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 308.290 1596.000 308.570 1600.000 ;
END
END io_in[32]
PIN io_in[33]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 317.950 1596.000 318.230 1600.000 ;
END
END io_in[33]
PIN io_in[34]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 327.610 1596.000 327.890 1600.000 ;
END
END io_in[34]
PIN io_in[35]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 337.270 1596.000 337.550 1600.000 ;
END
END io_in[35]
PIN io_in[36]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 346.930 1596.000 347.210 1600.000 ;
END
END io_in[36]
PIN io_in[37]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 356.590 1596.000 356.870 1600.000 ;
END
END io_in[37]
PIN io_in[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 29.990 1596.000 30.270 1600.000 ;
END
END io_in[3]
PIN io_in[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 39.650 1596.000 39.930 1600.000 ;
END
END io_in[4]
PIN io_in[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 49.310 1596.000 49.590 1600.000 ;
END
END io_in[5]
PIN io_in[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 58.970 1596.000 59.250 1600.000 ;
END
END io_in[6]
PIN io_in[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 68.630 1596.000 68.910 1600.000 ;
END
END io_in[7]
PIN io_in[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 77.830 1596.000 78.110 1600.000 ;
END
END io_in[8]
PIN io_in[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 87.490 1596.000 87.770 1600.000 ;
END
END io_in[9]
PIN io_oeb[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 4.230 1596.000 4.510 1600.000 ;
END
END io_oeb[0]
PIN io_oeb[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 100.370 1596.000 100.650 1600.000 ;
END
END io_oeb[10]
PIN io_oeb[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 110.030 1596.000 110.310 1600.000 ;
END
END io_oeb[11]
PIN io_oeb[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 119.690 1596.000 119.970 1600.000 ;
END
END io_oeb[12]
PIN io_oeb[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 129.350 1596.000 129.630 1600.000 ;
END
END io_oeb[13]
PIN io_oeb[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 139.010 1596.000 139.290 1600.000 ;
END
END io_oeb[14]
PIN io_oeb[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 148.210 1596.000 148.490 1600.000 ;
END
END io_oeb[15]
PIN io_oeb[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 157.870 1596.000 158.150 1600.000 ;
END
END io_oeb[16]
PIN io_oeb[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 167.530 1596.000 167.810 1600.000 ;
END
END io_oeb[17]
PIN io_oeb[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 177.190 1596.000 177.470 1600.000 ;
END
END io_oeb[18]
PIN io_oeb[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 186.850 1596.000 187.130 1600.000 ;
END
END io_oeb[19]
PIN io_oeb[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 13.890 1596.000 14.170 1600.000 ;
END
END io_oeb[1]
PIN io_oeb[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 196.510 1596.000 196.790 1600.000 ;
END
END io_oeb[20]
PIN io_oeb[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 206.170 1596.000 206.450 1600.000 ;
END
END io_oeb[21]
PIN io_oeb[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 215.830 1596.000 216.110 1600.000 ;
END
END io_oeb[22]
PIN io_oeb[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 225.030 1596.000 225.310 1600.000 ;
END
END io_oeb[23]
PIN io_oeb[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 234.690 1596.000 234.970 1600.000 ;
END
END io_oeb[24]
PIN io_oeb[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 244.350 1596.000 244.630 1600.000 ;
END
END io_oeb[25]
PIN io_oeb[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 254.010 1596.000 254.290 1600.000 ;
END
END io_oeb[26]
PIN io_oeb[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 263.670 1596.000 263.950 1600.000 ;
END
END io_oeb[27]
PIN io_oeb[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 273.330 1596.000 273.610 1600.000 ;
END
END io_oeb[28]
PIN io_oeb[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 282.990 1596.000 283.270 1600.000 ;
END
END io_oeb[29]
PIN io_oeb[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 23.550 1596.000 23.830 1600.000 ;
END
END io_oeb[2]
PIN io_oeb[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 292.190 1596.000 292.470 1600.000 ;
END
END io_oeb[30]
PIN io_oeb[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 301.850 1596.000 302.130 1600.000 ;
END
END io_oeb[31]
PIN io_oeb[32]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 311.510 1596.000 311.790 1600.000 ;
END
END io_oeb[32]
PIN io_oeb[33]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 321.170 1596.000 321.450 1600.000 ;
END
END io_oeb[33]
PIN io_oeb[34]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 330.830 1596.000 331.110 1600.000 ;
END
END io_oeb[34]
PIN io_oeb[35]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 340.490 1596.000 340.770 1600.000 ;
END
END io_oeb[35]
PIN io_oeb[36]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 350.150 1596.000 350.430 1600.000 ;
END
END io_oeb[36]
PIN io_oeb[37]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 359.810 1596.000 360.090 1600.000 ;
END
END io_oeb[37]
PIN io_oeb[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 33.210 1596.000 33.490 1600.000 ;
END
END io_oeb[3]
PIN io_oeb[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 42.870 1596.000 43.150 1600.000 ;
END
END io_oeb[4]
PIN io_oeb[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 52.530 1596.000 52.810 1600.000 ;
END
END io_oeb[5]
PIN io_oeb[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 62.190 1596.000 62.470 1600.000 ;
END
END io_oeb[6]
PIN io_oeb[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 71.850 1596.000 72.130 1600.000 ;
END
END io_oeb[7]
PIN io_oeb[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 81.050 1596.000 81.330 1600.000 ;
END
END io_oeb[8]
PIN io_oeb[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 90.710 1596.000 90.990 1600.000 ;
END
END io_oeb[9]
PIN io_out[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 7.450 1596.000 7.730 1600.000 ;
END
END io_out[0]
PIN io_out[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 103.590 1596.000 103.870 1600.000 ;
END
END io_out[10]
PIN io_out[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 113.250 1596.000 113.530 1600.000 ;
END
END io_out[11]
PIN io_out[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 122.910 1596.000 123.190 1600.000 ;
END
END io_out[12]
PIN io_out[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 132.570 1596.000 132.850 1600.000 ;
END
END io_out[13]
PIN io_out[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 142.230 1596.000 142.510 1600.000 ;
END
END io_out[14]
PIN io_out[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 151.430 1596.000 151.710 1600.000 ;
END
END io_out[15]
PIN io_out[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 161.090 1596.000 161.370 1600.000 ;
END
END io_out[16]
PIN io_out[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 170.750 1596.000 171.030 1600.000 ;
END
END io_out[17]
PIN io_out[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 180.410 1596.000 180.690 1600.000 ;
END
END io_out[18]
PIN io_out[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 190.070 1596.000 190.350 1600.000 ;
END
END io_out[19]
PIN io_out[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 17.110 1596.000 17.390 1600.000 ;
END
END io_out[1]
PIN io_out[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 199.730 1596.000 200.010 1600.000 ;
END
END io_out[20]
PIN io_out[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 209.390 1596.000 209.670 1600.000 ;
END
END io_out[21]
PIN io_out[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 219.050 1596.000 219.330 1600.000 ;
END
END io_out[22]
PIN io_out[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 228.250 1596.000 228.530 1600.000 ;
END
END io_out[23]
PIN io_out[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 237.910 1596.000 238.190 1600.000 ;
END
END io_out[24]
PIN io_out[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 247.570 1596.000 247.850 1600.000 ;
END
END io_out[25]
PIN io_out[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 257.230 1596.000 257.510 1600.000 ;
END
END io_out[26]
PIN io_out[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 266.890 1596.000 267.170 1600.000 ;
END
END io_out[27]
PIN io_out[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 276.550 1596.000 276.830 1600.000 ;
END
END io_out[28]
PIN io_out[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 286.210 1596.000 286.490 1600.000 ;
END
END io_out[29]
PIN io_out[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 26.770 1596.000 27.050 1600.000 ;
END
END io_out[2]
PIN io_out[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 295.410 1596.000 295.690 1600.000 ;
END
END io_out[30]
PIN io_out[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 305.070 1596.000 305.350 1600.000 ;
END
END io_out[31]
PIN io_out[32]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 314.730 1596.000 315.010 1600.000 ;
END
END io_out[32]
PIN io_out[33]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 324.390 1596.000 324.670 1600.000 ;
END
END io_out[33]
PIN io_out[34]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 334.050 1596.000 334.330 1600.000 ;
END
END io_out[34]
PIN io_out[35]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 343.710 1596.000 343.990 1600.000 ;
END
END io_out[35]
PIN io_out[36]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 353.370 1596.000 353.650 1600.000 ;
END
END io_out[36]
PIN io_out[37]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 363.030 1596.000 363.310 1600.000 ;
END
END io_out[37]
PIN io_out[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 36.430 1596.000 36.710 1600.000 ;
END
END io_out[3]
PIN io_out[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 46.090 1596.000 46.370 1600.000 ;
END
END io_out[4]
PIN io_out[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 55.750 1596.000 56.030 1600.000 ;
END
END io_out[5]
PIN io_out[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 65.410 1596.000 65.690 1600.000 ;
END
END io_out[6]
PIN io_out[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 74.610 1596.000 74.890 1600.000 ;
END
END io_out[7]
PIN io_out[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 84.270 1596.000 84.550 1600.000 ;
END
END io_out[8]
PIN io_out[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 93.930 1596.000 94.210 1600.000 ;
END
END io_out[9]
PIN irq[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 415.470 0.000 415.750 4.000 ;
END
END irq[0]
PIN irq[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 419.150 0.000 419.430 4.000 ;
END
END irq[1]
PIN irq[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 423.290 0.000 423.570 4.000 ;
END
END irq[2]
PIN la_data_in[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 6.160 800.000 6.760 ;
END
END la_data_in[0]
PIN la_data_in[100]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1409.000 800.000 1409.600 ;
END
END la_data_in[100]
PIN la_data_in[101]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 695.610 1596.000 695.890 1600.000 ;
END
END la_data_in[101]
PIN la_data_in[102]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 727.350 0.000 727.630 4.000 ;
END
END la_data_in[102]
PIN la_data_in[103]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1417.840 4.000 1418.440 ;
END
END la_data_in[103]
PIN la_data_in[104]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 739.310 0.000 739.590 4.000 ;
END
END la_data_in[104]
PIN la_data_in[105]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1430.760 4.000 1431.360 ;
END
END la_data_in[105]
PIN la_data_in[106]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 747.130 0.000 747.410 4.000 ;
END
END la_data_in[106]
PIN la_data_in[107]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1448.440 800.000 1449.040 ;
END
END la_data_in[107]
PIN la_data_in[108]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1474.960 800.000 1475.560 ;
END
END la_data_in[108]
PIN la_data_in[109]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 750.810 0.000 751.090 4.000 ;
END
END la_data_in[109]
PIN la_data_in[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 301.960 4.000 302.560 ;
END
END la_data_in[10]
PIN la_data_in[110]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 724.590 1596.000 724.870 1600.000 ;
END
END la_data_in[110]
PIN la_data_in[111]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1485.160 4.000 1485.760 ;
END
END la_data_in[111]
PIN la_data_in[112]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 730.570 1596.000 730.850 1600.000 ;
END
END la_data_in[112]
PIN la_data_in[113]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 740.230 1596.000 740.510 1600.000 ;
END
END la_data_in[113]
PIN la_data_in[114]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1500.800 800.000 1501.400 ;
END
END la_data_in[114]
PIN la_data_in[115]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 746.670 1596.000 746.950 1600.000 ;
END
END la_data_in[115]
PIN la_data_in[116]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 778.410 0.000 778.690 4.000 ;
END
END la_data_in[116]
PIN la_data_in[117]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1527.320 800.000 1527.920 ;
END
END la_data_in[117]
PIN la_data_in[118]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1553.160 800.000 1553.760 ;
END
END la_data_in[118]
PIN la_data_in[119]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 782.090 0.000 782.370 4.000 ;
END
END la_data_in[119]
PIN la_data_in[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 242.120 800.000 242.720 ;
END
END la_data_in[11]
PIN la_data_in[120]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1538.880 4.000 1539.480 ;
END
END la_data_in[120]
PIN la_data_in[121]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1551.800 4.000 1552.400 ;
END
END la_data_in[121]
PIN la_data_in[122]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 765.990 1596.000 766.270 1600.000 ;
END
END la_data_in[122]
PIN la_data_in[123]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1592.600 800.000 1593.200 ;
END
END la_data_in[123]
PIN la_data_in[124]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 794.050 0.000 794.330 4.000 ;
END
END la_data_in[124]
PIN la_data_in[125]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 782.090 1596.000 782.370 1600.000 ;
END
END la_data_in[125]
PIN la_data_in[126]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1579.000 4.000 1579.600 ;
END
END la_data_in[126]
PIN la_data_in[127]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1592.600 4.000 1593.200 ;
END
END la_data_in[127]
PIN la_data_in[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 489.530 0.000 489.810 4.000 ;
END
END la_data_in[12]
PIN la_data_in[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 497.350 0.000 497.630 4.000 ;
END
END la_data_in[13]
PIN la_data_in[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 307.400 800.000 308.000 ;
END
END la_data_in[14]
PIN la_data_in[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 346.840 800.000 347.440 ;
END
END la_data_in[15]
PIN la_data_in[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 373.360 800.000 373.960 ;
END
END la_data_in[16]
PIN la_data_in[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 409.400 4.000 410.000 ;
END
END la_data_in[17]
PIN la_data_in[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 520.810 0.000 521.090 4.000 ;
END
END la_data_in[18]
PIN la_data_in[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 399.200 800.000 399.800 ;
END
END la_data_in[19]
PIN la_data_in[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 32.680 4.000 33.280 ;
END
END la_data_in[1]
PIN la_data_in[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 412.120 800.000 412.720 ;
END
END la_data_in[20]
PIN la_data_in[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 536.450 0.000 536.730 4.000 ;
END
END la_data_in[21]
PIN la_data_in[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 451.560 800.000 452.160 ;
END
END la_data_in[22]
PIN la_data_in[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 476.720 4.000 477.320 ;
END
END la_data_in[23]
PIN la_data_in[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 547.950 0.000 548.230 4.000 ;
END
END la_data_in[24]
PIN la_data_in[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 530.440 4.000 531.040 ;
END
END la_data_in[25]
PIN la_data_in[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 497.350 1596.000 497.630 1600.000 ;
END
END la_data_in[26]
PIN la_data_in[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 575.090 0.000 575.370 4.000 ;
END
END la_data_in[27]
PIN la_data_in[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 543.360 800.000 543.960 ;
END
END la_data_in[28]
PIN la_data_in[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 584.160 4.000 584.760 ;
END
END la_data_in[29]
PIN la_data_in[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 86.400 4.000 87.000 ;
END
END la_data_in[2]
PIN la_data_in[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 609.320 800.000 609.920 ;
END
END la_data_in[30]
PIN la_data_in[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 651.480 4.000 652.080 ;
END
END la_data_in[31]
PIN la_data_in[32]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 678.000 4.000 678.600 ;
END
END la_data_in[32]
PIN la_data_in[33]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 691.600 4.000 692.200 ;
END
END la_data_in[33]
PIN la_data_in[34]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 516.210 1596.000 516.490 1600.000 ;
END
END la_data_in[34]
PIN la_data_in[35]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 701.120 800.000 701.720 ;
END
END la_data_in[35]
PIN la_data_in[36]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 718.120 4.000 718.720 ;
END
END la_data_in[36]
PIN la_data_in[37]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 740.560 800.000 741.160 ;
END
END la_data_in[37]
PIN la_data_in[38]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 745.320 4.000 745.920 ;
END
END la_data_in[38]
PIN la_data_in[39]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 594.870 0.000 595.150 4.000 ;
END
END la_data_in[39]
PIN la_data_in[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 84.360 800.000 84.960 ;
END
END la_data_in[3]
PIN la_data_in[40]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 519.430 1596.000 519.710 1600.000 ;
END
END la_data_in[40]
PIN la_data_in[41]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 772.520 4.000 773.120 ;
END
END la_data_in[41]
PIN la_data_in[42]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 792.920 800.000 793.520 ;
END
END la_data_in[42]
PIN la_data_in[43]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 818.760 800.000 819.360 ;
END
END la_data_in[43]
PIN la_data_in[44]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 610.510 0.000 610.790 4.000 ;
END
END la_data_in[44]
PIN la_data_in[45]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 845.280 800.000 845.880 ;
END
END la_data_in[45]
PIN la_data_in[46]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 826.240 4.000 826.840 ;
END
END la_data_in[46]
PIN la_data_in[47]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 535.530 1596.000 535.810 1600.000 ;
END
END la_data_in[47]
PIN la_data_in[48]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 622.010 0.000 622.290 4.000 ;
END
END la_data_in[48]
PIN la_data_in[49]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 545.190 1596.000 545.470 1600.000 ;
END
END la_data_in[49]
PIN la_data_in[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 140.120 4.000 140.720 ;
END
END la_data_in[4]
PIN la_data_in[50]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 626.150 0.000 626.430 4.000 ;
END
END la_data_in[50]
PIN la_data_in[51]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 884.720 800.000 885.320 ;
END
END la_data_in[51]
PIN la_data_in[52]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 633.970 0.000 634.250 4.000 ;
END
END la_data_in[52]
PIN la_data_in[53]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 924.160 800.000 924.760 ;
END
END la_data_in[53]
PIN la_data_in[54]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 937.080 800.000 937.680 ;
END
END la_data_in[54]
PIN la_data_in[55]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 561.290 1596.000 561.570 1600.000 ;
END
END la_data_in[55]
PIN la_data_in[56]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 950.000 800.000 950.600 ;
END
END la_data_in[56]
PIN la_data_in[57]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 641.790 0.000 642.070 4.000 ;
END
END la_data_in[57]
PIN la_data_in[58]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 976.520 800.000 977.120 ;
END
END la_data_in[58]
PIN la_data_in[59]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 653.290 0.000 653.570 4.000 ;
END
END la_data_in[59]
PIN la_data_in[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 397.990 1596.000 398.270 1600.000 ;
END
END la_data_in[5]
PIN la_data_in[60]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 989.440 800.000 990.040 ;
END
END la_data_in[60]
PIN la_data_in[61]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 933.680 4.000 934.280 ;
END
END la_data_in[61]
PIN la_data_in[62]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 586.590 1596.000 586.870 1600.000 ;
END
END la_data_in[62]
PIN la_data_in[63]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 657.430 0.000 657.710 4.000 ;
END
END la_data_in[63]
PIN la_data_in[64]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1028.880 800.000 1029.480 ;
END
END la_data_in[64]
PIN la_data_in[65]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 593.030 1596.000 593.310 1600.000 ;
END
END la_data_in[65]
PIN la_data_in[66]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 987.400 4.000 988.000 ;
END
END la_data_in[66]
PIN la_data_in[67]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1081.240 800.000 1081.840 ;
END
END la_data_in[67]
PIN la_data_in[68]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 599.470 1596.000 599.750 1600.000 ;
END
END la_data_in[68]
PIN la_data_in[69]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 602.690 1596.000 602.970 1600.000 ;
END
END la_data_in[69]
PIN la_data_in[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 163.240 800.000 163.840 ;
END
END la_data_in[6]
PIN la_data_in[70]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1041.120 4.000 1041.720 ;
END
END la_data_in[70]
PIN la_data_in[71]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1054.720 4.000 1055.320 ;
END
END la_data_in[71]
PIN la_data_in[72]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 673.070 0.000 673.350 4.000 ;
END
END la_data_in[72]
PIN la_data_in[73]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1068.320 4.000 1068.920 ;
END
END la_data_in[73]
PIN la_data_in[74]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1133.600 800.000 1134.200 ;
END
END la_data_in[74]
PIN la_data_in[75]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1146.520 800.000 1147.120 ;
END
END la_data_in[75]
PIN la_data_in[76]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 684.570 0.000 684.850 4.000 ;
END
END la_data_in[76]
PIN la_data_in[77]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1108.440 4.000 1109.040 ;
END
END la_data_in[77]
PIN la_data_in[78]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1122.040 4.000 1122.640 ;
END
END la_data_in[78]
PIN la_data_in[79]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 628.450 1596.000 628.730 1600.000 ;
END
END la_data_in[79]
PIN la_data_in[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 247.560 4.000 248.160 ;
END
END la_data_in[7]
PIN la_data_in[80]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 631.670 1596.000 631.950 1600.000 ;
END
END la_data_in[80]
PIN la_data_in[81]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1212.480 800.000 1213.080 ;
END
END la_data_in[81]
PIN la_data_in[82]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 638.110 1596.000 638.390 1600.000 ;
END
END la_data_in[82]
PIN la_data_in[83]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1215.880 4.000 1216.480 ;
END
END la_data_in[83]
PIN la_data_in[84]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 644.550 1596.000 644.830 1600.000 ;
END
END la_data_in[84]
PIN la_data_in[85]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1229.480 4.000 1230.080 ;
END
END la_data_in[85]
PIN la_data_in[86]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 650.990 1596.000 651.270 1600.000 ;
END
END la_data_in[86]
PIN la_data_in[87]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 654.210 1596.000 654.490 1600.000 ;
END
END la_data_in[87]
PIN la_data_in[88]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1277.760 800.000 1278.360 ;
END
END la_data_in[88]
PIN la_data_in[89]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 663.410 1596.000 663.690 1600.000 ;
END
END la_data_in[89]
PIN la_data_in[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 414.090 1596.000 414.370 1600.000 ;
END
END la_data_in[8]
PIN la_data_in[90]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1243.080 4.000 1243.680 ;
END
END la_data_in[90]
PIN la_data_in[91]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1256.000 4.000 1256.600 ;
END
END la_data_in[91]
PIN la_data_in[92]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1269.600 4.000 1270.200 ;
END
END la_data_in[92]
PIN la_data_in[93]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 673.070 1596.000 673.350 1600.000 ;
END
END la_data_in[93]
PIN la_data_in[94]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 676.290 1596.000 676.570 1600.000 ;
END
END la_data_in[94]
PIN la_data_in[95]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1350.520 4.000 1351.120 ;
END
END la_data_in[95]
PIN la_data_in[96]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1369.560 800.000 1370.160 ;
END
END la_data_in[96]
PIN la_data_in[97]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1396.080 800.000 1396.680 ;
END
END la_data_in[97]
PIN la_data_in[98]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 711.710 0.000 711.990 4.000 ;
END
END la_data_in[98]
PIN la_data_in[99]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 715.850 0.000 716.130 4.000 ;
END
END la_data_in[99]
PIN la_data_in[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 426.970 1596.000 427.250 1600.000 ;
END
END la_data_in[9]
PIN la_data_out[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 369.010 1596.000 369.290 1600.000 ;
END
END la_data_out[0]
PIN la_data_out[100]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1421.920 800.000 1422.520 ;
END
END la_data_out[100]
PIN la_data_out[101]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 723.670 0.000 723.950 4.000 ;
END
END la_data_out[101]
PIN la_data_out[102]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 702.050 1596.000 702.330 1600.000 ;
END
END la_data_out[102]
PIN la_data_out[103]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 731.490 0.000 731.770 4.000 ;
END
END la_data_out[103]
PIN la_data_out[104]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 705.270 1596.000 705.550 1600.000 ;
END
END la_data_out[104]
PIN la_data_out[105]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1444.360 4.000 1444.960 ;
END
END la_data_out[105]
PIN la_data_out[106]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1457.960 4.000 1458.560 ;
END
END la_data_out[106]
PIN la_data_out[107]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 708.490 1596.000 708.770 1600.000 ;
END
END la_data_out[107]
PIN la_data_out[108]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 711.710 1596.000 711.990 1600.000 ;
END
END la_data_out[108]
PIN la_data_out[109]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 718.150 1596.000 718.430 1600.000 ;
END
END la_data_out[109]
PIN la_data_out[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 430.190 1596.000 430.470 1600.000 ;
END
END la_data_out[10]
PIN la_data_out[110]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1487.880 800.000 1488.480 ;
END
END la_data_out[110]
PIN la_data_out[111]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 727.810 1596.000 728.090 1600.000 ;
END
END la_data_out[111]
PIN la_data_out[112]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 733.790 1596.000 734.070 1600.000 ;
END
END la_data_out[112]
PIN la_data_out[113]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 743.450 1596.000 743.730 1600.000 ;
END
END la_data_out[113]
PIN la_data_out[114]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 766.450 0.000 766.730 4.000 ;
END
END la_data_out[114]
PIN la_data_out[115]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 770.590 0.000 770.870 4.000 ;
END
END la_data_out[115]
PIN la_data_out[116]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1498.080 4.000 1498.680 ;
END
END la_data_out[116]
PIN la_data_out[117]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 749.890 1596.000 750.170 1600.000 ;
END
END la_data_out[117]
PIN la_data_out[118]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1566.760 800.000 1567.360 ;
END
END la_data_out[118]
PIN la_data_out[119]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 756.330 1596.000 756.610 1600.000 ;
END
END la_data_out[119]
PIN la_data_out[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 439.390 1596.000 439.670 1600.000 ;
END
END la_data_out[11]
PIN la_data_out[120]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 759.550 1596.000 759.830 1600.000 ;
END
END la_data_out[120]
PIN la_data_out[121]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 789.910 0.000 790.190 4.000 ;
END
END la_data_out[121]
PIN la_data_out[122]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 769.210 1596.000 769.490 1600.000 ;
END
END la_data_out[122]
PIN la_data_out[123]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 772.430 1596.000 772.710 1600.000 ;
END
END la_data_out[123]
PIN la_data_out[124]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 775.650 1596.000 775.930 1600.000 ;
END
END la_data_out[124]
PIN la_data_out[125]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 785.310 1596.000 785.590 1600.000 ;
END
END la_data_out[125]
PIN la_data_out[126]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 791.750 1596.000 792.030 1600.000 ;
END
END la_data_out[126]
PIN la_data_out[127]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 798.190 1596.000 798.470 1600.000 ;
END
END la_data_out[127]
PIN la_data_out[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 267.960 800.000 268.560 ;
END
END la_data_out[12]
PIN la_data_out[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 442.610 1596.000 442.890 1600.000 ;
END
END la_data_out[13]
PIN la_data_out[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 320.320 800.000 320.920 ;
END
END la_data_out[14]
PIN la_data_out[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 382.200 4.000 382.800 ;
END
END la_data_out[15]
PIN la_data_out[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 508.850 0.000 509.130 4.000 ;
END
END la_data_out[16]
PIN la_data_out[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 386.280 800.000 386.880 ;
END
END la_data_out[17]
PIN la_data_out[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 455.490 1596.000 455.770 1600.000 ;
END
END la_data_out[18]
PIN la_data_out[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 524.490 0.000 524.770 4.000 ;
END
END la_data_out[19]
PIN la_data_out[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 46.280 4.000 46.880 ;
END
END la_data_out[1]
PIN la_data_out[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 528.630 0.000 528.910 4.000 ;
END
END la_data_out[20]
PIN la_data_out[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 474.810 1596.000 475.090 1600.000 ;
END
END la_data_out[21]
PIN la_data_out[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 544.270 0.000 544.550 4.000 ;
END
END la_data_out[22]
PIN la_data_out[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 489.640 4.000 490.240 ;
END
END la_data_out[23]
PIN la_data_out[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 552.090 0.000 552.370 4.000 ;
END
END la_data_out[24]
PIN la_data_out[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 559.910 0.000 560.190 4.000 ;
END
END la_data_out[25]
PIN la_data_out[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 503.920 800.000 504.520 ;
END
END la_data_out[26]
PIN la_data_out[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 556.960 4.000 557.560 ;
END
END la_data_out[27]
PIN la_data_out[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 503.790 1596.000 504.070 1600.000 ;
END
END la_data_out[28]
PIN la_data_out[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 569.880 800.000 570.480 ;
END
END la_data_out[29]
PIN la_data_out[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 381.890 1596.000 382.170 1600.000 ;
END
END la_data_out[2]
PIN la_data_out[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 622.240 800.000 622.840 ;
END
END la_data_out[30]
PIN la_data_out[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 635.160 800.000 635.760 ;
END
END la_data_out[31]
PIN la_data_out[32]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 510.230 1596.000 510.510 1600.000 ;
END
END la_data_out[32]
PIN la_data_out[33]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 661.680 800.000 662.280 ;
END
END la_data_out[33]
PIN la_data_out[34]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 705.200 4.000 705.800 ;
END
END la_data_out[34]
PIN la_data_out[35]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 714.040 800.000 714.640 ;
END
END la_data_out[35]
PIN la_data_out[36]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 587.050 0.000 587.330 4.000 ;
END
END la_data_out[36]
PIN la_data_out[37]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 731.720 4.000 732.320 ;
END
END la_data_out[37]
PIN la_data_out[38]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 758.920 4.000 759.520 ;
END
END la_data_out[38]
PIN la_data_out[39]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 766.400 800.000 767.000 ;
END
END la_data_out[39]
PIN la_data_out[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 113.600 4.000 114.200 ;
END
END la_data_out[3]
PIN la_data_out[40]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 779.320 800.000 779.920 ;
END
END la_data_out[40]
PIN la_data_out[41]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 525.870 1596.000 526.150 1600.000 ;
END
END la_data_out[41]
PIN la_data_out[42]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 805.840 800.000 806.440 ;
END
END la_data_out[42]
PIN la_data_out[43]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 606.370 0.000 606.650 4.000 ;
END
END la_data_out[43]
PIN la_data_out[44]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 799.040 4.000 799.640 ;
END
END la_data_out[44]
PIN la_data_out[45]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 614.190 0.000 614.470 4.000 ;
END
END la_data_out[45]
PIN la_data_out[46]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 532.310 1596.000 532.590 1600.000 ;
END
END la_data_out[46]
PIN la_data_out[47]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 618.330 0.000 618.610 4.000 ;
END
END la_data_out[47]
PIN la_data_out[48]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 839.160 4.000 839.760 ;
END
END la_data_out[48]
PIN la_data_out[49]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 871.120 800.000 871.720 ;
END
END la_data_out[49]
PIN la_data_out[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 458.250 0.000 458.530 4.000 ;
END
END la_data_out[4]
PIN la_data_out[50]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 551.630 1596.000 551.910 1600.000 ;
END
END la_data_out[50]
PIN la_data_out[51]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 897.640 800.000 898.240 ;
END
END la_data_out[51]
PIN la_data_out[52]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 910.560 800.000 911.160 ;
END
END la_data_out[52]
PIN la_data_out[53]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 866.360 4.000 866.960 ;
END
END la_data_out[53]
PIN la_data_out[54]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 558.070 1596.000 558.350 1600.000 ;
END
END la_data_out[54]
PIN la_data_out[55]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 564.510 1596.000 564.790 1600.000 ;
END
END la_data_out[55]
PIN la_data_out[56]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 637.650 0.000 637.930 4.000 ;
END
END la_data_out[56]
PIN la_data_out[57]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 567.730 1596.000 568.010 1600.000 ;
END
END la_data_out[57]
PIN la_data_out[58]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 649.610 0.000 649.890 4.000 ;
END
END la_data_out[58]
PIN la_data_out[59]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 920.080 4.000 920.680 ;
END
END la_data_out[59]
PIN la_data_out[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 123.800 800.000 124.400 ;
END
END la_data_out[5]
PIN la_data_out[60]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 577.390 1596.000 577.670 1600.000 ;
END
END la_data_out[60]
PIN la_data_out[61]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 583.370 1596.000 583.650 1600.000 ;
END
END la_data_out[61]
PIN la_data_out[62]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 947.280 4.000 947.880 ;
END
END la_data_out[62]
PIN la_data_out[63]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 589.810 1596.000 590.090 1600.000 ;
END
END la_data_out[63]
PIN la_data_out[64]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 973.800 4.000 974.400 ;
END
END la_data_out[64]
PIN la_data_out[65]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1041.800 800.000 1042.400 ;
END
END la_data_out[65]
PIN la_data_out[66]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1001.000 4.000 1001.600 ;
END
END la_data_out[66]
PIN la_data_out[67]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1013.920 4.000 1014.520 ;
END
END la_data_out[67]
PIN la_data_out[68]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1094.160 800.000 1094.760 ;
END
END la_data_out[68]
PIN la_data_out[69]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1027.520 4.000 1028.120 ;
END
END la_data_out[69]
PIN la_data_out[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 176.160 800.000 176.760 ;
END
END la_data_out[6]
PIN la_data_out[70]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 609.130 1596.000 609.410 1600.000 ;
END
END la_data_out[70]
PIN la_data_out[71]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 668.930 0.000 669.210 4.000 ;
END
END la_data_out[71]
PIN la_data_out[72]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 676.750 0.000 677.030 4.000 ;
END
END la_data_out[72]
PIN la_data_out[73]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1120.680 800.000 1121.280 ;
END
END la_data_out[73]
PIN la_data_out[74]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 618.790 1596.000 619.070 1600.000 ;
END
END la_data_out[74]
PIN la_data_out[75]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 622.010 1596.000 622.290 1600.000 ;
END
END la_data_out[75]
PIN la_data_out[76]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1173.040 800.000 1173.640 ;
END
END la_data_out[76]
PIN la_data_out[77]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 625.230 1596.000 625.510 1600.000 ;
END
END la_data_out[77]
PIN la_data_out[78]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1134.960 4.000 1135.560 ;
END
END la_data_out[78]
PIN la_data_out[79]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1148.560 4.000 1149.160 ;
END
END la_data_out[79]
PIN la_data_out[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 473.890 0.000 474.170 4.000 ;
END
END la_data_out[7]
PIN la_data_out[80]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1199.560 800.000 1200.160 ;
END
END la_data_out[80]
PIN la_data_out[81]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1189.360 4.000 1189.960 ;
END
END la_data_out[81]
PIN la_data_out[82]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1202.280 4.000 1202.880 ;
END
END la_data_out[82]
PIN la_data_out[83]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 641.330 1596.000 641.610 1600.000 ;
END
END la_data_out[83]
PIN la_data_out[84]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 700.210 0.000 700.490 4.000 ;
END
END la_data_out[84]
PIN la_data_out[85]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 647.770 1596.000 648.050 1600.000 ;
END
END la_data_out[85]
PIN la_data_out[86]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1238.320 800.000 1238.920 ;
END
END la_data_out[86]
PIN la_data_out[87]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 656.970 1596.000 657.250 1600.000 ;
END
END la_data_out[87]
PIN la_data_out[88]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1291.360 800.000 1291.960 ;
END
END la_data_out[88]
PIN la_data_out[89]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 666.630 1596.000 666.910 1600.000 ;
END
END la_data_out[89]
PIN la_data_out[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 417.310 1596.000 417.590 1600.000 ;
END
END la_data_out[8]
PIN la_data_out[90]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1304.280 800.000 1304.880 ;
END
END la_data_out[90]
PIN la_data_out[91]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1317.200 800.000 1317.800 ;
END
END la_data_out[91]
PIN la_data_out[92]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1283.200 4.000 1283.800 ;
END
END la_data_out[92]
PIN la_data_out[93]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1343.720 800.000 1344.320 ;
END
END la_data_out[93]
PIN la_data_out[94]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1323.320 4.000 1323.920 ;
END
END la_data_out[94]
PIN la_data_out[95]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1356.640 800.000 1357.240 ;
END
END la_data_out[95]
PIN la_data_out[96]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 679.510 1596.000 679.790 1600.000 ;
END
END la_data_out[96]
PIN la_data_out[97]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 682.730 1596.000 683.010 1600.000 ;
END
END la_data_out[97]
PIN la_data_out[98]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1377.040 4.000 1377.640 ;
END
END la_data_out[98]
PIN la_data_out[99]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 689.170 1596.000 689.450 1600.000 ;
END
END la_data_out[99]
PIN la_data_out[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 274.760 4.000 275.360 ;
END
END la_data_out[9]
PIN la_oenb[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 434.790 0.000 435.070 4.000 ;
END
END la_oenb[0]
PIN la_oenb[100]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 692.390 1596.000 692.670 1600.000 ;
END
END la_oenb[100]
PIN la_oenb[101]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 698.830 1596.000 699.110 1600.000 ;
END
END la_oenb[101]
PIN la_oenb[102]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1404.240 4.000 1404.840 ;
END
END la_oenb[102]
PIN la_oenb[103]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 735.170 0.000 735.450 4.000 ;
END
END la_oenb[103]
PIN la_oenb[104]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1435.520 800.000 1436.120 ;
END
END la_oenb[104]
PIN la_oenb[105]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 742.990 0.000 743.270 4.000 ;
END
END la_oenb[105]
PIN la_oenb[106]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1471.560 4.000 1472.160 ;
END
END la_oenb[106]
PIN la_oenb[107]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1461.360 800.000 1461.960 ;
END
END la_oenb[107]
PIN la_oenb[108]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 714.930 1596.000 715.210 1600.000 ;
END
END la_oenb[108]
PIN la_oenb[109]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 721.370 1596.000 721.650 1600.000 ;
END
END la_oenb[109]
PIN la_oenb[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 314.880 4.000 315.480 ;
END
END la_oenb[10]
PIN la_oenb[110]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 754.950 0.000 755.230 4.000 ;
END
END la_oenb[110]
PIN la_oenb[111]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 758.630 0.000 758.910 4.000 ;
END
END la_oenb[111]
PIN la_oenb[112]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 737.010 1596.000 737.290 1600.000 ;
END
END la_oenb[112]
PIN la_oenb[113]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 762.770 0.000 763.050 4.000 ;
END
END la_oenb[113]
PIN la_oenb[114]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1513.720 800.000 1514.320 ;
END
END la_oenb[114]
PIN la_oenb[115]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 774.270 0.000 774.550 4.000 ;
END
END la_oenb[115]
PIN la_oenb[116]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1511.680 4.000 1512.280 ;
END
END la_oenb[116]
PIN la_oenb[117]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1540.240 800.000 1540.840 ;
END
END la_oenb[117]
PIN la_oenb[118]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 753.110 1596.000 753.390 1600.000 ;
END
END la_oenb[118]
PIN la_oenb[119]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1525.280 4.000 1525.880 ;
END
END la_oenb[119]
PIN la_oenb[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 255.040 800.000 255.640 ;
END
END la_oenb[11]
PIN la_oenb[120]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 786.230 0.000 786.510 4.000 ;
END
END la_oenb[120]
PIN la_oenb[121]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 762.770 1596.000 763.050 1600.000 ;
END
END la_oenb[121]
PIN la_oenb[122]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1579.680 800.000 1580.280 ;
END
END la_oenb[122]
PIN la_oenb[123]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1565.400 4.000 1566.000 ;
END
END la_oenb[123]
PIN la_oenb[124]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 778.870 1596.000 779.150 1600.000 ;
END
END la_oenb[124]
PIN la_oenb[125]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 788.530 1596.000 788.810 1600.000 ;
END
END la_oenb[125]
PIN la_oenb[126]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 794.970 1596.000 795.250 1600.000 ;
END
END la_oenb[126]
PIN la_oenb[127]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 797.730 0.000 798.010 4.000 ;
END
END la_oenb[127]
PIN la_oenb[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 281.560 800.000 282.160 ;
END
END la_oenb[12]
PIN la_oenb[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 294.480 800.000 295.080 ;
END
END la_oenb[13]
PIN la_oenb[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 333.920 800.000 334.520 ;
END
END la_oenb[14]
PIN la_oenb[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 449.050 1596.000 449.330 1600.000 ;
END
END la_oenb[15]
PIN la_oenb[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 395.800 4.000 396.400 ;
END
END la_oenb[16]
PIN la_oenb[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 422.320 4.000 422.920 ;
END
END la_oenb[17]
PIN la_oenb[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 449.520 4.000 450.120 ;
END
END la_oenb[18]
PIN la_oenb[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 461.930 1596.000 462.210 1600.000 ;
END
END la_oenb[19]
PIN la_oenb[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 32.000 800.000 32.600 ;
END
END la_oenb[1]
PIN la_oenb[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 471.590 1596.000 471.870 1600.000 ;
END
END la_oenb[20]
PIN la_oenb[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 478.030 1596.000 478.310 1600.000 ;
END
END la_oenb[21]
PIN la_oenb[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 465.160 800.000 465.760 ;
END
END la_oenb[22]
PIN la_oenb[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 484.470 1596.000 484.750 1600.000 ;
END
END la_oenb[23]
PIN la_oenb[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 516.840 4.000 517.440 ;
END
END la_oenb[24]
PIN la_oenb[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 490.910 1596.000 491.190 1600.000 ;
END
END la_oenb[25]
PIN la_oenb[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 543.360 4.000 543.960 ;
END
END la_oenb[26]
PIN la_oenb[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 500.570 1596.000 500.850 1600.000 ;
END
END la_oenb[27]
PIN la_oenb[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 556.960 800.000 557.560 ;
END
END la_oenb[28]
PIN la_oenb[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 582.800 800.000 583.400 ;
END
END la_oenb[29]
PIN la_oenb[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 442.610 0.000 442.890 4.000 ;
END
END la_oenb[2]
PIN la_oenb[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 610.680 4.000 611.280 ;
END
END la_oenb[30]
PIN la_oenb[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 648.760 800.000 649.360 ;
END
END la_oenb[31]
PIN la_oenb[32]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 512.990 1596.000 513.270 1600.000 ;
END
END la_oenb[32]
PIN la_oenb[33]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 674.600 800.000 675.200 ;
END
END la_oenb[33]
PIN la_oenb[34]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 687.520 800.000 688.120 ;
END
END la_oenb[34]
PIN la_oenb[35]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 582.910 0.000 583.190 4.000 ;
END
END la_oenb[35]
PIN la_oenb[36]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 726.960 800.000 727.560 ;
END
END la_oenb[36]
PIN la_oenb[37]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 753.480 800.000 754.080 ;
END
END la_oenb[37]
PIN la_oenb[38]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 590.730 0.000 591.010 4.000 ;
END
END la_oenb[38]
PIN la_oenb[39]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 598.550 0.000 598.830 4.000 ;
END
END la_oenb[39]
PIN la_oenb[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 126.520 4.000 127.120 ;
END
END la_oenb[3]
PIN la_oenb[40]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 522.650 1596.000 522.930 1600.000 ;
END
END la_oenb[40]
PIN la_oenb[41]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 602.690 0.000 602.970 4.000 ;
END
END la_oenb[41]
PIN la_oenb[42]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 785.440 4.000 786.040 ;
END
END la_oenb[42]
PIN la_oenb[43]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 832.360 800.000 832.960 ;
END
END la_oenb[43]
PIN la_oenb[44]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 812.640 4.000 813.240 ;
END
END la_oenb[44]
PIN la_oenb[45]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 529.090 1596.000 529.370 1600.000 ;
END
END la_oenb[45]
PIN la_oenb[46]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 858.200 800.000 858.800 ;
END
END la_oenb[46]
PIN la_oenb[47]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 538.750 1596.000 539.030 1600.000 ;
END
END la_oenb[47]
PIN la_oenb[48]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 541.970 1596.000 542.250 1600.000 ;
END
END la_oenb[48]
PIN la_oenb[49]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 548.410 1596.000 548.690 1600.000 ;
END
END la_oenb[49]
PIN la_oenb[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 153.720 4.000 154.320 ;
END
END la_oenb[4]
PIN la_oenb[50]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 554.850 1596.000 555.130 1600.000 ;
END
END la_oenb[50]
PIN la_oenb[51]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 629.830 0.000 630.110 4.000 ;
END
END la_oenb[51]
PIN la_oenb[52]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 852.760 4.000 853.360 ;
END
END la_oenb[52]
PIN la_oenb[53]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 879.960 4.000 880.560 ;
END
END la_oenb[53]
PIN la_oenb[54]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 893.560 4.000 894.160 ;
END
END la_oenb[54]
PIN la_oenb[55]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 906.480 4.000 907.080 ;
END
END la_oenb[55]
PIN la_oenb[56]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 962.920 800.000 963.520 ;
END
END la_oenb[56]
PIN la_oenb[57]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 645.470 0.000 645.750 4.000 ;
END
END la_oenb[57]
PIN la_oenb[58]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 570.950 1596.000 571.230 1600.000 ;
END
END la_oenb[58]
PIN la_oenb[59]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 574.170 1596.000 574.450 1600.000 ;
END
END la_oenb[59]
PIN la_oenb[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 466.070 0.000 466.350 4.000 ;
END
END la_oenb[5]
PIN la_oenb[60]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 580.610 1596.000 580.890 1600.000 ;
END
END la_oenb[60]
PIN la_oenb[61]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1002.360 800.000 1002.960 ;
END
END la_oenb[61]
PIN la_oenb[62]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 960.200 4.000 960.800 ;
END
END la_oenb[62]
PIN la_oenb[63]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1015.960 800.000 1016.560 ;
END
END la_oenb[63]
PIN la_oenb[64]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 661.110 0.000 661.390 4.000 ;
END
END la_oenb[64]
PIN la_oenb[65]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1054.720 800.000 1055.320 ;
END
END la_oenb[65]
PIN la_oenb[66]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1068.320 800.000 1068.920 ;
END
END la_oenb[66]
PIN la_oenb[67]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 596.250 1596.000 596.530 1600.000 ;
END
END la_oenb[67]
PIN la_oenb[68]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 665.250 0.000 665.530 4.000 ;
END
END la_oenb[68]
PIN la_oenb[69]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 605.910 1596.000 606.190 1600.000 ;
END
END la_oenb[69]
PIN la_oenb[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 207.440 4.000 208.040 ;
END
END la_oenb[6]
PIN la_oenb[70]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 612.350 1596.000 612.630 1600.000 ;
END
END la_oenb[70]
PIN la_oenb[71]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1107.760 800.000 1108.360 ;
END
END la_oenb[71]
PIN la_oenb[72]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 615.570 1596.000 615.850 1600.000 ;
END
END la_oenb[72]
PIN la_oenb[73]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1081.240 4.000 1081.840 ;
END
END la_oenb[73]
PIN la_oenb[74]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 680.890 0.000 681.170 4.000 ;
END
END la_oenb[74]
PIN la_oenb[75]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1160.120 800.000 1160.720 ;
END
END la_oenb[75]
PIN la_oenb[76]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1094.840 4.000 1095.440 ;
END
END la_oenb[76]
PIN la_oenb[77]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1185.960 800.000 1186.560 ;
END
END la_oenb[77]
PIN la_oenb[78]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 688.250 0.000 688.530 4.000 ;
END
END la_oenb[78]
PIN la_oenb[79]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1162.160 4.000 1162.760 ;
END
END la_oenb[79]
PIN la_oenb[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 404.430 1596.000 404.710 1600.000 ;
END
END la_oenb[7]
PIN la_oenb[80]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1175.760 4.000 1176.360 ;
END
END la_oenb[80]
PIN la_oenb[81]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 634.890 1596.000 635.170 1600.000 ;
END
END la_oenb[81]
PIN la_oenb[82]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 692.390 0.000 692.670 4.000 ;
END
END la_oenb[82]
PIN la_oenb[83]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 696.070 0.000 696.350 4.000 ;
END
END la_oenb[83]
PIN la_oenb[84]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1225.400 800.000 1226.000 ;
END
END la_oenb[84]
PIN la_oenb[85]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 703.890 0.000 704.170 4.000 ;
END
END la_oenb[85]
PIN la_oenb[86]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1251.920 800.000 1252.520 ;
END
END la_oenb[86]
PIN la_oenb[87]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1264.840 800.000 1265.440 ;
END
END la_oenb[87]
PIN la_oenb[88]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 660.190 1596.000 660.470 1600.000 ;
END
END la_oenb[88]
PIN la_oenb[89]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 669.850 1596.000 670.130 1600.000 ;
END
END la_oenb[89]
PIN la_oenb[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 420.530 1596.000 420.810 1600.000 ;
END
END la_oenb[8]
PIN la_oenb[90]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 708.030 0.000 708.310 4.000 ;
END
END la_oenb[90]
PIN la_oenb[91]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1330.120 800.000 1330.720 ;
END
END la_oenb[91]
PIN la_oenb[92]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1296.800 4.000 1297.400 ;
END
END la_oenb[92]
PIN la_oenb[93]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1309.720 4.000 1310.320 ;
END
END la_oenb[93]
PIN la_oenb[94]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1336.920 4.000 1337.520 ;
END
END la_oenb[94]
PIN la_oenb[95]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1364.120 4.000 1364.720 ;
END
END la_oenb[95]
PIN la_oenb[96]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 1383.160 800.000 1383.760 ;
END
END la_oenb[96]
PIN la_oenb[97]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 685.950 1596.000 686.230 1600.000 ;
END
END la_oenb[97]
PIN la_oenb[98]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1390.640 4.000 1391.240 ;
END
END la_oenb[98]
PIN la_oenb[99]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 719.530 0.000 719.810 4.000 ;
END
END la_oenb[99]
PIN la_oenb[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 215.600 800.000 216.200 ;
END
END la_oenb[9]
PIN sram_addr_a[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 19.080 800.000 19.680 ;
END
END sram_addr_a[0]
PIN sram_addr_a[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 59.880 4.000 60.480 ;
END
END sram_addr_a[1]
PIN sram_addr_a[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 100.000 4.000 100.600 ;
END
END sram_addr_a[2]
PIN sram_addr_a[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 97.960 800.000 98.560 ;
END
END sram_addr_a[3]
PIN sram_addr_a[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 391.550 1596.000 391.830 1600.000 ;
END
END sram_addr_a[4]
PIN sram_addr_a[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 180.920 4.000 181.520 ;
END
END sram_addr_a[5]
PIN sram_addr_a[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 221.040 4.000 221.640 ;
END
END sram_addr_a[6]
PIN sram_addr_a[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 407.650 1596.000 407.930 1600.000 ;
END
END sram_addr_a[7]
PIN sram_addr_a[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 261.160 4.000 261.760 ;
END
END sram_addr_a[8]
PIN sram_addr_b[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 372.230 1596.000 372.510 1600.000 ;
END
END sram_addr_b[0]
PIN sram_addr_b[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 378.670 1596.000 378.950 1600.000 ;
END
END sram_addr_b[1]
PIN sram_addr_b[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 446.750 0.000 447.030 4.000 ;
END
END sram_addr_b[2]
PIN sram_addr_b[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 110.880 800.000 111.480 ;
END
END sram_addr_b[3]
PIN sram_addr_b[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 461.930 0.000 462.210 4.000 ;
END
END sram_addr_b[4]
PIN sram_addr_b[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 193.840 4.000 194.440 ;
END
END sram_addr_b[5]
PIN sram_addr_b[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 469.750 0.000 470.030 4.000 ;
END
END sram_addr_b[6]
PIN sram_addr_b[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 410.870 1596.000 411.150 1600.000 ;
END
END sram_addr_b[7]
PIN sram_addr_b[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 423.750 1596.000 424.030 1600.000 ;
END
END sram_addr_b[8]
PIN sram_csb_a
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 426.970 0.000 427.250 4.000 ;
END
END sram_csb_a
PIN sram_csb_b
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 431.110 0.000 431.390 4.000 ;
END
END sram_csb_b
PIN sram_din_b[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 6.160 4.000 6.760 ;
END
END sram_din_b[0]
PIN sram_din_b[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 433.410 1596.000 433.690 1600.000 ;
END
END sram_din_b[10]
PIN sram_din_b[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 485.390 0.000 485.670 4.000 ;
END
END sram_din_b[11]
PIN sram_din_b[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 493.210 0.000 493.490 4.000 ;
END
END sram_din_b[12]
PIN sram_din_b[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 501.030 0.000 501.310 4.000 ;
END
END sram_din_b[13]
PIN sram_din_b[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 355.680 4.000 356.280 ;
END
END sram_din_b[14]
PIN sram_din_b[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 505.170 0.000 505.450 4.000 ;
END
END sram_din_b[15]
PIN sram_din_b[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 452.270 1596.000 452.550 1600.000 ;
END
END sram_din_b[16]
PIN sram_din_b[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 435.920 4.000 436.520 ;
END
END sram_din_b[17]
PIN sram_din_b[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 463.120 4.000 463.720 ;
END
END sram_din_b[18]
PIN sram_din_b[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 465.150 1596.000 465.430 1600.000 ;
END
END sram_din_b[19]
PIN sram_din_b[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 72.800 4.000 73.400 ;
END
END sram_din_b[1]
PIN sram_din_b[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 532.310 0.000 532.590 4.000 ;
END
END sram_din_b[20]
PIN sram_din_b[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 438.640 800.000 439.240 ;
END
END sram_din_b[21]
PIN sram_din_b[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 478.080 800.000 478.680 ;
END
END sram_din_b[22]
PIN sram_din_b[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 503.240 4.000 503.840 ;
END
END sram_din_b[23]
PIN sram_din_b[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 555.770 0.000 556.050 4.000 ;
END
END sram_din_b[24]
PIN sram_din_b[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 563.590 0.000 563.870 4.000 ;
END
END sram_din_b[25]
PIN sram_din_b[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 567.730 0.000 568.010 4.000 ;
END
END sram_din_b[26]
PIN sram_din_b[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 517.520 800.000 518.120 ;
END
END sram_din_b[27]
PIN sram_din_b[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 570.560 4.000 571.160 ;
END
END sram_din_b[28]
PIN sram_din_b[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 595.720 800.000 596.320 ;
END
END sram_din_b[29]
PIN sram_din_b[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 58.520 800.000 59.120 ;
END
END sram_din_b[2]
PIN sram_din_b[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 624.280 4.000 624.880 ;
END
END sram_din_b[30]
PIN sram_din_b[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 664.400 4.000 665.000 ;
END
END sram_din_b[31]
PIN sram_din_b[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 385.110 1596.000 385.390 1600.000 ;
END
END sram_din_b[3]
PIN sram_din_b[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 167.320 4.000 167.920 ;
END
END sram_din_b[4]
PIN sram_din_b[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 136.720 800.000 137.320 ;
END
END sram_din_b[5]
PIN sram_din_b[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 401.210 1596.000 401.490 1600.000 ;
END
END sram_din_b[6]
PIN sram_din_b[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 189.760 800.000 190.360 ;
END
END sram_din_b[7]
PIN sram_din_b[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 202.680 800.000 203.280 ;
END
END sram_din_b[8]
PIN sram_din_b[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 288.360 4.000 288.960 ;
END
END sram_din_b[9]
PIN sram_dout_a[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 19.080 4.000 19.680 ;
END
END sram_dout_a[0]
PIN sram_dout_a[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 436.630 1596.000 436.910 1600.000 ;
END
END sram_dout_a[10]
PIN sram_dout_a[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 328.480 4.000 329.080 ;
END
END sram_dout_a[11]
PIN sram_dout_a[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 342.080 4.000 342.680 ;
END
END sram_dout_a[12]
PIN sram_dout_a[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 445.830 1596.000 446.110 1600.000 ;
END
END sram_dout_a[13]
PIN sram_dout_a[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 368.600 4.000 369.200 ;
END
END sram_dout_a[14]
PIN sram_dout_a[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 359.760 800.000 360.360 ;
END
END sram_dout_a[15]
PIN sram_dout_a[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 512.990 0.000 513.270 4.000 ;
END
END sram_dout_a[16]
PIN sram_dout_a[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 516.670 0.000 516.950 4.000 ;
END
END sram_dout_a[17]
PIN sram_dout_a[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 458.710 1596.000 458.990 1600.000 ;
END
END sram_dout_a[18]
PIN sram_dout_a[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 468.370 1596.000 468.650 1600.000 ;
END
END sram_dout_a[19]
PIN sram_dout_a[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 44.920 800.000 45.520 ;
END
END sram_dout_a[1]
PIN sram_dout_a[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 425.720 800.000 426.320 ;
END
END sram_dout_a[20]
PIN sram_dout_a[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 540.130 0.000 540.410 4.000 ;
END
END sram_dout_a[21]
PIN sram_dout_a[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 481.250 1596.000 481.530 1600.000 ;
END
END sram_dout_a[22]
PIN sram_dout_a[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 487.690 1596.000 487.970 1600.000 ;
END
END sram_dout_a[23]
PIN sram_dout_a[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 491.000 800.000 491.600 ;
END
END sram_dout_a[24]
PIN sram_dout_a[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 494.130 1596.000 494.410 1600.000 ;
END
END sram_dout_a[25]
PIN sram_dout_a[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 571.410 0.000 571.690 4.000 ;
END
END sram_dout_a[26]
PIN sram_dout_a[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 530.440 800.000 531.040 ;
END
END sram_dout_a[27]
PIN sram_dout_a[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 507.010 1596.000 507.290 1600.000 ;
END
END sram_dout_a[28]
PIN sram_dout_a[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 597.760 4.000 598.360 ;
END
END sram_dout_a[29]
PIN sram_dout_a[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 450.430 0.000 450.710 4.000 ;
END
END sram_dout_a[2]
PIN sram_dout_a[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 637.880 4.000 638.480 ;
END
END sram_dout_a[30]
PIN sram_dout_a[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 579.230 0.000 579.510 4.000 ;
END
END sram_dout_a[31]
PIN sram_dout_a[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 454.570 0.000 454.850 4.000 ;
END
END sram_dout_a[3]
PIN sram_dout_a[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 394.770 1596.000 395.050 1600.000 ;
END
END sram_dout_a[4]
PIN sram_dout_a[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 150.320 800.000 150.920 ;
END
END sram_dout_a[5]
PIN sram_dout_a[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 234.640 4.000 235.240 ;
END
END sram_dout_a[6]
PIN sram_dout_a[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 477.570 0.000 477.850 4.000 ;
END
END sram_dout_a[7]
PIN sram_dout_a[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 481.710 0.000 481.990 4.000 ;
END
END sram_dout_a[8]
PIN sram_dout_a[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 228.520 800.000 229.120 ;
END
END sram_dout_a[9]
PIN sram_mask_b[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 375.450 1596.000 375.730 1600.000 ;
END
END sram_mask_b[0]
PIN sram_mask_b[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 438.930 0.000 439.210 4.000 ;
END
END sram_mask_b[1]
PIN sram_mask_b[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 796.000 71.440 800.000 72.040 ;
END
END sram_mask_b[2]
PIN sram_mask_b[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 388.330 1596.000 388.610 1600.000 ;
END
END sram_mask_b[3]
PIN sram_web_b
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 365.790 1596.000 366.070 1600.000 ;
END
END sram_web_b
PIN vccd1
DIRECTION INPUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 21.040 10.640 22.640 1588.720 ;
END
PORT
LAYER met4 ;
RECT 174.640 10.640 176.240 1588.720 ;
END
PORT
LAYER met4 ;
RECT 328.240 10.640 329.840 1588.720 ;
END
PORT
LAYER met4 ;
RECT 481.840 10.640 483.440 1588.720 ;
END
PORT
LAYER met4 ;
RECT 635.440 10.640 637.040 1588.720 ;
END
PORT
LAYER met4 ;
RECT 789.040 10.640 790.640 1588.720 ;
END
END vccd1
PIN vssd1
DIRECTION INPUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 97.840 10.640 99.440 1588.720 ;
END
PORT
LAYER met4 ;
RECT 251.440 10.640 253.040 1588.720 ;
END
PORT
LAYER met4 ;
RECT 405.040 10.640 406.640 1588.720 ;
END
PORT
LAYER met4 ;
RECT 558.640 10.640 560.240 1588.720 ;
END
PORT
LAYER met4 ;
RECT 712.240 10.640 713.840 1588.720 ;
END
END vssd1
PIN wb_clk_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1.930 0.000 2.210 4.000 ;
END
END wb_clk_i
PIN wb_rst_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 5.610 0.000 5.890 4.000 ;
END
END wb_rst_i
PIN wbs_ack_o
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 9.290 0.000 9.570 4.000 ;
END
END wbs_ack_o
PIN wbs_adr_i[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 24.930 0.000 25.210 4.000 ;
END
END wbs_adr_i[0]
PIN wbs_adr_i[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 157.870 0.000 158.150 4.000 ;
END
END wbs_adr_i[10]
PIN wbs_adr_i[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 169.370 0.000 169.650 4.000 ;
END
END wbs_adr_i[11]
PIN wbs_adr_i[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 181.330 0.000 181.610 4.000 ;
END
END wbs_adr_i[12]
PIN wbs_adr_i[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 192.830 0.000 193.110 4.000 ;
END
END wbs_adr_i[13]
PIN wbs_adr_i[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 204.790 0.000 205.070 4.000 ;
END
END wbs_adr_i[14]
PIN wbs_adr_i[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 216.290 0.000 216.570 4.000 ;
END
END wbs_adr_i[15]
PIN wbs_adr_i[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 228.250 0.000 228.530 4.000 ;
END
END wbs_adr_i[16]
PIN wbs_adr_i[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 239.750 0.000 240.030 4.000 ;
END
END wbs_adr_i[17]
PIN wbs_adr_i[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 251.250 0.000 251.530 4.000 ;
END
END wbs_adr_i[18]
PIN wbs_adr_i[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 263.210 0.000 263.490 4.000 ;
END
END wbs_adr_i[19]
PIN wbs_adr_i[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 40.570 0.000 40.850 4.000 ;
END
END wbs_adr_i[1]
PIN wbs_adr_i[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 274.710 0.000 274.990 4.000 ;
END
END wbs_adr_i[20]
PIN wbs_adr_i[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 286.670 0.000 286.950 4.000 ;
END
END wbs_adr_i[21]
PIN wbs_adr_i[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 298.170 0.000 298.450 4.000 ;
END
END wbs_adr_i[22]
PIN wbs_adr_i[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 310.130 0.000 310.410 4.000 ;
END
END wbs_adr_i[23]
PIN wbs_adr_i[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 321.630 0.000 321.910 4.000 ;
END
END wbs_adr_i[24]
PIN wbs_adr_i[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 333.590 0.000 333.870 4.000 ;
END
END wbs_adr_i[25]
PIN wbs_adr_i[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 345.090 0.000 345.370 4.000 ;
END
END wbs_adr_i[26]
PIN wbs_adr_i[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 356.590 0.000 356.870 4.000 ;
END
END wbs_adr_i[27]
PIN wbs_adr_i[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 368.550 0.000 368.830 4.000 ;
END
END wbs_adr_i[28]
PIN wbs_adr_i[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 380.050 0.000 380.330 4.000 ;
END
END wbs_adr_i[29]
PIN wbs_adr_i[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 56.210 0.000 56.490 4.000 ;
END
END wbs_adr_i[2]
PIN wbs_adr_i[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 392.010 0.000 392.290 4.000 ;
END
END wbs_adr_i[30]
PIN wbs_adr_i[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 403.510 0.000 403.790 4.000 ;
END
END wbs_adr_i[31]
PIN wbs_adr_i[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 71.850 0.000 72.130 4.000 ;
END
END wbs_adr_i[3]
PIN wbs_adr_i[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 87.490 0.000 87.770 4.000 ;
END
END wbs_adr_i[4]
PIN wbs_adr_i[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 99.450 0.000 99.730 4.000 ;
END
END wbs_adr_i[5]
PIN wbs_adr_i[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 110.950 0.000 111.230 4.000 ;
END
END wbs_adr_i[6]
PIN wbs_adr_i[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 122.450 0.000 122.730 4.000 ;
END
END wbs_adr_i[7]
PIN wbs_adr_i[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 134.410 0.000 134.690 4.000 ;
END
END wbs_adr_i[8]
PIN wbs_adr_i[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 145.910 0.000 146.190 4.000 ;
END
END wbs_adr_i[9]
PIN wbs_cyc_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 13.430 0.000 13.710 4.000 ;
END
END wbs_cyc_i
PIN wbs_dat_i[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 29.070 0.000 29.350 4.000 ;
END
END wbs_dat_i[0]
PIN wbs_dat_i[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 161.550 0.000 161.830 4.000 ;
END
END wbs_dat_i[10]
PIN wbs_dat_i[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 173.510 0.000 173.790 4.000 ;
END
END wbs_dat_i[11]
PIN wbs_dat_i[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 185.010 0.000 185.290 4.000 ;
END
END wbs_dat_i[12]
PIN wbs_dat_i[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 196.970 0.000 197.250 4.000 ;
END
END wbs_dat_i[13]
PIN wbs_dat_i[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 208.470 0.000 208.750 4.000 ;
END
END wbs_dat_i[14]
PIN wbs_dat_i[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 220.430 0.000 220.710 4.000 ;
END
END wbs_dat_i[15]
PIN wbs_dat_i[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 231.930 0.000 232.210 4.000 ;
END
END wbs_dat_i[16]
PIN wbs_dat_i[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 243.430 0.000 243.710 4.000 ;
END
END wbs_dat_i[17]
PIN wbs_dat_i[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 255.390 0.000 255.670 4.000 ;
END
END wbs_dat_i[18]
PIN wbs_dat_i[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 266.890 0.000 267.170 4.000 ;
END
END wbs_dat_i[19]
PIN wbs_dat_i[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 44.710 0.000 44.990 4.000 ;
END
END wbs_dat_i[1]
PIN wbs_dat_i[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 278.850 0.000 279.130 4.000 ;
END
END wbs_dat_i[20]
PIN wbs_dat_i[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 290.350 0.000 290.630 4.000 ;
END
END wbs_dat_i[21]
PIN wbs_dat_i[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 302.310 0.000 302.590 4.000 ;
END
END wbs_dat_i[22]
PIN wbs_dat_i[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 313.810 0.000 314.090 4.000 ;
END
END wbs_dat_i[23]
PIN wbs_dat_i[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 325.770 0.000 326.050 4.000 ;
END
END wbs_dat_i[24]
PIN wbs_dat_i[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 337.270 0.000 337.550 4.000 ;
END
END wbs_dat_i[25]
PIN wbs_dat_i[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 348.770 0.000 349.050 4.000 ;
END
END wbs_dat_i[26]
PIN wbs_dat_i[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 360.730 0.000 361.010 4.000 ;
END
END wbs_dat_i[27]
PIN wbs_dat_i[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 372.230 0.000 372.510 4.000 ;
END
END wbs_dat_i[28]
PIN wbs_dat_i[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 384.190 0.000 384.470 4.000 ;
END
END wbs_dat_i[29]
PIN wbs_dat_i[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 60.350 0.000 60.630 4.000 ;
END
END wbs_dat_i[2]
PIN wbs_dat_i[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 395.690 0.000 395.970 4.000 ;
END
END wbs_dat_i[30]
PIN wbs_dat_i[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 407.650 0.000 407.930 4.000 ;
END
END wbs_dat_i[31]
PIN wbs_dat_i[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 75.990 0.000 76.270 4.000 ;
END
END wbs_dat_i[3]
PIN wbs_dat_i[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 91.630 0.000 91.910 4.000 ;
END
END wbs_dat_i[4]
PIN wbs_dat_i[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 103.130 0.000 103.410 4.000 ;
END
END wbs_dat_i[5]
PIN wbs_dat_i[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 115.090 0.000 115.370 4.000 ;
END
END wbs_dat_i[6]
PIN wbs_dat_i[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 126.590 0.000 126.870 4.000 ;
END
END wbs_dat_i[7]
PIN wbs_dat_i[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 138.090 0.000 138.370 4.000 ;
END
END wbs_dat_i[8]
PIN wbs_dat_i[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 150.050 0.000 150.330 4.000 ;
END
END wbs_dat_i[9]
PIN wbs_dat_o[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 32.750 0.000 33.030 4.000 ;
END
END wbs_dat_o[0]
PIN wbs_dat_o[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 165.690 0.000 165.970 4.000 ;
END
END wbs_dat_o[10]
PIN wbs_dat_o[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 177.190 0.000 177.470 4.000 ;
END
END wbs_dat_o[11]
PIN wbs_dat_o[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 189.150 0.000 189.430 4.000 ;
END
END wbs_dat_o[12]
PIN wbs_dat_o[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 200.650 0.000 200.930 4.000 ;
END
END wbs_dat_o[13]
PIN wbs_dat_o[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 212.610 0.000 212.890 4.000 ;
END
END wbs_dat_o[14]
PIN wbs_dat_o[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 224.110 0.000 224.390 4.000 ;
END
END wbs_dat_o[15]
PIN wbs_dat_o[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 235.610 0.000 235.890 4.000 ;
END
END wbs_dat_o[16]
PIN wbs_dat_o[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 247.570 0.000 247.850 4.000 ;
END
END wbs_dat_o[17]
PIN wbs_dat_o[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 259.070 0.000 259.350 4.000 ;
END
END wbs_dat_o[18]
PIN wbs_dat_o[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 271.030 0.000 271.310 4.000 ;
END
END wbs_dat_o[19]
PIN wbs_dat_o[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 48.390 0.000 48.670 4.000 ;
END
END wbs_dat_o[1]
PIN wbs_dat_o[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 282.530 0.000 282.810 4.000 ;
END
END wbs_dat_o[20]
PIN wbs_dat_o[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 294.490 0.000 294.770 4.000 ;
END
END wbs_dat_o[21]
PIN wbs_dat_o[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 305.990 0.000 306.270 4.000 ;
END
END wbs_dat_o[22]
PIN wbs_dat_o[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 317.950 0.000 318.230 4.000 ;
END
END wbs_dat_o[23]
PIN wbs_dat_o[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 329.450 0.000 329.730 4.000 ;
END
END wbs_dat_o[24]
PIN wbs_dat_o[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 341.410 0.000 341.690 4.000 ;
END
END wbs_dat_o[25]
PIN wbs_dat_o[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 352.910 0.000 353.190 4.000 ;
END
END wbs_dat_o[26]
PIN wbs_dat_o[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 364.410 0.000 364.690 4.000 ;
END
END wbs_dat_o[27]
PIN wbs_dat_o[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 376.370 0.000 376.650 4.000 ;
END
END wbs_dat_o[28]
PIN wbs_dat_o[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 387.870 0.000 388.150 4.000 ;
END
END wbs_dat_o[29]
PIN wbs_dat_o[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 64.030 0.000 64.310 4.000 ;
END
END wbs_dat_o[2]
PIN wbs_dat_o[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 399.830 0.000 400.110 4.000 ;
END
END wbs_dat_o[30]
PIN wbs_dat_o[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 411.330 0.000 411.610 4.000 ;
END
END wbs_dat_o[31]
PIN wbs_dat_o[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 79.670 0.000 79.950 4.000 ;
END
END wbs_dat_o[3]
PIN wbs_dat_o[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 95.310 0.000 95.590 4.000 ;
END
END wbs_dat_o[4]
PIN wbs_dat_o[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 107.270 0.000 107.550 4.000 ;
END
END wbs_dat_o[5]
PIN wbs_dat_o[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 118.770 0.000 119.050 4.000 ;
END
END wbs_dat_o[6]
PIN wbs_dat_o[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 130.270 0.000 130.550 4.000 ;
END
END wbs_dat_o[7]
PIN wbs_dat_o[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 142.230 0.000 142.510 4.000 ;
END
END wbs_dat_o[8]
PIN wbs_dat_o[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 153.730 0.000 154.010 4.000 ;
END
END wbs_dat_o[9]
PIN wbs_sel_i[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 36.890 0.000 37.170 4.000 ;
END
END wbs_sel_i[0]
PIN wbs_sel_i[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 52.530 0.000 52.810 4.000 ;
END
END wbs_sel_i[1]
PIN wbs_sel_i[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 68.170 0.000 68.450 4.000 ;
END
END wbs_sel_i[2]
PIN wbs_sel_i[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 83.810 0.000 84.090 4.000 ;
END
END wbs_sel_i[3]
PIN wbs_stb_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 17.110 0.000 17.390 4.000 ;
END
END wbs_stb_i
PIN wbs_we_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 21.250 0.000 21.530 4.000 ;
END
END wbs_we_i
OBS
LAYER li1 ;
RECT 5.520 10.795 794.420 1588.565 ;
LAYER met1 ;
RECT 0.070 5.480 798.490 1588.720 ;
LAYER met2 ;
RECT 0.100 1595.720 1.190 1596.370 ;
RECT 2.030 1595.720 3.950 1596.370 ;
RECT 4.790 1595.720 7.170 1596.370 ;
RECT 8.010 1595.720 10.390 1596.370 ;
RECT 11.230 1595.720 13.610 1596.370 ;
RECT 14.450 1595.720 16.830 1596.370 ;
RECT 17.670 1595.720 20.050 1596.370 ;
RECT 20.890 1595.720 23.270 1596.370 ;
RECT 24.110 1595.720 26.490 1596.370 ;
RECT 27.330 1595.720 29.710 1596.370 ;
RECT 30.550 1595.720 32.930 1596.370 ;
RECT 33.770 1595.720 36.150 1596.370 ;
RECT 36.990 1595.720 39.370 1596.370 ;
RECT 40.210 1595.720 42.590 1596.370 ;
RECT 43.430 1595.720 45.810 1596.370 ;
RECT 46.650 1595.720 49.030 1596.370 ;
RECT 49.870 1595.720 52.250 1596.370 ;
RECT 53.090 1595.720 55.470 1596.370 ;
RECT 56.310 1595.720 58.690 1596.370 ;
RECT 59.530 1595.720 61.910 1596.370 ;
RECT 62.750 1595.720 65.130 1596.370 ;
RECT 65.970 1595.720 68.350 1596.370 ;
RECT 69.190 1595.720 71.570 1596.370 ;
RECT 72.410 1595.720 74.330 1596.370 ;
RECT 75.170 1595.720 77.550 1596.370 ;
RECT 78.390 1595.720 80.770 1596.370 ;
RECT 81.610 1595.720 83.990 1596.370 ;
RECT 84.830 1595.720 87.210 1596.370 ;
RECT 88.050 1595.720 90.430 1596.370 ;
RECT 91.270 1595.720 93.650 1596.370 ;
RECT 94.490 1595.720 96.870 1596.370 ;
RECT 97.710 1595.720 100.090 1596.370 ;
RECT 100.930 1595.720 103.310 1596.370 ;
RECT 104.150 1595.720 106.530 1596.370 ;
RECT 107.370 1595.720 109.750 1596.370 ;
RECT 110.590 1595.720 112.970 1596.370 ;
RECT 113.810 1595.720 116.190 1596.370 ;
RECT 117.030 1595.720 119.410 1596.370 ;
RECT 120.250 1595.720 122.630 1596.370 ;
RECT 123.470 1595.720 125.850 1596.370 ;
RECT 126.690 1595.720 129.070 1596.370 ;
RECT 129.910 1595.720 132.290 1596.370 ;
RECT 133.130 1595.720 135.510 1596.370 ;
RECT 136.350 1595.720 138.730 1596.370 ;
RECT 139.570 1595.720 141.950 1596.370 ;
RECT 142.790 1595.720 145.170 1596.370 ;
RECT 146.010 1595.720 147.930 1596.370 ;
RECT 148.770 1595.720 151.150 1596.370 ;
RECT 151.990 1595.720 154.370 1596.370 ;
RECT 155.210 1595.720 157.590 1596.370 ;
RECT 158.430 1595.720 160.810 1596.370 ;
RECT 161.650 1595.720 164.030 1596.370 ;
RECT 164.870 1595.720 167.250 1596.370 ;
RECT 168.090 1595.720 170.470 1596.370 ;
RECT 171.310 1595.720 173.690 1596.370 ;
RECT 174.530 1595.720 176.910 1596.370 ;
RECT 177.750 1595.720 180.130 1596.370 ;
RECT 180.970 1595.720 183.350 1596.370 ;
RECT 184.190 1595.720 186.570 1596.370 ;
RECT 187.410 1595.720 189.790 1596.370 ;
RECT 190.630 1595.720 193.010 1596.370 ;
RECT 193.850 1595.720 196.230 1596.370 ;
RECT 197.070 1595.720 199.450 1596.370 ;
RECT 200.290 1595.720 202.670 1596.370 ;
RECT 203.510 1595.720 205.890 1596.370 ;
RECT 206.730 1595.720 209.110 1596.370 ;
RECT 209.950 1595.720 212.330 1596.370 ;
RECT 213.170 1595.720 215.550 1596.370 ;
RECT 216.390 1595.720 218.770 1596.370 ;
RECT 219.610 1595.720 221.530 1596.370 ;
RECT 222.370 1595.720 224.750 1596.370 ;
RECT 225.590 1595.720 227.970 1596.370 ;
RECT 228.810 1595.720 231.190 1596.370 ;
RECT 232.030 1595.720 234.410 1596.370 ;
RECT 235.250 1595.720 237.630 1596.370 ;
RECT 238.470 1595.720 240.850 1596.370 ;
RECT 241.690 1595.720 244.070 1596.370 ;
RECT 244.910 1595.720 247.290 1596.370 ;
RECT 248.130 1595.720 250.510 1596.370 ;
RECT 251.350 1595.720 253.730 1596.370 ;
RECT 254.570 1595.720 256.950 1596.370 ;
RECT 257.790 1595.720 260.170 1596.370 ;
RECT 261.010 1595.720 263.390 1596.370 ;
RECT 264.230 1595.720 266.610 1596.370 ;
RECT 267.450 1595.720 269.830 1596.370 ;
RECT 270.670 1595.720 273.050 1596.370 ;
RECT 273.890 1595.720 276.270 1596.370 ;
RECT 277.110 1595.720 279.490 1596.370 ;
RECT 280.330 1595.720 282.710 1596.370 ;
RECT 283.550 1595.720 285.930 1596.370 ;
RECT 286.770 1595.720 289.150 1596.370 ;
RECT 289.990 1595.720 291.910 1596.370 ;
RECT 292.750 1595.720 295.130 1596.370 ;
RECT 295.970 1595.720 298.350 1596.370 ;
RECT 299.190 1595.720 301.570 1596.370 ;
RECT 302.410 1595.720 304.790 1596.370 ;
RECT 305.630 1595.720 308.010 1596.370 ;
RECT 308.850 1595.720 311.230 1596.370 ;
RECT 312.070 1595.720 314.450 1596.370 ;
RECT 315.290 1595.720 317.670 1596.370 ;
RECT 318.510 1595.720 320.890 1596.370 ;
RECT 321.730 1595.720 324.110 1596.370 ;
RECT 324.950 1595.720 327.330 1596.370 ;
RECT 328.170 1595.720 330.550 1596.370 ;
RECT 331.390 1595.720 333.770 1596.370 ;
RECT 334.610 1595.720 336.990 1596.370 ;
RECT 337.830 1595.720 340.210 1596.370 ;
RECT 341.050 1595.720 343.430 1596.370 ;
RECT 344.270 1595.720 346.650 1596.370 ;
RECT 347.490 1595.720 349.870 1596.370 ;
RECT 350.710 1595.720 353.090 1596.370 ;
RECT 353.930 1595.720 356.310 1596.370 ;
RECT 357.150 1595.720 359.530 1596.370 ;
RECT 360.370 1595.720 362.750 1596.370 ;
RECT 363.590 1595.720 365.510 1596.370 ;
RECT 366.350 1595.720 368.730 1596.370 ;
RECT 369.570 1595.720 371.950 1596.370 ;
RECT 372.790 1595.720 375.170 1596.370 ;
RECT 376.010 1595.720 378.390 1596.370 ;
RECT 379.230 1595.720 381.610 1596.370 ;
RECT 382.450 1595.720 384.830 1596.370 ;
RECT 385.670 1595.720 388.050 1596.370 ;
RECT 388.890 1595.720 391.270 1596.370 ;
RECT 392.110 1595.720 394.490 1596.370 ;
RECT 395.330 1595.720 397.710 1596.370 ;
RECT 398.550 1595.720 400.930 1596.370 ;
RECT 401.770 1595.720 404.150 1596.370 ;
RECT 404.990 1595.720 407.370 1596.370 ;
RECT 408.210 1595.720 410.590 1596.370 ;
RECT 411.430 1595.720 413.810 1596.370 ;
RECT 414.650 1595.720 417.030 1596.370 ;
RECT 417.870 1595.720 420.250 1596.370 ;
RECT 421.090 1595.720 423.470 1596.370 ;
RECT 424.310 1595.720 426.690 1596.370 ;
RECT 427.530 1595.720 429.910 1596.370 ;
RECT 430.750 1595.720 433.130 1596.370 ;
RECT 433.970 1595.720 436.350 1596.370 ;
RECT 437.190 1595.720 439.110 1596.370 ;
RECT 439.950 1595.720 442.330 1596.370 ;
RECT 443.170 1595.720 445.550 1596.370 ;
RECT 446.390 1595.720 448.770 1596.370 ;
RECT 449.610 1595.720 451.990 1596.370 ;
RECT 452.830 1595.720 455.210 1596.370 ;
RECT 456.050 1595.720 458.430 1596.370 ;
RECT 459.270 1595.720 461.650 1596.370 ;
RECT 462.490 1595.720 464.870 1596.370 ;
RECT 465.710 1595.720 468.090 1596.370 ;
RECT 468.930 1595.720 471.310 1596.370 ;
RECT 472.150 1595.720 474.530 1596.370 ;
RECT 475.370 1595.720 477.750 1596.370 ;
RECT 478.590 1595.720 480.970 1596.370 ;
RECT 481.810 1595.720 484.190 1596.370 ;
RECT 485.030 1595.720 487.410 1596.370 ;
RECT 488.250 1595.720 490.630 1596.370 ;
RECT 491.470 1595.720 493.850 1596.370 ;
RECT 494.690 1595.720 497.070 1596.370 ;
RECT 497.910 1595.720 500.290 1596.370 ;
RECT 501.130 1595.720 503.510 1596.370 ;
RECT 504.350 1595.720 506.730 1596.370 ;
RECT 507.570 1595.720 509.950 1596.370 ;
RECT 510.790 1595.720 512.710 1596.370 ;
RECT 513.550 1595.720 515.930 1596.370 ;
RECT 516.770 1595.720 519.150 1596.370 ;
RECT 519.990 1595.720 522.370 1596.370 ;
RECT 523.210 1595.720 525.590 1596.370 ;
RECT 526.430 1595.720 528.810 1596.370 ;
RECT 529.650 1595.720 532.030 1596.370 ;
RECT 532.870 1595.720 535.250 1596.370 ;
RECT 536.090 1595.720 538.470 1596.370 ;
RECT 539.310 1595.720 541.690 1596.370 ;
RECT 542.530 1595.720 544.910 1596.370 ;
RECT 545.750 1595.720 548.130 1596.370 ;
RECT 548.970 1595.720 551.350 1596.370 ;
RECT 552.190 1595.720 554.570 1596.370 ;
RECT 555.410 1595.720 557.790 1596.370 ;
RECT 558.630 1595.720 561.010 1596.370 ;
RECT 561.850 1595.720 564.230 1596.370 ;
RECT 565.070 1595.720 567.450 1596.370 ;
RECT 568.290 1595.720 570.670 1596.370 ;
RECT 571.510 1595.720 573.890 1596.370 ;
RECT 574.730 1595.720 577.110 1596.370 ;
RECT 577.950 1595.720 580.330 1596.370 ;
RECT 581.170 1595.720 583.090 1596.370 ;
RECT 583.930 1595.720 586.310 1596.370 ;
RECT 587.150 1595.720 589.530 1596.370 ;
RECT 590.370 1595.720 592.750 1596.370 ;
RECT 593.590 1595.720 595.970 1596.370 ;
RECT 596.810 1595.720 599.190 1596.370 ;
RECT 600.030 1595.720 602.410 1596.370 ;
RECT 603.250 1595.720 605.630 1596.370 ;
RECT 606.470 1595.720 608.850 1596.370 ;
RECT 609.690 1595.720 612.070 1596.370 ;
RECT 612.910 1595.720 615.290 1596.370 ;
RECT 616.130 1595.720 618.510 1596.370 ;
RECT 619.350 1595.720 621.730 1596.370 ;
RECT 622.570 1595.720 624.950 1596.370 ;
RECT 625.790 1595.720 628.170 1596.370 ;
RECT 629.010 1595.720 631.390 1596.370 ;
RECT 632.230 1595.720 634.610 1596.370 ;
RECT 635.450 1595.720 637.830 1596.370 ;
RECT 638.670 1595.720 641.050 1596.370 ;
RECT 641.890 1595.720 644.270 1596.370 ;
RECT 645.110 1595.720 647.490 1596.370 ;
RECT 648.330 1595.720 650.710 1596.370 ;
RECT 651.550 1595.720 653.930 1596.370 ;
RECT 654.770 1595.720 656.690 1596.370 ;
RECT 657.530 1595.720 659.910 1596.370 ;
RECT 660.750 1595.720 663.130 1596.370 ;
RECT 663.970 1595.720 666.350 1596.370 ;
RECT 667.190 1595.720 669.570 1596.370 ;
RECT 670.410 1595.720 672.790 1596.370 ;
RECT 673.630 1595.720 676.010 1596.370 ;
RECT 676.850 1595.720 679.230 1596.370 ;
RECT 680.070 1595.720 682.450 1596.370 ;
RECT 683.290 1595.720 685.670 1596.370 ;
RECT 686.510 1595.720 688.890 1596.370 ;
RECT 689.730 1595.720 692.110 1596.370 ;
RECT 692.950 1595.720 695.330 1596.370 ;
RECT 696.170 1595.720 698.550 1596.370 ;
RECT 699.390 1595.720 701.770 1596.370 ;
RECT 702.610 1595.720 704.990 1596.370 ;
RECT 705.830 1595.720 708.210 1596.370 ;
RECT 709.050 1595.720 711.430 1596.370 ;
RECT 712.270 1595.720 714.650 1596.370 ;
RECT 715.490 1595.720 717.870 1596.370 ;
RECT 718.710 1595.720 721.090 1596.370 ;
RECT 721.930 1595.720 724.310 1596.370 ;
RECT 725.150 1595.720 727.530 1596.370 ;
RECT 728.370 1595.720 730.290 1596.370 ;
RECT 731.130 1595.720 733.510 1596.370 ;
RECT 734.350 1595.720 736.730 1596.370 ;
RECT 737.570 1595.720 739.950 1596.370 ;
RECT 740.790 1595.720 743.170 1596.370 ;
RECT 744.010 1595.720 746.390 1596.370 ;
RECT 747.230 1595.720 749.610 1596.370 ;
RECT 750.450 1595.720 752.830 1596.370 ;
RECT 753.670 1595.720 756.050 1596.370 ;
RECT 756.890 1595.720 759.270 1596.370 ;
RECT 760.110 1595.720 762.490 1596.370 ;
RECT 763.330 1595.720 765.710 1596.370 ;
RECT 766.550 1595.720 768.930 1596.370 ;
RECT 769.770 1595.720 772.150 1596.370 ;
RECT 772.990 1595.720 775.370 1596.370 ;
RECT 776.210 1595.720 778.590 1596.370 ;
RECT 779.430 1595.720 781.810 1596.370 ;
RECT 782.650 1595.720 785.030 1596.370 ;
RECT 785.870 1595.720 788.250 1596.370 ;
RECT 789.090 1595.720 791.470 1596.370 ;
RECT 792.310 1595.720 794.690 1596.370 ;
RECT 795.530 1595.720 797.910 1596.370 ;
RECT 0.100 4.280 798.460 1595.720 ;
RECT 0.100 3.670 1.650 4.280 ;
RECT 2.490 3.670 5.330 4.280 ;
RECT 6.170 3.670 9.010 4.280 ;
RECT 9.850 3.670 13.150 4.280 ;
RECT 13.990 3.670 16.830 4.280 ;
RECT 17.670 3.670 20.970 4.280 ;
RECT 21.810 3.670 24.650 4.280 ;
RECT 25.490 3.670 28.790 4.280 ;
RECT 29.630 3.670 32.470 4.280 ;
RECT 33.310 3.670 36.610 4.280 ;
RECT 37.450 3.670 40.290 4.280 ;
RECT 41.130 3.670 44.430 4.280 ;
RECT 45.270 3.670 48.110 4.280 ;
RECT 48.950 3.670 52.250 4.280 ;
RECT 53.090 3.670 55.930 4.280 ;
RECT 56.770 3.670 60.070 4.280 ;
RECT 60.910 3.670 63.750 4.280 ;
RECT 64.590 3.670 67.890 4.280 ;
RECT 68.730 3.670 71.570 4.280 ;
RECT 72.410 3.670 75.710 4.280 ;
RECT 76.550 3.670 79.390 4.280 ;
RECT 80.230 3.670 83.530 4.280 ;
RECT 84.370 3.670 87.210 4.280 ;
RECT 88.050 3.670 91.350 4.280 ;
RECT 92.190 3.670 95.030 4.280 ;
RECT 95.870 3.670 99.170 4.280 ;
RECT 100.010 3.670 102.850 4.280 ;
RECT 103.690 3.670 106.990 4.280 ;
RECT 107.830 3.670 110.670 4.280 ;
RECT 111.510 3.670 114.810 4.280 ;
RECT 115.650 3.670 118.490 4.280 ;
RECT 119.330 3.670 122.170 4.280 ;
RECT 123.010 3.670 126.310 4.280 ;
RECT 127.150 3.670 129.990 4.280 ;
RECT 130.830 3.670 134.130 4.280 ;
RECT 134.970 3.670 137.810 4.280 ;
RECT 138.650 3.670 141.950 4.280 ;
RECT 142.790 3.670 145.630 4.280 ;
RECT 146.470 3.670 149.770 4.280 ;
RECT 150.610 3.670 153.450 4.280 ;
RECT 154.290 3.670 157.590 4.280 ;
RECT 158.430 3.670 161.270 4.280 ;
RECT 162.110 3.670 165.410 4.280 ;
RECT 166.250 3.670 169.090 4.280 ;
RECT 169.930 3.670 173.230 4.280 ;
RECT 174.070 3.670 176.910 4.280 ;
RECT 177.750 3.670 181.050 4.280 ;
RECT 181.890 3.670 184.730 4.280 ;
RECT 185.570 3.670 188.870 4.280 ;
RECT 189.710 3.670 192.550 4.280 ;
RECT 193.390 3.670 196.690 4.280 ;
RECT 197.530 3.670 200.370 4.280 ;
RECT 201.210 3.670 204.510 4.280 ;
RECT 205.350 3.670 208.190 4.280 ;
RECT 209.030 3.670 212.330 4.280 ;
RECT 213.170 3.670 216.010 4.280 ;
RECT 216.850 3.670 220.150 4.280 ;
RECT 220.990 3.670 223.830 4.280 ;
RECT 224.670 3.670 227.970 4.280 ;
RECT 228.810 3.670 231.650 4.280 ;
RECT 232.490 3.670 235.330 4.280 ;
RECT 236.170 3.670 239.470 4.280 ;
RECT 240.310 3.670 243.150 4.280 ;
RECT 243.990 3.670 247.290 4.280 ;
RECT 248.130 3.670 250.970 4.280 ;
RECT 251.810 3.670 255.110 4.280 ;
RECT 255.950 3.670 258.790 4.280 ;
RECT 259.630 3.670 262.930 4.280 ;
RECT 263.770 3.670 266.610 4.280 ;
RECT 267.450 3.670 270.750 4.280 ;
RECT 271.590 3.670 274.430 4.280 ;
RECT 275.270 3.670 278.570 4.280 ;
RECT 279.410 3.670 282.250 4.280 ;
RECT 283.090 3.670 286.390 4.280 ;
RECT 287.230 3.670 290.070 4.280 ;
RECT 290.910 3.670 294.210 4.280 ;
RECT 295.050 3.670 297.890 4.280 ;
RECT 298.730 3.670 302.030 4.280 ;
RECT 302.870 3.670 305.710 4.280 ;
RECT 306.550 3.670 309.850 4.280 ;
RECT 310.690 3.670 313.530 4.280 ;
RECT 314.370 3.670 317.670 4.280 ;
RECT 318.510 3.670 321.350 4.280 ;
RECT 322.190 3.670 325.490 4.280 ;
RECT 326.330 3.670 329.170 4.280 ;
RECT 330.010 3.670 333.310 4.280 ;
RECT 334.150 3.670 336.990 4.280 ;
RECT 337.830 3.670 341.130 4.280 ;
RECT 341.970 3.670 344.810 4.280 ;
RECT 345.650 3.670 348.490 4.280 ;
RECT 349.330 3.670 352.630 4.280 ;
RECT 353.470 3.670 356.310 4.280 ;
RECT 357.150 3.670 360.450 4.280 ;
RECT 361.290 3.670 364.130 4.280 ;
RECT 364.970 3.670 368.270 4.280 ;
RECT 369.110 3.670 371.950 4.280 ;
RECT 372.790 3.670 376.090 4.280 ;
RECT 376.930 3.670 379.770 4.280 ;
RECT 380.610 3.670 383.910 4.280 ;
RECT 384.750 3.670 387.590 4.280 ;
RECT 388.430 3.670 391.730 4.280 ;
RECT 392.570 3.670 395.410 4.280 ;
RECT 396.250 3.670 399.550 4.280 ;
RECT 400.390 3.670 403.230 4.280 ;
RECT 404.070 3.670 407.370 4.280 ;
RECT 408.210 3.670 411.050 4.280 ;
RECT 411.890 3.670 415.190 4.280 ;
RECT 416.030 3.670 418.870 4.280 ;
RECT 419.710 3.670 423.010 4.280 ;
RECT 423.850 3.670 426.690 4.280 ;
RECT 427.530 3.670 430.830 4.280 ;
RECT 431.670 3.670 434.510 4.280 ;
RECT 435.350 3.670 438.650 4.280 ;
RECT 439.490 3.670 442.330 4.280 ;
RECT 443.170 3.670 446.470 4.280 ;
RECT 447.310 3.670 450.150 4.280 ;
RECT 450.990 3.670 454.290 4.280 ;
RECT 455.130 3.670 457.970 4.280 ;
RECT 458.810 3.670 461.650 4.280 ;
RECT 462.490 3.670 465.790 4.280 ;
RECT 466.630 3.670 469.470 4.280 ;
RECT 470.310 3.670 473.610 4.280 ;
RECT 474.450 3.670 477.290 4.280 ;
RECT 478.130 3.670 481.430 4.280 ;
RECT 482.270 3.670 485.110 4.280 ;
RECT 485.950 3.670 489.250 4.280 ;
RECT 490.090 3.670 492.930 4.280 ;
RECT 493.770 3.670 497.070 4.280 ;
RECT 497.910 3.670 500.750 4.280 ;
RECT 501.590 3.670 504.890 4.280 ;
RECT 505.730 3.670 508.570 4.280 ;
RECT 509.410 3.670 512.710 4.280 ;
RECT 513.550 3.670 516.390 4.280 ;
RECT 517.230 3.670 520.530 4.280 ;
RECT 521.370 3.670 524.210 4.280 ;
RECT 525.050 3.670 528.350 4.280 ;
RECT 529.190 3.670 532.030 4.280 ;
RECT 532.870 3.670 536.170 4.280 ;
RECT 537.010 3.670 539.850 4.280 ;
RECT 540.690 3.670 543.990 4.280 ;
RECT 544.830 3.670 547.670 4.280 ;
RECT 548.510 3.670 551.810 4.280 ;
RECT 552.650 3.670 555.490 4.280 ;
RECT 556.330 3.670 559.630 4.280 ;
RECT 560.470 3.670 563.310 4.280 ;
RECT 564.150 3.670 567.450 4.280 ;
RECT 568.290 3.670 571.130 4.280 ;
RECT 571.970 3.670 574.810 4.280 ;
RECT 575.650 3.670 578.950 4.280 ;
RECT 579.790 3.670 582.630 4.280 ;
RECT 583.470 3.670 586.770 4.280 ;
RECT 587.610 3.670 590.450 4.280 ;
RECT 591.290 3.670 594.590 4.280 ;
RECT 595.430 3.670 598.270 4.280 ;
RECT 599.110 3.670 602.410 4.280 ;
RECT 603.250 3.670 606.090 4.280 ;
RECT 606.930 3.670 610.230 4.280 ;
RECT 611.070 3.670 613.910 4.280 ;
RECT 614.750 3.670 618.050 4.280 ;
RECT 618.890 3.670 621.730 4.280 ;
RECT 622.570 3.670 625.870 4.280 ;
RECT 626.710 3.670 629.550 4.280 ;
RECT 630.390 3.670 633.690 4.280 ;
RECT 634.530 3.670 637.370 4.280 ;
RECT 638.210 3.670 641.510 4.280 ;
RECT 642.350 3.670 645.190 4.280 ;
RECT 646.030 3.670 649.330 4.280 ;
RECT 650.170 3.670 653.010 4.280 ;
RECT 653.850 3.670 657.150 4.280 ;
RECT 657.990 3.670 660.830 4.280 ;
RECT 661.670 3.670 664.970 4.280 ;
RECT 665.810 3.670 668.650 4.280 ;
RECT 669.490 3.670 672.790 4.280 ;
RECT 673.630 3.670 676.470 4.280 ;
RECT 677.310 3.670 680.610 4.280 ;
RECT 681.450 3.670 684.290 4.280 ;
RECT 685.130 3.670 687.970 4.280 ;
RECT 688.810 3.670 692.110 4.280 ;
RECT 692.950 3.670 695.790 4.280 ;
RECT 696.630 3.670 699.930 4.280 ;
RECT 700.770 3.670 703.610 4.280 ;
RECT 704.450 3.670 707.750 4.280 ;
RECT 708.590 3.670 711.430 4.280 ;
RECT 712.270 3.670 715.570 4.280 ;
RECT 716.410 3.670 719.250 4.280 ;
RECT 720.090 3.670 723.390 4.280 ;
RECT 724.230 3.670 727.070 4.280 ;
RECT 727.910 3.670 731.210 4.280 ;
RECT 732.050 3.670 734.890 4.280 ;
RECT 735.730 3.670 739.030 4.280 ;
RECT 739.870 3.670 742.710 4.280 ;
RECT 743.550 3.670 746.850 4.280 ;
RECT 747.690 3.670 750.530 4.280 ;
RECT 751.370 3.670 754.670 4.280 ;
RECT 755.510 3.670 758.350 4.280 ;
RECT 759.190 3.670 762.490 4.280 ;
RECT 763.330 3.670 766.170 4.280 ;
RECT 767.010 3.670 770.310 4.280 ;
RECT 771.150 3.670 773.990 4.280 ;
RECT 774.830 3.670 778.130 4.280 ;
RECT 778.970 3.670 781.810 4.280 ;
RECT 782.650 3.670 785.950 4.280 ;
RECT 786.790 3.670 789.630 4.280 ;
RECT 790.470 3.670 793.770 4.280 ;
RECT 794.610 3.670 797.450 4.280 ;
RECT 798.290 3.670 798.460 4.280 ;
LAYER met3 ;
RECT 4.000 1580.680 796.000 1588.645 ;
RECT 4.000 1580.000 795.600 1580.680 ;
RECT 4.400 1579.280 795.600 1580.000 ;
RECT 4.400 1578.600 796.000 1579.280 ;
RECT 4.000 1567.760 796.000 1578.600 ;
RECT 4.000 1566.400 795.600 1567.760 ;
RECT 4.400 1566.360 795.600 1566.400 ;
RECT 4.400 1565.000 796.000 1566.360 ;
RECT 4.000 1554.160 796.000 1565.000 ;
RECT 4.000 1552.800 795.600 1554.160 ;
RECT 4.400 1552.760 795.600 1552.800 ;
RECT 4.400 1551.400 796.000 1552.760 ;
RECT 4.000 1541.240 796.000 1551.400 ;
RECT 4.000 1539.880 795.600 1541.240 ;
RECT 4.400 1539.840 795.600 1539.880 ;
RECT 4.400 1538.480 796.000 1539.840 ;
RECT 4.000 1528.320 796.000 1538.480 ;
RECT 4.000 1526.920 795.600 1528.320 ;
RECT 4.000 1526.280 796.000 1526.920 ;
RECT 4.400 1524.880 796.000 1526.280 ;
RECT 4.000 1514.720 796.000 1524.880 ;
RECT 4.000 1513.320 795.600 1514.720 ;
RECT 4.000 1512.680 796.000 1513.320 ;
RECT 4.400 1511.280 796.000 1512.680 ;
RECT 4.000 1501.800 796.000 1511.280 ;
RECT 4.000 1500.400 795.600 1501.800 ;
RECT 4.000 1499.080 796.000 1500.400 ;
RECT 4.400 1497.680 796.000 1499.080 ;
RECT 4.000 1488.880 796.000 1497.680 ;
RECT 4.000 1487.480 795.600 1488.880 ;
RECT 4.000 1486.160 796.000 1487.480 ;
RECT 4.400 1484.760 796.000 1486.160 ;
RECT 4.000 1475.960 796.000 1484.760 ;
RECT 4.000 1474.560 795.600 1475.960 ;
RECT 4.000 1472.560 796.000 1474.560 ;
RECT 4.400 1471.160 796.000 1472.560 ;
RECT 4.000 1462.360 796.000 1471.160 ;
RECT 4.000 1460.960 795.600 1462.360 ;
RECT 4.000 1458.960 796.000 1460.960 ;
RECT 4.400 1457.560 796.000 1458.960 ;
RECT 4.000 1449.440 796.000 1457.560 ;
RECT 4.000 1448.040 795.600 1449.440 ;
RECT 4.000 1445.360 796.000 1448.040 ;
RECT 4.400 1443.960 796.000 1445.360 ;
RECT 4.000 1436.520 796.000 1443.960 ;
RECT 4.000 1435.120 795.600 1436.520 ;
RECT 4.000 1431.760 796.000 1435.120 ;
RECT 4.400 1430.360 796.000 1431.760 ;
RECT 4.000 1422.920 796.000 1430.360 ;
RECT 4.000 1421.520 795.600 1422.920 ;
RECT 4.000 1418.840 796.000 1421.520 ;
RECT 4.400 1417.440 796.000 1418.840 ;
RECT 4.000 1410.000 796.000 1417.440 ;
RECT 4.000 1408.600 795.600 1410.000 ;
RECT 4.000 1405.240 796.000 1408.600 ;
RECT 4.400 1403.840 796.000 1405.240 ;
RECT 4.000 1397.080 796.000 1403.840 ;
RECT 4.000 1395.680 795.600 1397.080 ;
RECT 4.000 1391.640 796.000 1395.680 ;
RECT 4.400 1390.240 796.000 1391.640 ;
RECT 4.000 1384.160 796.000 1390.240 ;
RECT 4.000 1382.760 795.600 1384.160 ;
RECT 4.000 1378.040 796.000 1382.760 ;
RECT 4.400 1376.640 796.000 1378.040 ;
RECT 4.000 1370.560 796.000 1376.640 ;
RECT 4.000 1369.160 795.600 1370.560 ;
RECT 4.000 1365.120 796.000 1369.160 ;
RECT 4.400 1363.720 796.000 1365.120 ;
RECT 4.000 1357.640 796.000 1363.720 ;
RECT 4.000 1356.240 795.600 1357.640 ;
RECT 4.000 1351.520 796.000 1356.240 ;
RECT 4.400 1350.120 796.000 1351.520 ;
RECT 4.000 1344.720 796.000 1350.120 ;
RECT 4.000 1343.320 795.600 1344.720 ;
RECT 4.000 1337.920 796.000 1343.320 ;
RECT 4.400 1336.520 796.000 1337.920 ;
RECT 4.000 1331.120 796.000 1336.520 ;
RECT 4.000 1329.720 795.600 1331.120 ;
RECT 4.000 1324.320 796.000 1329.720 ;
RECT 4.400 1322.920 796.000 1324.320 ;
RECT 4.000 1318.200 796.000 1322.920 ;
RECT 4.000 1316.800 795.600 1318.200 ;
RECT 4.000 1310.720 796.000 1316.800 ;
RECT 4.400 1309.320 796.000 1310.720 ;
RECT 4.000 1305.280 796.000 1309.320 ;
RECT 4.000 1303.880 795.600 1305.280 ;
RECT 4.000 1297.800 796.000 1303.880 ;
RECT 4.400 1296.400 796.000 1297.800 ;
RECT 4.000 1292.360 796.000 1296.400 ;
RECT 4.000 1290.960 795.600 1292.360 ;
RECT 4.000 1284.200 796.000 1290.960 ;
RECT 4.400 1282.800 796.000 1284.200 ;
RECT 4.000 1278.760 796.000 1282.800 ;
RECT 4.000 1277.360 795.600 1278.760 ;
RECT 4.000 1270.600 796.000 1277.360 ;
RECT 4.400 1269.200 796.000 1270.600 ;
RECT 4.000 1265.840 796.000 1269.200 ;
RECT 4.000 1264.440 795.600 1265.840 ;
RECT 4.000 1257.000 796.000 1264.440 ;
RECT 4.400 1255.600 796.000 1257.000 ;
RECT 4.000 1252.920 796.000 1255.600 ;
RECT 4.000 1251.520 795.600 1252.920 ;
RECT 4.000 1244.080 796.000 1251.520 ;
RECT 4.400 1242.680 796.000 1244.080 ;
RECT 4.000 1239.320 796.000 1242.680 ;
RECT 4.000 1237.920 795.600 1239.320 ;
RECT 4.000 1230.480 796.000 1237.920 ;
RECT 4.400 1229.080 796.000 1230.480 ;
RECT 4.000 1226.400 796.000 1229.080 ;
RECT 4.000 1225.000 795.600 1226.400 ;
RECT 4.000 1216.880 796.000 1225.000 ;
RECT 4.400 1215.480 796.000 1216.880 ;
RECT 4.000 1213.480 796.000 1215.480 ;
RECT 4.000 1212.080 795.600 1213.480 ;
RECT 4.000 1203.280 796.000 1212.080 ;
RECT 4.400 1201.880 796.000 1203.280 ;
RECT 4.000 1200.560 796.000 1201.880 ;
RECT 4.000 1199.160 795.600 1200.560 ;
RECT 4.000 1190.360 796.000 1199.160 ;
RECT 4.400 1188.960 796.000 1190.360 ;
RECT 4.000 1186.960 796.000 1188.960 ;
RECT 4.000 1185.560 795.600 1186.960 ;
RECT 4.000 1176.760 796.000 1185.560 ;
RECT 4.400 1175.360 796.000 1176.760 ;
RECT 4.000 1174.040 796.000 1175.360 ;
RECT 4.000 1172.640 795.600 1174.040 ;
RECT 4.000 1163.160 796.000 1172.640 ;
RECT 4.400 1161.760 796.000 1163.160 ;
RECT 4.000 1161.120 796.000 1161.760 ;
RECT 4.000 1159.720 795.600 1161.120 ;
RECT 4.000 1149.560 796.000 1159.720 ;
RECT 4.400 1148.160 796.000 1149.560 ;
RECT 4.000 1147.520 796.000 1148.160 ;
RECT 4.000 1146.120 795.600 1147.520 ;
RECT 4.000 1135.960 796.000 1146.120 ;
RECT 4.400 1134.600 796.000 1135.960 ;
RECT 4.400 1134.560 795.600 1134.600 ;
RECT 4.000 1133.200 795.600 1134.560 ;
RECT 4.000 1123.040 796.000 1133.200 ;
RECT 4.400 1121.680 796.000 1123.040 ;
RECT 4.400 1121.640 795.600 1121.680 ;
RECT 4.000 1120.280 795.600 1121.640 ;
RECT 4.000 1109.440 796.000 1120.280 ;
RECT 4.400 1108.760 796.000 1109.440 ;
RECT 4.400 1108.040 795.600 1108.760 ;
RECT 4.000 1107.360 795.600 1108.040 ;
RECT 4.000 1095.840 796.000 1107.360 ;
RECT 4.400 1095.160 796.000 1095.840 ;
RECT 4.400 1094.440 795.600 1095.160 ;
RECT 4.000 1093.760 795.600 1094.440 ;
RECT 4.000 1082.240 796.000 1093.760 ;
RECT 4.400 1080.840 795.600 1082.240 ;
RECT 4.000 1069.320 796.000 1080.840 ;
RECT 4.400 1067.920 795.600 1069.320 ;
RECT 4.000 1055.720 796.000 1067.920 ;
RECT 4.400 1054.320 795.600 1055.720 ;
RECT 4.000 1042.800 796.000 1054.320 ;
RECT 4.000 1042.120 795.600 1042.800 ;
RECT 4.400 1041.400 795.600 1042.120 ;
RECT 4.400 1040.720 796.000 1041.400 ;
RECT 4.000 1029.880 796.000 1040.720 ;
RECT 4.000 1028.520 795.600 1029.880 ;
RECT 4.400 1028.480 795.600 1028.520 ;
RECT 4.400 1027.120 796.000 1028.480 ;
RECT 4.000 1016.960 796.000 1027.120 ;
RECT 4.000 1015.560 795.600 1016.960 ;
RECT 4.000 1014.920 796.000 1015.560 ;
RECT 4.400 1013.520 796.000 1014.920 ;
RECT 4.000 1003.360 796.000 1013.520 ;
RECT 4.000 1002.000 795.600 1003.360 ;
RECT 4.400 1001.960 795.600 1002.000 ;
RECT 4.400 1000.600 796.000 1001.960 ;
RECT 4.000 990.440 796.000 1000.600 ;
RECT 4.000 989.040 795.600 990.440 ;
RECT 4.000 988.400 796.000 989.040 ;
RECT 4.400 987.000 796.000 988.400 ;
RECT 4.000 977.520 796.000 987.000 ;
RECT 4.000 976.120 795.600 977.520 ;
RECT 4.000 974.800 796.000 976.120 ;
RECT 4.400 973.400 796.000 974.800 ;
RECT 4.000 963.920 796.000 973.400 ;
RECT 4.000 962.520 795.600 963.920 ;
RECT 4.000 961.200 796.000 962.520 ;
RECT 4.400 959.800 796.000 961.200 ;
RECT 4.000 951.000 796.000 959.800 ;
RECT 4.000 949.600 795.600 951.000 ;
RECT 4.000 948.280 796.000 949.600 ;
RECT 4.400 946.880 796.000 948.280 ;
RECT 4.000 938.080 796.000 946.880 ;
RECT 4.000 936.680 795.600 938.080 ;
RECT 4.000 934.680 796.000 936.680 ;
RECT 4.400 933.280 796.000 934.680 ;
RECT 4.000 925.160 796.000 933.280 ;
RECT 4.000 923.760 795.600 925.160 ;
RECT 4.000 921.080 796.000 923.760 ;
RECT 4.400 919.680 796.000 921.080 ;
RECT 4.000 911.560 796.000 919.680 ;
RECT 4.000 910.160 795.600 911.560 ;
RECT 4.000 907.480 796.000 910.160 ;
RECT 4.400 906.080 796.000 907.480 ;
RECT 4.000 898.640 796.000 906.080 ;
RECT 4.000 897.240 795.600 898.640 ;
RECT 4.000 894.560 796.000 897.240 ;
RECT 4.400 893.160 796.000 894.560 ;
RECT 4.000 885.720 796.000 893.160 ;
RECT 4.000 884.320 795.600 885.720 ;
RECT 4.000 880.960 796.000 884.320 ;
RECT 4.400 879.560 796.000 880.960 ;
RECT 4.000 872.120 796.000 879.560 ;
RECT 4.000 870.720 795.600 872.120 ;
RECT 4.000 867.360 796.000 870.720 ;
RECT 4.400 865.960 796.000 867.360 ;
RECT 4.000 859.200 796.000 865.960 ;
RECT 4.000 857.800 795.600 859.200 ;
RECT 4.000 853.760 796.000 857.800 ;
RECT 4.400 852.360 796.000 853.760 ;
RECT 4.000 846.280 796.000 852.360 ;
RECT 4.000 844.880 795.600 846.280 ;
RECT 4.000 840.160 796.000 844.880 ;
RECT 4.400 838.760 796.000 840.160 ;
RECT 4.000 833.360 796.000 838.760 ;
RECT 4.000 831.960 795.600 833.360 ;
RECT 4.000 827.240 796.000 831.960 ;
RECT 4.400 825.840 796.000 827.240 ;
RECT 4.000 819.760 796.000 825.840 ;
RECT 4.000 818.360 795.600 819.760 ;
RECT 4.000 813.640 796.000 818.360 ;
RECT 4.400 812.240 796.000 813.640 ;
RECT 4.000 806.840 796.000 812.240 ;
RECT 4.000 805.440 795.600 806.840 ;
RECT 4.000 800.040 796.000 805.440 ;
RECT 4.400 798.640 796.000 800.040 ;
RECT 4.000 793.920 796.000 798.640 ;
RECT 4.000 792.520 795.600 793.920 ;
RECT 4.000 786.440 796.000 792.520 ;
RECT 4.400 785.040 796.000 786.440 ;
RECT 4.000 780.320 796.000 785.040 ;
RECT 4.000 778.920 795.600 780.320 ;
RECT 4.000 773.520 796.000 778.920 ;
RECT 4.400 772.120 796.000 773.520 ;
RECT 4.000 767.400 796.000 772.120 ;
RECT 4.000 766.000 795.600 767.400 ;
RECT 4.000 759.920 796.000 766.000 ;
RECT 4.400 758.520 796.000 759.920 ;
RECT 4.000 754.480 796.000 758.520 ;
RECT 4.000 753.080 795.600 754.480 ;
RECT 4.000 746.320 796.000 753.080 ;
RECT 4.400 744.920 796.000 746.320 ;
RECT 4.000 741.560 796.000 744.920 ;
RECT 4.000 740.160 795.600 741.560 ;
RECT 4.000 732.720 796.000 740.160 ;
RECT 4.400 731.320 796.000 732.720 ;
RECT 4.000 727.960 796.000 731.320 ;
RECT 4.000 726.560 795.600 727.960 ;
RECT 4.000 719.120 796.000 726.560 ;
RECT 4.400 717.720 796.000 719.120 ;
RECT 4.000 715.040 796.000 717.720 ;
RECT 4.000 713.640 795.600 715.040 ;
RECT 4.000 706.200 796.000 713.640 ;
RECT 4.400 704.800 796.000 706.200 ;
RECT 4.000 702.120 796.000 704.800 ;
RECT 4.000 700.720 795.600 702.120 ;
RECT 4.000 692.600 796.000 700.720 ;
RECT 4.400 691.200 796.000 692.600 ;
RECT 4.000 688.520 796.000 691.200 ;
RECT 4.000 687.120 795.600 688.520 ;
RECT 4.000 679.000 796.000 687.120 ;
RECT 4.400 677.600 796.000 679.000 ;
RECT 4.000 675.600 796.000 677.600 ;
RECT 4.000 674.200 795.600 675.600 ;
RECT 4.000 665.400 796.000 674.200 ;
RECT 4.400 664.000 796.000 665.400 ;
RECT 4.000 662.680 796.000 664.000 ;
RECT 4.000 661.280 795.600 662.680 ;
RECT 4.000 652.480 796.000 661.280 ;
RECT 4.400 651.080 796.000 652.480 ;
RECT 4.000 649.760 796.000 651.080 ;
RECT 4.000 648.360 795.600 649.760 ;
RECT 4.000 638.880 796.000 648.360 ;
RECT 4.400 637.480 796.000 638.880 ;
RECT 4.000 636.160 796.000 637.480 ;
RECT 4.000 634.760 795.600 636.160 ;
RECT 4.000 625.280 796.000 634.760 ;
RECT 4.400 623.880 796.000 625.280 ;
RECT 4.000 623.240 796.000 623.880 ;
RECT 4.000 621.840 795.600 623.240 ;
RECT 4.000 611.680 796.000 621.840 ;
RECT 4.400 610.320 796.000 611.680 ;
RECT 4.400 610.280 795.600 610.320 ;
RECT 4.000 608.920 795.600 610.280 ;
RECT 4.000 598.760 796.000 608.920 ;
RECT 4.400 597.360 796.000 598.760 ;
RECT 4.000 596.720 796.000 597.360 ;
RECT 4.000 595.320 795.600 596.720 ;
RECT 4.000 585.160 796.000 595.320 ;
RECT 4.400 583.800 796.000 585.160 ;
RECT 4.400 583.760 795.600 583.800 ;
RECT 4.000 582.400 795.600 583.760 ;
RECT 4.000 571.560 796.000 582.400 ;
RECT 4.400 570.880 796.000 571.560 ;
RECT 4.400 570.160 795.600 570.880 ;
RECT 4.000 569.480 795.600 570.160 ;
RECT 4.000 557.960 796.000 569.480 ;
RECT 4.400 556.560 795.600 557.960 ;
RECT 4.000 544.360 796.000 556.560 ;
RECT 4.400 542.960 795.600 544.360 ;
RECT 4.000 531.440 796.000 542.960 ;
RECT 4.400 530.040 795.600 531.440 ;
RECT 4.000 518.520 796.000 530.040 ;
RECT 4.000 517.840 795.600 518.520 ;
RECT 4.400 517.120 795.600 517.840 ;
RECT 4.400 516.440 796.000 517.120 ;
RECT 4.000 504.920 796.000 516.440 ;
RECT 4.000 504.240 795.600 504.920 ;
RECT 4.400 503.520 795.600 504.240 ;
RECT 4.400 502.840 796.000 503.520 ;
RECT 4.000 492.000 796.000 502.840 ;
RECT 4.000 490.640 795.600 492.000 ;
RECT 4.400 490.600 795.600 490.640 ;
RECT 4.400 489.240 796.000 490.600 ;
RECT 4.000 479.080 796.000 489.240 ;
RECT 4.000 477.720 795.600 479.080 ;
RECT 4.400 477.680 795.600 477.720 ;
RECT 4.400 476.320 796.000 477.680 ;
RECT 4.000 466.160 796.000 476.320 ;
RECT 4.000 464.760 795.600 466.160 ;
RECT 4.000 464.120 796.000 464.760 ;
RECT 4.400 462.720 796.000 464.120 ;
RECT 4.000 452.560 796.000 462.720 ;
RECT 4.000 451.160 795.600 452.560 ;
RECT 4.000 450.520 796.000 451.160 ;
RECT 4.400 449.120 796.000 450.520 ;
RECT 4.000 439.640 796.000 449.120 ;
RECT 4.000 438.240 795.600 439.640 ;
RECT 4.000 436.920 796.000 438.240 ;
RECT 4.400 435.520 796.000 436.920 ;
RECT 4.000 426.720 796.000 435.520 ;
RECT 4.000 425.320 795.600 426.720 ;
RECT 4.000 423.320 796.000 425.320 ;
RECT 4.400 421.920 796.000 423.320 ;
RECT 4.000 413.120 796.000 421.920 ;
RECT 4.000 411.720 795.600 413.120 ;
RECT 4.000 410.400 796.000 411.720 ;
RECT 4.400 409.000 796.000 410.400 ;
RECT 4.000 400.200 796.000 409.000 ;
RECT 4.000 398.800 795.600 400.200 ;
RECT 4.000 396.800 796.000 398.800 ;
RECT 4.400 395.400 796.000 396.800 ;
RECT 4.000 387.280 796.000 395.400 ;
RECT 4.000 385.880 795.600 387.280 ;
RECT 4.000 383.200 796.000 385.880 ;
RECT 4.400 381.800 796.000 383.200 ;
RECT 4.000 374.360 796.000 381.800 ;
RECT 4.000 372.960 795.600 374.360 ;
RECT 4.000 369.600 796.000 372.960 ;
RECT 4.400 368.200 796.000 369.600 ;
RECT 4.000 360.760 796.000 368.200 ;
RECT 4.000 359.360 795.600 360.760 ;
RECT 4.000 356.680 796.000 359.360 ;
RECT 4.400 355.280 796.000 356.680 ;
RECT 4.000 347.840 796.000 355.280 ;
RECT 4.000 346.440 795.600 347.840 ;
RECT 4.000 343.080 796.000 346.440 ;
RECT 4.400 341.680 796.000 343.080 ;
RECT 4.000 334.920 796.000 341.680 ;
RECT 4.000 333.520 795.600 334.920 ;
RECT 4.000 329.480 796.000 333.520 ;
RECT 4.400 328.080 796.000 329.480 ;
RECT 4.000 321.320 796.000 328.080 ;
RECT 4.000 319.920 795.600 321.320 ;
RECT 4.000 315.880 796.000 319.920 ;
RECT 4.400 314.480 796.000 315.880 ;
RECT 4.000 308.400 796.000 314.480 ;
RECT 4.000 307.000 795.600 308.400 ;
RECT 4.000 302.960 796.000 307.000 ;
RECT 4.400 301.560 796.000 302.960 ;
RECT 4.000 295.480 796.000 301.560 ;
RECT 4.000 294.080 795.600 295.480 ;
RECT 4.000 289.360 796.000 294.080 ;
RECT 4.400 287.960 796.000 289.360 ;
RECT 4.000 282.560 796.000 287.960 ;
RECT 4.000 281.160 795.600 282.560 ;
RECT 4.000 275.760 796.000 281.160 ;
RECT 4.400 274.360 796.000 275.760 ;
RECT 4.000 268.960 796.000 274.360 ;
RECT 4.000 267.560 795.600 268.960 ;
RECT 4.000 262.160 796.000 267.560 ;
RECT 4.400 260.760 796.000 262.160 ;
RECT 4.000 256.040 796.000 260.760 ;
RECT 4.000 254.640 795.600 256.040 ;
RECT 4.000 248.560 796.000 254.640 ;
RECT 4.400 247.160 796.000 248.560 ;
RECT 4.000 243.120 796.000 247.160 ;
RECT 4.000 241.720 795.600 243.120 ;
RECT 4.000 235.640 796.000 241.720 ;
RECT 4.400 234.240 796.000 235.640 ;
RECT 4.000 229.520 796.000 234.240 ;
RECT 4.000 228.120 795.600 229.520 ;
RECT 4.000 222.040 796.000 228.120 ;
RECT 4.400 220.640 796.000 222.040 ;
RECT 4.000 216.600 796.000 220.640 ;
RECT 4.000 215.200 795.600 216.600 ;
RECT 4.000 208.440 796.000 215.200 ;
RECT 4.400 207.040 796.000 208.440 ;
RECT 4.000 203.680 796.000 207.040 ;
RECT 4.000 202.280 795.600 203.680 ;
RECT 4.000 194.840 796.000 202.280 ;
RECT 4.400 193.440 796.000 194.840 ;
RECT 4.000 190.760 796.000 193.440 ;
RECT 4.000 189.360 795.600 190.760 ;
RECT 4.000 181.920 796.000 189.360 ;
RECT 4.400 180.520 796.000 181.920 ;
RECT 4.000 177.160 796.000 180.520 ;
RECT 4.000 175.760 795.600 177.160 ;
RECT 4.000 168.320 796.000 175.760 ;
RECT 4.400 166.920 796.000 168.320 ;
RECT 4.000 164.240 796.000 166.920 ;
RECT 4.000 162.840 795.600 164.240 ;
RECT 4.000 154.720 796.000 162.840 ;
RECT 4.400 153.320 796.000 154.720 ;
RECT 4.000 151.320 796.000 153.320 ;
RECT 4.000 149.920 795.600 151.320 ;
RECT 4.000 141.120 796.000 149.920 ;
RECT 4.400 139.720 796.000 141.120 ;
RECT 4.000 137.720 796.000 139.720 ;
RECT 4.000 136.320 795.600 137.720 ;
RECT 4.000 127.520 796.000 136.320 ;
RECT 4.400 126.120 796.000 127.520 ;
RECT 4.000 124.800 796.000 126.120 ;
RECT 4.000 123.400 795.600 124.800 ;
RECT 4.000 114.600 796.000 123.400 ;
RECT 4.400 113.200 796.000 114.600 ;
RECT 4.000 111.880 796.000 113.200 ;
RECT 4.000 110.480 795.600 111.880 ;
RECT 4.000 101.000 796.000 110.480 ;
RECT 4.400 99.600 796.000 101.000 ;
RECT 4.000 98.960 796.000 99.600 ;
RECT 4.000 97.560 795.600 98.960 ;
RECT 4.000 87.400 796.000 97.560 ;
RECT 4.400 86.000 796.000 87.400 ;
RECT 4.000 85.360 796.000 86.000 ;
RECT 4.000 83.960 795.600 85.360 ;
RECT 4.000 73.800 796.000 83.960 ;
RECT 4.400 72.440 796.000 73.800 ;
RECT 4.400 72.400 795.600 72.440 ;
RECT 4.000 71.040 795.600 72.400 ;
RECT 4.000 60.880 796.000 71.040 ;
RECT 4.400 59.520 796.000 60.880 ;
RECT 4.400 59.480 795.600 59.520 ;
RECT 4.000 58.120 795.600 59.480 ;
RECT 4.000 47.280 796.000 58.120 ;
RECT 4.400 45.920 796.000 47.280 ;
RECT 4.400 45.880 795.600 45.920 ;
RECT 4.000 44.520 795.600 45.880 ;
RECT 4.000 33.680 796.000 44.520 ;
RECT 4.400 33.000 796.000 33.680 ;
RECT 4.400 32.280 795.600 33.000 ;
RECT 4.000 31.600 795.600 32.280 ;
RECT 4.000 20.080 796.000 31.600 ;
RECT 4.400 18.680 795.600 20.080 ;
RECT 4.000 7.160 796.000 18.680 ;
RECT 4.400 6.295 795.600 7.160 ;
LAYER met4 ;
RECT 132.775 15.815 174.240 407.145 ;
RECT 176.640 15.815 250.865 407.145 ;
END
END user_proj_example
END LIBRARY