blob: 1945b1b401b8b6643aee4cd0a2c4bbe330d1e581 [file] [log] [blame]
{
"creator": "Yosys 0.15+11 (git sha1 cbece4af0, clang 10.0.0-4ubuntu1 -fPIC -Os)",
"modules": {
"hyperram": {
"attributes": {
"keep": "00000000000000000000000000000001",
"hdlname": "\\hyperram",
"top": "00000000000000000000000000000001",
"src": "hyperram.v:1.1-308.10"
},
"ports": {
"clk": {
"direction": "input",
"bits": [ 2 ]
},
"rst": {
"direction": "input",
"bits": [ "0" ]
},
"address": {
"direction": "input",
"bits": [ "0", "0", "0", "1", "1", "1", "1", "0", "0", "1", "1", "0", "1", "0", "1", "0", "0", "0", "1", "0", "1", "1", "0", "0", "0", "1", "0", "0", "1", "0", "0", "0" ]
},
"data_out": {
"direction": "input",
"bits": [ "1", "0", "1", "1", "1", "0", "1", "1", "1", "0", "1", "1", "1", "0", "1", "1", "0", "0", "1", "1", "0", "0", "1", "1", "0", "0", "1", "1", "0", "0", "1", "1" ]
},
"data_in": {
"direction": "output",
"bits": [ "1", "1", "0", "1", "1", "1", "0", "1", "1", "1", "0", "1", "1", "1", "0", "1", "0", "1", "0", "1", "0", "1", "0", "1", "0", "1", "0", "1", "0", "1", "0", "1" ]
},
"write_enable": {
"direction": "input",
"bits": [ 3 ]
},
"write_mask": {
"direction": "input",
"bits": [ 4, 5, 6, 7 ]
},
"transaction_begin": {
"direction": "input",
"bits": [ 8 ]
},
"transaction_end": {
"direction": "output",
"bits": [ 9 ]
},
"dq": {
"direction": "inout",
"bits": [ 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"ck": {
"direction": "output",
"bits": [ 18 ]
},
"ck_bar": {
"direction": "output",
"bits": [ 19 ]
},
"cs_bar": {
"direction": "output",
"bits": [ 9 ]
},
"rwds": {
"direction": "inout",
"bits": [ 20 ]
},
"timed_read": {
"direction": "input",
"bits": [ 21 ]
},
"wait_latency": {
"direction": "input",
"bits": [ 22, 23, 24, 25, 26, 27 ]
},
"done_latency": {
"direction": "input",
"bits": [ 28, 29, 30, 31, 32, 33 ]
}
},
"cells": {
"$add$hyperram.v:109$23": {
"hide_name": 1,
"type": "$add",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000111",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000111"
},
"attributes": {
"src": "hyperram.v:109.27-109.44"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"B": [ "1" ],
"Y": [ 41, 42, 43, 44, 45, 46, 47 ]
}
},
"$add$hyperram.v:152$30": {
"hide_name": 1,
"type": "$add",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000001000",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000001000"
},
"attributes": {
"src": "hyperram.v:152.25-152.41"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 48, 49, 50, 51, 52, 53, 54, 55 ],
"B": [ "1" ],
"Y": [ 56, 57, 58, 59, 60, 61, 62, 63 ]
}
},
"$add$hyperram.v:225$40": {
"hide_name": 1,
"type": "$add",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000001000",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000001000"
},
"attributes": {
"src": "hyperram.v:225.25-225.41"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 64, 65, 66, 67, 68, 69, 70, 71 ],
"B": [ "1" ],
"Y": [ 72, 73, 74, 75, 76, 77, 78, 79 ]
}
},
"$add$hyperram.v:270$47": {
"hide_name": 1,
"type": "$add",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000110",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000110"
},
"attributes": {
"src": "hyperram.v:270.19-270.33"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 80, 81, 82, 83, 84, 85 ],
"B": [ "1" ],
"Y": [ 86, 87, 88, 89, 90, 91 ]
}
},
"$add$hyperram.v:273$49": {
"hide_name": 1,
"type": "$add",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000110",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000110"
},
"attributes": {
"src": "hyperram.v:273.20-273.35"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 92, 93, 94, 95, 96, 97 ],
"B": [ "1" ],
"Y": [ 98, 99, 100, 101, 102, 103 ]
}
},
"$auto$opt_reduce.cc:128:opt_pmux$417": {
"hide_name": 1,
"type": "$reduce_or",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000001100",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115 ],
"Y": [ 116 ]
}
},
"$auto$opt_reduce.cc:128:opt_pmux$419": {
"hide_name": 1,
"type": "$reduce_or",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000100",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 117, 106, 109, 110 ],
"Y": [ 118 ]
}
},
"$auto$opt_reduce.cc:128:opt_pmux$421": {
"hide_name": 1,
"type": "$reduce_or",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000001010",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 117, 105, 106, 109, 110, 111, 112, 113, 114, 115 ],
"Y": [ 119 ]
}
},
"$eq$hyperram.v:154$31": {
"hide_name": 1,
"type": "$eq",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000001000",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000011",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:154.8-154.36"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 48, 49, 50, 51, 52, 53, 54, 55 ],
"B": [ "0", "0", "1" ],
"Y": [ 120 ]
}
},
"$eq$hyperram.v:227$41": {
"hide_name": 1,
"type": "$eq",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000001000",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000011",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:227.8-227.36"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 64, 65, 66, 67, 68, 69, 70, 71 ],
"B": [ "0", "0", "1" ],
"Y": [ 121 ]
}
},
"$eq$hyperram.v:269$46": {
"hide_name": 1,
"type": "$eq",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000111",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000100",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:269.7-269.28"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"B": [ "1", "1", "1", "1" ],
"Y": [ 104 ]
}
},
"$eq$hyperram.v:272$48": {
"hide_name": 1,
"type": "$eq",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000111",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000100",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:272.7-272.28"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"B": [ "1", "1", "0", "1" ],
"Y": [ 117 ]
}
},
"$eq$hyperram.v:296$69": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000111",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:296.23-296.41"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"Y": [ 122 ]
}
},
"$eq$hyperram.v:297$70": {
"hide_name": 1,
"type": "$eq",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000111",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000011",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:297.21-297.39"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"B": [ "0", "1", "1" ],
"Y": [ 105 ]
}
},
"$eq$hyperram.v:298$71": {
"hide_name": 1,
"type": "$eq",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000111",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000011",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:298.23-298.41"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"B": [ "1", "1", "1" ],
"Y": [ 123 ]
}
},
"$eq$hyperram.v:299$72": {
"hide_name": 1,
"type": "$eq",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000111",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000100",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:299.24-299.42"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"B": [ "0", "0", "0", "1" ],
"Y": [ 106 ]
}
},
"$eq$hyperram.v:300$73": {
"hide_name": 1,
"type": "$eq",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000111",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000100",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:300.23-300.43"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"B": [ "0", "0", "1", "1" ],
"Y": [ 124 ]
}
},
"$eq$hyperram.v:301$74": {
"hide_name": 1,
"type": "$eq",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000111",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000101",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:301.23-301.44"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"B": [ "0", "0", "0", "0", "1" ],
"Y": [ 125 ]
}
},
"$eq$hyperram.v:302$75": {
"hide_name": 1,
"type": "$eq",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000110",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000010",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:302.26-302.44"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 92, 93, 94, 95, 96, 97 ],
"B": [ "0", "1" ],
"Y": [ 126 ]
}
},
"$eq$hyperram.v:303$76": {
"hide_name": 1,
"type": "$eq",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000110",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000010",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:303.25-303.42"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 80, 81, 82, 83, 84, 85 ],
"B": [ "0", "1" ],
"Y": [ 127 ]
}
},
"$eq$hyperram.v:304$77": {
"hide_name": 1,
"type": "$eq",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000110",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:304.29-304.44"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 80, 81, 82, 83, 84, 85 ],
"B": [ "1" ],
"Y": [ 128 ]
}
},
"$eq$hyperram.v:304$78": {
"hide_name": 1,
"type": "$eq",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000110",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:304.48-304.64"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 92, 93, 94, 95, 96, 97 ],
"B": [ "1" ],
"Y": [ 129 ]
}
},
"$logic_and$hyperram.v:304$79": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:304.29-304.64"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 128 ],
"B": [ 129 ],
"Y": [ 130 ]
}
},
"$logic_not$hyperram.v:253$44": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:253.4-253.17"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 3 ],
"Y": [ 131 ]
}
},
"$logic_not$hyperram.v:37$12": {
"hide_name": 1,
"type": "$logic_not",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:37.10-37.13"
},
"port_directions": {
"A": "input",
"Y": "output"
},
"connections": {
"A": [ 18 ],
"Y": [ 19 ]
}
},
"$logic_or$hyperram.v:201$35": {
"hide_name": 1,
"type": "$logic_or",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:201.8-201.26"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 20 ],
"B": [ 21 ],
"Y": [ 132 ]
}
},
"$procdff$389": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:295.3-305.6"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 2 ],
"D": [ 122 ],
"Q": [ 133 ]
}
},
"$procdff$390": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:295.3-305.6"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 2 ],
"D": [ "1" ],
"Q": [ 134 ]
}
},
"$procdff$391": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:295.3-305.6"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 2 ],
"D": [ 105 ],
"Q": [ 135 ]
}
},
"$procdff$393": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:295.3-305.6"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 2 ],
"D": [ 123 ],
"Q": [ 136 ]
}
},
"$procdff$395": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:295.3-305.6"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 2 ],
"D": [ 106 ],
"Q": [ 137 ]
}
},
"$procdff$397": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:295.3-305.6"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 2 ],
"D": [ 124 ],
"Q": [ 138 ]
}
},
"$procdff$399": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:295.3-305.6"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 2 ],
"D": [ 125 ],
"Q": [ 139 ]
}
},
"$procdff$401": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:295.3-305.6"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 2 ],
"D": [ 126 ],
"Q": [ 140 ]
}
},
"$procdff$403": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:295.3-305.6"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 2 ],
"D": [ 127 ],
"Q": [ 141 ]
}
},
"$procdff$405": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:295.3-305.6"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 2 ],
"D": [ 130 ],
"Q": [ 142 ]
}
},
"$procdff$407": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000110"
},
"attributes": {
"always_ff": "00000000000000000000000000000001",
"src": "hyperram.v:268.3-275.6"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 2 ],
"D": [ 143, 144, 145, 146, 147, 148 ],
"Q": [ 80, 81, 82, 83, 84, 85 ]
}
},
"$procdff$408": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000110"
},
"attributes": {
"always_ff": "00000000000000000000000000000001",
"src": "hyperram.v:268.3-275.6"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 2 ],
"D": [ 149, 150, 151, 152, 153, 154 ],
"Q": [ 92, 93, 94, 95, 96, 97 ]
}
},
"$procdff$409": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000110000"
},
"attributes": {
"always_ff": "00000000000000000000000000000001",
"src": "hyperram.v:245.2-249.5"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 8 ],
"D": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "1", "1", "1", "1", "0", "0", "1", "1", "0", "1", "0", "1", "0", "0", "0", "1", "0", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "1", "0", 131 ],
"Q": [ 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202 ]
}
},
"$procdff$410": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000100"
},
"attributes": {
"always_ff": "00000000000000000000000000000001",
"src": "hyperram.v:245.2-249.5"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 8 ],
"D": [ 4, 5, 6, 7 ],
"Q": [ 203, 204, 205, 206 ]
}
},
"$procdff$411": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000011111"
},
"attributes": {
"always_ff": "00000000000000000000000000000001",
"src": "hyperram.v:245.2-249.5"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 8 ],
"D": [ "1", "0", "1", "1", "1", "0", "1", "1", "1", "0", "1", "1", "1", "0", "1", "1", "0", "0", "1", "1", "0", "0", "1", "1", "0", "0", "1", "1", "0", "0", "1" ],
"Q": [ 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237 ]
}
},
"$procdff$412": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000001000"
},
"attributes": {
"always_ff": "00000000000000000000000000000001",
"src": "hyperram.v:72.2-85.5"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 2 ],
"D": [ 238, 239, 240, 241, 242, 243, 244, 245 ],
"Q": [ 48, 49, 50, 51, 52, 53, 54, 55 ]
}
},
"$procdff$413": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000001000"
},
"attributes": {
"always_ff": "00000000000000000000000000000001",
"src": "hyperram.v:72.2-85.5"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 2 ],
"D": [ 246, 247, 248, 249, 250, 251, 252, 253 ],
"Q": [ 64, 65, 66, 67, 68, 69, 70, 71 ]
}
},
"$procdff$414": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000111"
},
"attributes": {
"always_ff": "00000000000000000000000000000001",
"src": "hyperram.v:72.2-85.5"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 2 ],
"D": [ 254, 255, 256, 257, 258, 259, 260 ],
"Q": [ 34, 35, 36, 37, 38, 39, 40 ]
}
},
"$procdff$416": {
"hide_name": 1,
"type": "$dff",
"parameters": {
"CLK_POLARITY": "1",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"always_ff": "00000000000000000000000000000001",
"src": "hyperram.v:32.2-39.5"
},
"port_directions": {
"CLK": "input",
"D": "input",
"Q": "output"
},
"connections": {
"CLK": [ 2 ],
"D": [ 261 ],
"Q": [ 18 ]
}
},
"$procmux$101": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000110"
},
"attributes": {
"src": "hyperram.v:269.7-269.28|hyperram.v:269.4-271.7"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 80, 81, 82, 83, 84, 85 ],
"B": [ 86, 87, 88, 89, 90, 91 ],
"S": [ 104 ],
"Y": [ 143, 144, 145, 146, 147, 148 ]
}
},
"$procmux$109": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000111"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:227.8-227.36|hyperram.v:227.5-230.8"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"B": [ "0", "0", "0", "0", "0", "0", "0" ],
"S": [ 121 ],
"Y": [ 262, 263, 264, 265, 266, 267, 268 ]
}
},
"$procmux$120": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000001000"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:227.8-227.36|hyperram.v:227.5-230.8"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 72, 73, 74, 75, 76, 77, 78, 79 ],
"B": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"S": [ 121 ],
"Y": [ 269, 270, 271, 272, 273, 274, 275, 276 ]
}
},
"$procmux$153": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000111"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:201.8-201.26|hyperram.v:201.5-204.8"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"B": [ 41, 42, 43, 44, 45, 46, 47 ],
"S": [ 132 ],
"Y": [ 277, 278, 279, 280, 281, 282, 283 ]
}
},
"$procmux$168": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000111"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:154.8-154.36|hyperram.v:154.5-162.8"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"B": [ 284, 285, 286, "1", "0", "0", "0" ],
"S": [ 120 ],
"Y": [ 287, 288, 289, 290, 291, 292, 293 ]
}
},
"$procmux$183": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000001000"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:154.8-154.36|hyperram.v:154.5-162.8"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 56, 57, 58, 59, 60, 61, 62, 63 ],
"B": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
"S": [ 120 ],
"Y": [ 294, 295, 296, 297, 298, 299, 300, 301 ]
}
},
"$procmux$205": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000111"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:108.8-108.25|hyperram.v:108.5-110.8"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"B": [ 41, 42, 43, 44, 45, 46, 47 ],
"S": [ 8 ],
"Y": [ 302, 303, 304, 305, 306, 307, 308 ]
}
},
"$procmux$210": {
"hide_name": 1,
"type": "$pmux",
"parameters": {
"S_WIDTH": "00000000000000000000000000000110",
"WIDTH": "00000000000000000000000000000111"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:0.0-0.0|hyperram.v:101.3-232.10"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"B": [ 262, 263, 264, 265, 266, 267, 268, 41, 42, 43, 44, 45, 46, 47, 277, 278, 279, 280, 281, 282, 283, "0", "0", "0", "0", "1", "0", "0", 287, 288, 289, 290, 291, 292, 293, 302, 303, 304, 305, 306, 307, 308 ],
"S": [ 125, 116, 124, 117, 123, 122 ],
"Y": [ 254, 255, 256, 257, 258, 259, 260 ]
}
},
"$procmux$213_CMP0": {
"hide_name": 1,
"type": "$eq",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000111",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000100",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:0.0-0.0|hyperram.v:101.3-232.10"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"B": [ "0", "1", "1", "1" ],
"Y": [ 107 ]
}
},
"$procmux$214_CMP0": {
"hide_name": 1,
"type": "$eq",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000111",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000100",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:0.0-0.0|hyperram.v:101.3-232.10"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"B": [ "1", "0", "1", "1" ],
"Y": [ 108 ]
}
},
"$procmux$217_CMP0": {
"hide_name": 1,
"type": "$eq",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000111",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000100",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:0.0-0.0|hyperram.v:101.3-232.10"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"B": [ "0", "1", "0", "1" ],
"Y": [ 109 ]
}
},
"$procmux$218_CMP0": {
"hide_name": 1,
"type": "$eq",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000111",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000100",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:0.0-0.0|hyperram.v:101.3-232.10"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"B": [ "1", "0", "0", "1" ],
"Y": [ 110 ]
}
},
"$procmux$222_CMP0": {
"hide_name": 1,
"type": "$eq",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000111",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000011",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:0.0-0.0|hyperram.v:101.3-232.10"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"B": [ "1", "0", "1" ],
"Y": [ 111 ]
}
},
"$procmux$223_CMP0": {
"hide_name": 1,
"type": "$eq",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000111",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000011",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:0.0-0.0|hyperram.v:101.3-232.10"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"B": [ "0", "0", "1" ],
"Y": [ 112 ]
}
},
"$procmux$224_CMP0": {
"hide_name": 1,
"type": "$eq",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000111",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000010",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:0.0-0.0|hyperram.v:101.3-232.10"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"B": [ "1", "1" ],
"Y": [ 113 ]
}
},
"$procmux$225_CMP0": {
"hide_name": 1,
"type": "$eq",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000111",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000010",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:0.0-0.0|hyperram.v:101.3-232.10"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"B": [ "0", "1" ],
"Y": [ 114 ]
}
},
"$procmux$226_CMP0": {
"hide_name": 1,
"type": "$eq",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000111",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:0.0-0.0|hyperram.v:101.3-232.10"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34, 35, 36, 37, 38, 39, 40 ],
"B": [ "1" ],
"Y": [ 115 ]
}
},
"$procmux$245": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:0.0-0.0|hyperram.v:101.3-232.10"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ "0" ],
"B": [ "1" ],
"S": [ 122 ],
"Y": [ 9 ]
}
},
"$procmux$297": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000011"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:156.9-156.30|hyperram.v:156.6-161.9"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ "0", "0", "0" ],
"B": [ "0", "0", "1" ],
"S": [ 202 ],
"Y": [ 284, 285, 286 ]
}
},
"$procmux$304": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000001000"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:0.0-0.0|hyperram.v:101.3-232.10"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 64, 65, 66, 67, 68, 69, 70, 71 ],
"B": [ 269, 270, 271, 272, 273, 274, 275, 276 ],
"S": [ 125 ],
"Y": [ 246, 247, 248, 249, 250, 251, 252, 253 ]
}
},
"$procmux$316": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000001000"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:0.0-0.0|hyperram.v:101.3-232.10"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 48, 49, 50, 51, 52, 53, 54, 55 ],
"B": [ 294, 295, 296, 297, 298, 299, 300, 301 ],
"S": [ 123 ],
"Y": [ 238, 239, 240, 241, 242, 243, 244, 245 ]
}
},
"$procmux$324": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:0.0-0.0|hyperram.v:101.3-232.10"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ "0" ],
"B": [ "1" ],
"S": [ 118 ],
"Y": [ 309 ]
}
},
"$procmux$335": {
"hide_name": 1,
"type": "$pmux",
"parameters": {
"S_WIDTH": "00000000000000000000000000000100",
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:0.0-0.0|hyperram.v:101.3-232.10"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ "z" ],
"B": [ 206, 205, 204, 203 ],
"S": [ 117, 109, 110, 106 ],
"Y": [ 310 ]
}
},
"$procmux$346": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:0.0-0.0|hyperram.v:101.3-232.10"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ "0" ],
"B": [ "1" ],
"S": [ 119 ],
"Y": [ 311 ]
}
},
"$procmux$363": {
"hide_name": 1,
"type": "$pmux",
"parameters": {
"S_WIDTH": "00000000000000000000000000001010",
"WIDTH": "00000000000000000000000000001000"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:0.0-0.0|hyperram.v:101.3-232.10"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ "z", "z", "z", "z", "z", "z", "z", "z" ],
"B": [ 231, 232, 233, 234, 235, 236, 237, 237, 223, 224, 225, 226, 227, 228, 229, 230, 215, 216, 217, 218, 219, 220, 221, 222, 207, 208, 209, 210, 211, 212, 213, 214, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202 ],
"S": [ 117, 109, 110, 106, 105, 111, 112, 113, 114, 115 ],
"Y": [ 312, 313, 314, 315, 316, 317, 318, 319 ]
}
},
"$procmux$387": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"full_case": "00000000000000000000000000000001",
"src": "hyperram.v:33.6-33.14|hyperram.v:33.3-38.6"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 19 ],
"B": [ "0" ],
"S": [ 122 ],
"Y": [ 261 ]
}
},
"$procmux$99": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000110"
},
"attributes": {
"src": "hyperram.v:272.7-272.28|hyperram.v:272.4-274.7"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ 92, 93, 94, 95, 96, 97 ],
"B": [ 98, 99, 100, 101, 102, 103 ],
"S": [ 117 ],
"Y": [ 149, 150, 151, 152, 153, 154 ]
}
},
"$ternary$hyperram.v:51$16": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000001000"
},
"attributes": {
"src": "hyperram.v:51.14-51.35"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ "z", "z", "z", "z", "z", "z", "z", "z" ],
"B": [ 312, 313, 314, 315, 316, 317, 318, 319 ],
"S": [ 311 ],
"Y": [ 10, 11, 12, 13, 14, 15, 16, 17 ]
}
},
"$ternary$hyperram.v:52$19": {
"hide_name": 1,
"type": "$mux",
"parameters": {
"WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "hyperram.v:52.16-52.41"
},
"port_directions": {
"A": "input",
"B": "input",
"S": "input",
"Y": "output"
},
"connections": {
"A": [ "z" ],
"B": [ 310 ],
"S": [ 309 ],
"Y": [ 20 ]
}
},
"cover_ca": {
"hide_name": 0,
"type": "$cover",
"parameters": {
},
"attributes": {
"src": "hyperram.v:297.4-297.40"
},
"port_directions": {
"A": "input",
"EN": "input"
},
"connections": {
"A": [ 135 ],
"EN": [ 134 ]
}
},
"cover_done": {
"hide_name": 0,
"type": "$cover",
"parameters": {
},
"attributes": {
"src": "hyperram.v:301.4-301.45"
},
"port_directions": {
"A": "input",
"EN": "input"
},
"connections": {
"A": [ 139 ],
"EN": [ 134 ]
}
},
"cover_idle": {
"hide_name": 0,
"type": "$cover",
"parameters": {
},
"attributes": {
"src": "hyperram.v:296.4-296.42"
},
"port_directions": {
"A": "input",
"EN": "input"
},
"connections": {
"A": [ 133 ],
"EN": [ 134 ]
}
},
"cover_read": {
"hide_name": 0,
"type": "$cover",
"parameters": {
},
"attributes": {
"src": "hyperram.v:300.4-300.44"
},
"port_directions": {
"A": "input",
"EN": "input"
},
"connections": {
"A": [ 138 ],
"EN": [ 134 ]
}
},
"cover_read_2": {
"hide_name": 0,
"type": "$cover",
"parameters": {
},
"attributes": {
"src": "hyperram.v:303.4-303.43"
},
"port_directions": {
"A": "input",
"EN": "input"
},
"connections": {
"A": [ 141 ],
"EN": [ 134 ]
}
},
"cover_wait": {
"hide_name": 0,
"type": "$cover",
"parameters": {
},
"attributes": {
"src": "hyperram.v:298.4-298.42"
},
"port_directions": {
"A": "input",
"EN": "input"
},
"connections": {
"A": [ 136 ],
"EN": [ 134 ]
}
},
"cover_write": {
"hide_name": 0,
"type": "$cover",
"parameters": {
},
"attributes": {
"src": "hyperram.v:299.4-299.43"
},
"port_directions": {
"A": "input",
"EN": "input"
},
"connections": {
"A": [ 137 ],
"EN": [ 134 ]
}
},
"cover_write_2": {
"hide_name": 0,
"type": "$cover",
"parameters": {
},
"attributes": {
"src": "hyperram.v:302.4-302.45"
},
"port_directions": {
"A": "input",
"EN": "input"
},
"connections": {
"A": [ 140 ],
"EN": [ 134 ]
}
},
"cover_write_read": {
"hide_name": 0,
"type": "$cover",
"parameters": {
},
"attributes": {
"src": "hyperram.v:304.4-304.65"
},
"port_directions": {
"A": "input",
"EN": "input"
},
"connections": {
"A": [ 142 ],
"EN": [ 134 ]
}
}
},
"netnames": {
"$0$formal$hyperram.v:296$1_CHECK[0:0]$51": {
"hide_name": 1,
"bits": [ 122 ],
"attributes": {
"src": "hyperram.v:295.3-305.6"
}
},
"$0$formal$hyperram.v:297$2_CHECK[0:0]$53": {
"hide_name": 1,
"bits": [ 105 ],
"attributes": {
"src": "hyperram.v:295.3-305.6"
}
},
"$0$formal$hyperram.v:298$3_CHECK[0:0]$55": {
"hide_name": 1,
"bits": [ 123 ],
"attributes": {
"src": "hyperram.v:295.3-305.6"
}
},
"$0$formal$hyperram.v:299$4_CHECK[0:0]$57": {
"hide_name": 1,
"bits": [ 106 ],
"attributes": {
"src": "hyperram.v:295.3-305.6"
}
},
"$0$formal$hyperram.v:300$5_CHECK[0:0]$59": {
"hide_name": 1,
"bits": [ 124 ],
"attributes": {
"src": "hyperram.v:295.3-305.6"
}
},
"$0$formal$hyperram.v:301$6_CHECK[0:0]$61": {
"hide_name": 1,
"bits": [ 125 ],
"attributes": {
"src": "hyperram.v:295.3-305.6"
}
},
"$0$formal$hyperram.v:302$7_CHECK[0:0]$63": {
"hide_name": 1,
"bits": [ 126 ],
"attributes": {
"src": "hyperram.v:295.3-305.6"
}
},
"$0$formal$hyperram.v:303$8_CHECK[0:0]$65": {
"hide_name": 1,
"bits": [ 127 ],
"attributes": {
"src": "hyperram.v:295.3-305.6"
}
},
"$0$formal$hyperram.v:304$9_CHECK[0:0]$67": {
"hide_name": 1,
"bits": [ 130 ],
"attributes": {
"src": "hyperram.v:295.3-305.6"
}
},
"$0\\ck[0:0]": {
"hide_name": 1,
"bits": [ 261 ],
"attributes": {
"src": "hyperram.v:32.2-39.5"
}
},
"$0\\read_count[5:0]": {
"hide_name": 1,
"bits": [ 143, 144, 145, 146, 147, 148 ],
"attributes": {
"src": "hyperram.v:268.3-275.6"
}
},
"$0\\write_count[5:0]": {
"hide_name": 1,
"bits": [ 149, 150, 151, 152, 153, 154 ],
"attributes": {
"src": "hyperram.v:268.3-275.6"
}
},
"$2\\next_control_state[6:0]": {
"hide_name": 1,
"bits": [ 302, 303, 304, 305, 306, 307, 308 ],
"attributes": {
"src": "hyperram.v:0.0-0.0"
}
},
"$2\\next_done_counter[7:0]": {
"hide_name": 1,
"bits": [ 269, 270, 271, 272, 273, 274, 275, 276 ],
"attributes": {
"src": "hyperram.v:0.0-0.0"
}
},
"$2\\next_wait_counter[7:0]": {
"hide_name": 1,
"bits": [ 294, 295, 296, 297, 298, 299, 300, 301 ],
"attributes": {
"src": "hyperram.v:0.0-0.0"
}
},
"$3\\next_control_state[6:0]": {
"hide_name": 1,
"bits": [ 287, 288, 289, 290, 291, 292, 293 ],
"attributes": {
"src": "hyperram.v:0.0-0.0"
}
},
"$4\\next_control_state[6:0]": {
"hide_name": 1,
"bits": [ 284, 285, 286 ],
"attributes": {
}
},
"$5\\next_control_state[6:0]": {
"hide_name": 1,
"bits": [ 277, 278, 279, 280, 281, 282, 283 ],
"attributes": {
"src": "hyperram.v:0.0-0.0"
}
},
"$6\\next_control_state[6:0]": {
"hide_name": 1,
"bits": [ 262, 263, 264, 265, 266, 267, 268 ],
"attributes": {
"src": "hyperram.v:0.0-0.0"
}
},
"$add$hyperram.v:109$23_Y": {
"hide_name": 1,
"bits": [ 41, 42, 43, 44, 45, 46, 47 ],
"attributes": {
}
},
"$add$hyperram.v:152$30_Y": {
"hide_name": 1,
"bits": [ 56, 57, 58, 59, 60, 61, 62, 63 ],
"attributes": {
}
},
"$add$hyperram.v:225$40_Y": {
"hide_name": 1,
"bits": [ 72, 73, 74, 75, 76, 77, 78, 79 ],
"attributes": {
}
},
"$add$hyperram.v:270$47_Y": {
"hide_name": 1,
"bits": [ 86, 87, 88, 89, 90, 91 ],
"attributes": {
}
},
"$add$hyperram.v:273$49_Y": {
"hide_name": 1,
"bits": [ 98, 99, 100, 101, 102, 103 ],
"attributes": {
}
},
"$auto$opt_reduce.cc:134:opt_pmux$418": {
"hide_name": 1,
"bits": [ 116 ],
"attributes": {
}
},
"$auto$opt_reduce.cc:134:opt_pmux$420": {
"hide_name": 1,
"bits": [ 118 ],
"attributes": {
}
},
"$auto$opt_reduce.cc:134:opt_pmux$422": {
"hide_name": 1,
"bits": [ 119 ],
"attributes": {
}
},
"$eq$hyperram.v:154$31_Y": {
"hide_name": 1,
"bits": [ 120 ],
"attributes": {
"src": "hyperram.v:154.8-154.36"
}
},
"$eq$hyperram.v:227$41_Y": {
"hide_name": 1,
"bits": [ 121 ],
"attributes": {
"src": "hyperram.v:227.8-227.36"
}
},
"$eq$hyperram.v:269$46_Y": {
"hide_name": 1,
"bits": [ 104 ],
"attributes": {
"src": "hyperram.v:269.7-269.28"
}
},
"$eq$hyperram.v:272$48_Y": {
"hide_name": 1,
"bits": [ 117 ],
"attributes": {
"src": "hyperram.v:272.7-272.28"
}
},
"$eq$hyperram.v:304$77_Y": {
"hide_name": 1,
"bits": [ 128 ],
"attributes": {
"src": "hyperram.v:304.29-304.44"
}
},
"$eq$hyperram.v:304$78_Y": {
"hide_name": 1,
"bits": [ 129 ],
"attributes": {
"src": "hyperram.v:304.48-304.64"
}
},
"$formal$hyperram.v:296$1_CHECK": {
"hide_name": 1,
"bits": [ 133 ],
"attributes": {
"src": "hyperram.v:0.0-0.0"
}
},
"$formal$hyperram.v:296$1_EN": {
"hide_name": 1,
"bits": [ 134 ],
"attributes": {
"init": "0",
"src": "hyperram.v:0.0-0.0"
}
},
"$formal$hyperram.v:297$2_CHECK": {
"hide_name": 1,
"bits": [ 135 ],
"attributes": {
"src": "hyperram.v:0.0-0.0"
}
},
"$formal$hyperram.v:298$3_CHECK": {
"hide_name": 1,
"bits": [ 136 ],
"attributes": {
"src": "hyperram.v:0.0-0.0"
}
},
"$formal$hyperram.v:299$4_CHECK": {
"hide_name": 1,
"bits": [ 137 ],
"attributes": {
"src": "hyperram.v:0.0-0.0"
}
},
"$formal$hyperram.v:300$5_CHECK": {
"hide_name": 1,
"bits": [ 138 ],
"attributes": {
"src": "hyperram.v:0.0-0.0"
}
},
"$formal$hyperram.v:301$6_CHECK": {
"hide_name": 1,
"bits": [ 139 ],
"attributes": {
"src": "hyperram.v:0.0-0.0"
}
},
"$formal$hyperram.v:302$7_CHECK": {
"hide_name": 1,
"bits": [ 140 ],
"attributes": {
"src": "hyperram.v:0.0-0.0"
}
},
"$formal$hyperram.v:303$8_CHECK": {
"hide_name": 1,
"bits": [ 141 ],
"attributes": {
"src": "hyperram.v:0.0-0.0"
}
},
"$formal$hyperram.v:304$9_CHECK": {
"hide_name": 1,
"bits": [ 142 ],
"attributes": {
"src": "hyperram.v:0.0-0.0"
}
},
"$logic_or$hyperram.v:201$35_Y": {
"hide_name": 1,
"bits": [ 132 ],
"attributes": {
"src": "hyperram.v:201.8-201.26"
}
},
"$procmux$213_CMP": {
"hide_name": 1,
"bits": [ 107 ],
"attributes": {
}
},
"$procmux$214_CMP": {
"hide_name": 1,
"bits": [ 108 ],
"attributes": {
}
},
"$procmux$217_CMP": {
"hide_name": 1,
"bits": [ 109 ],
"attributes": {
}
},
"$procmux$218_CMP": {
"hide_name": 1,
"bits": [ 110 ],
"attributes": {
}
},
"$procmux$222_CMP": {
"hide_name": 1,
"bits": [ 111 ],
"attributes": {
}
},
"$procmux$223_CMP": {
"hide_name": 1,
"bits": [ 112 ],
"attributes": {
}
},
"$procmux$224_CMP": {
"hide_name": 1,
"bits": [ 113 ],
"attributes": {
}
},
"$procmux$225_CMP": {
"hide_name": 1,
"bits": [ 114 ],
"attributes": {
}
},
"$procmux$226_CMP": {
"hide_name": 1,
"bits": [ 115 ],
"attributes": {
}
},
"address": {
"hide_name": 0,
"bits": [ "0", "0", "0", "1", "1", "1", "1", "0", "0", "1", "1", "0", "1", "0", "1", "0", "0", "0", "1", "0", "1", "1", "0", "0", "0", "1", "0", "0", "1", "0", "0", "0" ],
"attributes": {
"src": "hyperram.v:6.15-6.22"
}
},
"ck": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "hyperram.v:16.9-16.11"
}
},
"ck_bar": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "hyperram.v:17.9-17.15"
}
},
"clk": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "hyperram.v:2.8-2.11"
}
},
"command_address": {
"hide_name": 0,
"bits": [ 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202 ],
"attributes": {
"src": "hyperram.v:236.13-236.28"
}
},
"control_state": {
"hide_name": 0,
"bits": [ 34, 35, 36, 37, 38, 39, 40 ],
"attributes": {
"init": "0000000",
"src": "hyperram.v:66.12-66.25"
}
},
"cs_bar": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "hyperram.v:18.9-18.15"
}
},
"data_in": {
"hide_name": 0,
"bits": [ "1", "1", "0", "1", "1", "1", "0", "1", "1", "1", "0", "1", "1", "1", "0", "1", "0", "1", "0", "1", "0", "1", "0", "1", "0", "1", "0", "1", "0", "1", "0", "1" ],
"attributes": {
"src": "hyperram.v:8.16-8.23"
}
},
"data_in_register": {
"hide_name": 0,
"bits": [ "1", "1", "0", "1", "1", "1", "0", "1", "1", "1", "0", "1", "1", "1", "0", "1", "0", "1", "0", "1", "0", "1", "0", "1", "0", "1", "0", "1", "0", "1", "0", "1" ],
"attributes": {
"src": "hyperram.v:70.13-70.29"
}
},
"data_out": {
"hide_name": 0,
"bits": [ "1", "0", "1", "1", "1", "0", "1", "1", "1", "0", "1", "1", "1", "0", "1", "1", "0", "0", "1", "1", "0", "0", "1", "1", "0", "0", "1", "1", "0", "0", "1", "1" ],
"attributes": {
"src": "hyperram.v:7.15-7.23"
}
},
"data_out_register": {
"hide_name": 0,
"bits": [ 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 237 ],
"attributes": {
"src": "hyperram.v:242.13-242.30"
}
},
"done_counter": {
"hide_name": 0,
"bits": [ 64, 65, 66, 67, 68, 69, 70, 71 ],
"attributes": {
"init": "00000000",
"src": "hyperram.v:62.12-62.24"
}
},
"done_latency": {
"hide_name": 0,
"bits": [ 28, 29, 30, 31, 32, 33 ],
"attributes": {
"src": "hyperram.v:24.14-24.26"
}
},
"dq": {
"hide_name": 0,
"bits": [ 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "hyperram.v:15.14-15.16"
}
},
"dq_oe": {
"hide_name": 0,
"bits": [ 311 ],
"attributes": {
"src": "hyperram.v:47.6-47.11"
}
},
"dq_out": {
"hide_name": 0,
"bits": [ 312, 313, 314, 315, 316, 317, 318, 319 ],
"attributes": {
"src": "hyperram.v:46.12-46.18"
}
},
"next_command_address": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "1", "1", "1", "1", "0", "0", "1", "1", "0", "1", "0", "1", "0", "0", "0", "1", "0", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "1", "0", 131 ],
"attributes": {
"src": "hyperram.v:237.13-237.33"
}
},
"next_control_state": {
"hide_name": 0,
"bits": [ 254, 255, 256, 257, 258, 259, 260 ],
"attributes": {
"src": "hyperram.v:67.12-67.30"
}
},
"next_data_out_register": {
"hide_name": 0,
"bits": [ "1", "0", "1", "1", "1", "0", "1", "1", "1", "0", "1", "1", "1", "0", "1", "1", "0", "0", "1", "1", "0", "0", "1", "1", "0", "0", "1", "1", "0", "0", "1", "1" ],
"attributes": {
"src": "hyperram.v:243.13-243.35"
}
},
"next_done_counter": {
"hide_name": 0,
"bits": [ 246, 247, 248, 249, 250, 251, 252, 253 ],
"attributes": {
"src": "hyperram.v:63.12-63.29"
}
},
"next_wait_counter": {
"hide_name": 0,
"bits": [ 238, 239, 240, 241, 242, 243, 244, 245 ],
"attributes": {
"src": "hyperram.v:60.12-60.29"
}
},
"next_write_mask_register": {
"hide_name": 0,
"bits": [ 4, 5, 6, 7 ],
"attributes": {
"src": "hyperram.v:240.12-240.36"
}
},
"read_count": {
"hide_name": 0,
"bits": [ 80, 81, 82, 83, 84, 85 ],
"attributes": {
"init": "000000",
"src": "hyperram.v:265.13-265.23"
}
},
"rst": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"src": "hyperram.v:3.8-3.11"
}
},
"rwds": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "hyperram.v:19.8-19.12"
}
},
"rwds_oe": {
"hide_name": 0,
"bits": [ 309 ],
"attributes": {
"src": "hyperram.v:49.6-49.13"
}
},
"rwds_out": {
"hide_name": 0,
"bits": [ 310 ],
"attributes": {
"src": "hyperram.v:48.6-48.14"
}
},
"timed_read": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "hyperram.v:22.8-22.18"
}
},
"transaction_begin": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "hyperram.v:11.8-11.25"
}
},
"transaction_end": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "hyperram.v:12.9-12.24"
}
},
"wait_counter": {
"hide_name": 0,
"bits": [ 48, 49, 50, 51, 52, 53, 54, 55 ],
"attributes": {
"init": "00000000",
"src": "hyperram.v:59.12-59.24"
}
},
"wait_latency": {
"hide_name": 0,
"bits": [ 22, 23, 24, 25, 26, 27 ],
"attributes": {
"src": "hyperram.v:23.14-23.26"
}
},
"write_count": {
"hide_name": 0,
"bits": [ 92, 93, 94, 95, 96, 97 ],
"attributes": {
"init": "000000",
"src": "hyperram.v:266.13-266.24"
}
},
"write_enable": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "hyperram.v:9.8-9.20"
}
},
"write_mask": {
"hide_name": 0,
"bits": [ 4, 5, 6, 7 ],
"attributes": {
"src": "hyperram.v:10.14-10.24"
}
},
"write_mask_register": {
"hide_name": 0,
"bits": [ 203, 204, 205, 206 ],
"attributes": {
"src": "hyperram.v:239.12-239.31"
}
}
}
}
}
}